kernel-source/kernel-docs.changes

67796 lines
2.4 MiB
Raw Blame History

This file contains ambiguous Unicode characters

This file contains Unicode characters that might be confused with other characters. If you think that this is intentional, you can safely ignore this warning. Use the Escape button to reveal them.

-------------------------------------------------------------------
Mon Dec 24 01:34:19 CET 2018 - mkubecek@suse.cz
- Update to 4.20 final
- Eliminated 1 patch
- Refresh configs
- commit ba5c149
-------------------------------------------------------------------
Sat Dec 22 09:59:08 CET 2018 - jslaby@suse.cz
- Linux 4.19.12 (bnc#1012628).
- Btrfs: fix missing delayed iputs on unmount (bnc#1012628).
- nvmet-rdma: fix response use after free (bnc#1012628).
- nvme: validate controller state before rescheduling keep alive
(bnc#1012628).
- i2c: uniphier-f: fix violation of tLOW requirement for Fast-mode
(bnc#1012628).
- i2c: uniphier: fix violation of tLOW requirement for Fast-mode
(bnc#1012628).
- i2c: scmi: Fix probe error on devices with an empty SMB0001
ACPI device node (bnc#1012628).
- i2c: axxia: properly handle master timeout (bnc#1012628).
- mlxsw: spectrum_switchdev: Fix VLAN device deletion via ioctl
(bnc#1012628).
- vhost/vsock: fix reset orphans race with close timeout
(bnc#1012628).
- cifs: In Kconfig CONFIG_CIFS_POSIX needs depends on legacy
(insecure cifs) (bnc#1012628).
- drm/ast: Fix connector leak during driver unload (bnc#1012628).
- acpi/nfit: Fix user-initiated ARS to be "ARS-long" rather than
"ARS-short" (bnc#1012628).
- tools/testing/nvdimm: Align test resources to 128M
(bnc#1012628).
- drm/amdgpu/vcn: Update vcn.cur_state during suspend
(bnc#1012628).
- net: mvpp2: fix phylink handling of invalid PHY modes
(bnc#1012628).
- net: mvpp2: fix detection of 10G SFP modules (bnc#1012628).
- thermal: armada: fix legacy validity test sense (bnc#1012628).
- ethernet: fman: fix wrong of_node_put() in probe function
(bnc#1012628).
- ARM: 8816/1: dma-mapping: fix potential uninitialized return
(bnc#1012628).
- ARM: 8815/1: V7M: align v7m_dma_inv_range() with v7 counterpart
(bnc#1012628).
- ARM: 8814/1: mm: improve/fix ARM v7_dma_inv_range() unaligned
address handling (bnc#1012628).
- bpf: check pending signals while verifying programs
(bnc#1012628).
- net/mlx4_en: Fix build break when CONFIG_INET is off
(bnc#1012628).
- mv88e6060: disable hardware level MAC learning (bnc#1012628).
- macvlan: return correct error value (bnc#1012628).
- libata: whitelist all SAMSUNG MZ7KM* solid-state disks
(bnc#1012628).
- Input: omap-keypad - fix keyboard debounce configuration
(bnc#1012628).
- Input: synaptics - enable SMBus for HP 15-ay000 (bnc#1012628).
- clk: mmp: Off by one in mmp_clk_add() (bnc#1012628).
- clk: mvebu: Off by one bugs in cp110_of_clk_get() (bnc#1012628).
- drm/amd/powerplay: issue pre-display settings for display
change event (bnc#1012628).
- drm/msm: Fix error return checking (bnc#1012628).
- drm/msm: dpu: Fix "WARNING: invalid free of devm_ allocated
data" (bnc#1012628).
- drm/msm: dpu: Don't set legacy plane->crtc pointer
(bnc#1012628).
- drm/msm/hdmi: Enable HPD after HDMI IRQ is set up (bnc#1012628).
- ide: pmac: add of_node_put() (bnc#1012628).
- drivers/tty: add missing of_node_put() (bnc#1012628).
- drivers/sbus/char: add of_node_put() (bnc#1012628).
- sbus: char: add of_node_put() (bnc#1012628).
- SUNRPC: Fix a potential race in xprt_connect() (bnc#1012628).
- nfs: don't dirty kernel pages read by direct-io (bnc#1012628).
- liquidio: read sc->iq_no before release sc (bnc#1012628).
- bpf: Fix verifier log string check for bad alignment
(bnc#1012628).
- bonding: fix 802.3ad state sent to partner when unbinding slave
(bnc#1012628).
- net: aquantia: fix rx checksum offload bits (bnc#1012628).
- net: stmmac: Move debugfs init/exit to ->probe()/->remove()
(bnc#1012628).
- ARC: io.h: Implement reads{x}()/writes{x}() (bnc#1012628).
- drm/amdgpu: wait for IB test on first device open (bnc#1012628).
- drm/ttm: fix LRU handling in ttm_buffer_object_transfer
(bnc#1012628).
- drm/msm: Grab a vblank reference when waiting for commit_done
(bnc#1012628).
- drm/msm/dsi: configure VCO rate for 10nm PLL driver
(bnc#1012628).
- drm/msm: fix handling of cmdstream offset (bnc#1012628).
- drm/msm/gpu: Fix a couple memory leaks in debugfs (bnc#1012628).
- drm/msm: Fix task dump in gpu recovery (bnc#1012628).
- x86/earlyprintk/efi: Fix infinite loop on some screen widths
(bnc#1012628).
- drm/amd/display: Fix 6x4K displays light-up on Vega20 (v2)
(bnc#1012628).
- net: ethernet: ave: Replace NET_IP_ALIGN with AVE_FRAME_HEADROOM
(bnc#1012628).
- tools/bpf: add addition type tests to test_btf (bnc#1012628).
- tools/bpf: fix two test_btf unit test cases (bnc#1012628).
- scsi: vmw_pscsi: Rearrange code to avoid multiple calls to
free_irq during unload (bnc#1012628).
- scsi: libiscsi: Fix NULL pointer dereference in
iscsi_eh_session_reset (bnc#1012628).
- i2c: rcar: check bus state before reinitializing (bnc#1012628).
- Input: hyper-v - fix wakeup from suspend-to-idle (bnc#1012628).
- mac80211_hwsim: fix module init error paths for netlink
(bnc#1012628).
- IB/hfi1: Remove race conditions in user_sdma send path
(bnc#1012628).
- locking/qspinlock, x86: Provide liveness guarantee
(bnc#1012628).
- locking/qspinlock: Re-order code (bnc#1012628).
- commit c634493
-------------------------------------------------------------------
Fri Dec 21 20:24:59 CET 2018 - mkubecek@suse.cz
- rtlwifi: Fix leak of skb when processing C2H_BT_INFO
(bsc#1116448).
- commit 9d82d20
-------------------------------------------------------------------
Thu Dec 20 17:42:36 CET 2018 - msuchanek@suse.de
- mm: add mm_pxd_folded checks to pgtable_bytes accounting
functions (s390/mm: fix mis-accounting of pgtable_bytes).
- mm: introduce mm_[p4d|pud|pmd]_folded (s390/mm: fix
mis-accounting of pgtable_bytes).
- mm: make the __PAGETABLE_PxD_FOLDED defines non-empty (s390/mm:
fix mis-accounting of pgtable_bytes).
- commit c005ee0
-------------------------------------------------------------------
Thu Dec 20 10:45:14 CET 2018 - jslaby@suse.cz
- Linux 4.19.11 (bnc#1012628).
- sched/pelt: Fix warning and clean up IRQ PELT config
(bnc#1012628).
- scsi: raid_attrs: fix unused variable warning (bnc#1012628).
- staging: olpc_dcon: add a missing dependency (bnc#1012628).
- slimbus: ngd: mark PM functions as __maybe_unused (bnc#1012628).
- i2c: aspeed: fix build warning (bnc#1012628).
- ARM: dts: qcom-apq8064-arrow-sd-600eval fix graph_endpoint
warning (bnc#1012628).
- drm/msm: fix address space warning (bnc#1012628).
- pinctrl: sunxi: a83t: Fix IRQ offset typo for PH11
(bnc#1012628).
- aio: fix spectre gadget in lookup_ioctx (bnc#1012628).
- scripts/spdxcheck.py: always open files in binary mode
(bnc#1012628).
- fs/iomap.c: get/put the page in iomap_page_create/release()
(bnc#1012628).
- userfaultfd: check VM_MAYWRITE was set after verifying the
uffd is registered (bnc#1012628).
- arm64: dma-mapping: Fix FORCE_CONTIGUOUS buffer clearing
(bnc#1012628).
- block/bio: Do not zero user pages (bnc#1012628).
- ovl: fix decode of dir file handle with multi lower layers
(bnc#1012628).
- ovl: fix missing override creds in link of a metacopy upper
(bnc#1012628).
- MMC: OMAP: fix broken MMC on OMAP15XX/OMAP5910/OMAP310
(bnc#1012628).
- mmc: core: use mrq->sbc when sending CMD23 for RPMB
(bnc#1012628).
- mmc: sdhci-omap: Fix DCRC error handling during tuning
(bnc#1012628).
- mmc: sdhci: fix the timeout check window for clock and reset
(bnc#1012628).
- fuse: continue to send FUSE_RELEASEDIR when FUSE_OPEN returns
ENOSYS (bnc#1012628).
- ARM: mmp/mmp2: fix cpu_is_mmp2() on mmp2-dt (bnc#1012628).
- ARM: dts: bcm2837: Fix polarity of wifi reset GPIOs
(bnc#1012628).
- dm thin: send event about thin-pool state change _after_
making it (bnc#1012628).
- dm cache metadata: verify cache has blocks in
blocks_are_clean_separate_dirty() (bnc#1012628).
- dm: call blk_queue_split() to impose device limits on bios
(bnc#1012628).
- tracing: Fix memory leak in create_filter() (bnc#1012628).
- tracing: Fix memory leak in set_trigger_filter() (bnc#1012628).
- tracing: Fix memory leak of instance function hash filters
(bnc#1012628).
- media: vb2: don't call __vb2_queue_cancel if vb2_start_streaming
failed (bnc#1012628).
- powerpc/msi: Fix NULL pointer access in teardown code
(bnc#1012628).
- powerpc: Look for "stdout-path" when setting up legacy consoles
(bnc#1012628).
- drm/nouveau/kms: Fix memory leak in nv50_mstm_del()
(bnc#1012628).
- drm/nouveau/kms/nv50-: also flush fb writes when rewinding
push buffer (bnc#1012628).
- Revert "drm/rockchip: Allow driver to be shutdown on
reboot/kexec" (bnc#1012628).
- drm/i915/gvt: Fix tiled memory decoding bug on BDW
(bnc#1012628).
- drm/i915/execlists: Apply a full mb before execution for
Braswell (bnc#1012628).
- drm/amdgpu/powerplay: Apply avfs cks-off voltages on VI
(bnc#1012628).
- drm/amdkfd: add new vega10 pci ids (bnc#1012628).
- drm/amdgpu: add some additional vega10 pci ids (bnc#1012628).
- drm/amdgpu: update smu firmware images for VI variants (v2)
(bnc#1012628).
- drm/amdgpu: update SMC firmware image for polaris10 variants
(bnc#1012628).
- dm zoned: Fix target BIO completion handling (bnc#1012628).
- commit 096f733
-------------------------------------------------------------------
Mon Dec 17 10:15:52 CET 2018 - jslaby@suse.cz
- Linux 4.19.10 (bnc#1012628).
- tcp: lack of available data can also cause TSO defer
(bnc#1012628).
- bpf: fix off-by-one error in adjust_subprog_starts
(bnc#1012628).
- IB/hfi1: Fix an out-of-bounds access in get_hw_stats
(bnc#1012628).
- ALSA: hda/realtek - Fix the mute LED regresion on Lenovo X1
Carbon (bnc#1012628).
- ALSA: hda/realtek: Enable audio jacks of ASUS UX433FN/UX333FA
with ALC294 (bnc#1012628).
- ALSA: hda/realtek: Enable audio jacks of ASUS UX533FD with
ALC294 (bnc#1012628).
- ALSA: hda/realtek: ALC294 mic and headset-mode fixups for ASUS
X542UN (bnc#1012628).
- ALSA: hda/realtek - Fixed headphone issue for ALC700
(bnc#1012628).
- ALSA: fireface: fix reference to wrong register for clock
configuration (bnc#1012628).
- dax: Check page->mapping isn't NULL (bnc#1012628).
- flexfiles: enforce per-mirror stateid only for v4 DSes
(bnc#1012628).
- ocfs2: fix potential use after free (bnc#1012628).
- initramfs: clean old path before creating a hardlink
(bnc#1012628).
- kernel/kcov.c: mark funcs in __sanitizer_cov_trace_pc() as
notrace (bnc#1012628).
- proc: fixup map_files test on arm (bnc#1012628).
- debugobjects: avoid recursive calls with kmemleak (bnc#1012628).
- hfsplus: do not free node before using (bnc#1012628).
- hfs: do not free node before using (bnc#1012628).
- mm/page_alloc.c: fix calculation of pgdat->nr_zones
(bnc#1012628).
- ocfs2: fix deadlock caused by ocfs2_defrag_extent()
(bnc#1012628).
- ACPI/IORT: Fix iort_get_platform_device_domain() uninitialized
pointer value (bnc#1012628).
- nvme-rdma: fix double freeing of async event data (bnc#1012628).
- nvme: flush namespace scanning work just before removing
namespaces (bnc#1012628).
- nvme: warn when finding multi-port subsystems without
multipathing enabled (bnc#1012628).
- fscache, cachefiles: remove redundant variable 'cache'
(bnc#1012628).
- cachefiles: Explicitly cast enumerated type in put_object
(bnc#1012628).
- fscache: fix race between enablement and dropping of object
(bnc#1012628).
- afs: Fix validation/callback interaction (bnc#1012628).
- pstore/ram: Correctly calculate usable PRZ bytes (bnc#1012628).
- pvcalls-front: fixes incorrect error handling (bnc#1012628).
- Revert "xen/balloon: Mark unallocated host memory as UNUSABLE"
(bnc#1012628).
- xen: xlate_mmu: add missing header to fix 'W=1' warning
(bnc#1012628).
- drm/ast: fixed reading monitor EDID not stable issue
(bnc#1012628).
- drm/amdgpu: Add delay after enable RLC ucode (bnc#1012628).
- net: hisilicon: remove unexpected free_netdev (bnc#1012628).
- ixgbe: recognize 1000BaseLX SFP modules as 1Gbps (bnc#1012628).
- igb: fix uninitialized variables (bnc#1012628).
- cachefiles: Fix page leak in cachefiles_read_backing_file
while vmscan is active (bnc#1012628).
- fscache: Fix race in fscache_op_complete() due to split
atomic_sub & read (bnc#1012628).
- cachefiles: Fix an assertion failure when trying to update a
failed object (bnc#1012628).
- ALSA: usb-audio: Add vendor and product name for Dell WD19 Dock
(bnc#1012628).
- netfilter: nf_tables: deactivate expressions in rule replecement
routine (bnc#1012628).
- usb: gadget: u_ether: fix unsafe list iteration (bnc#1012628).
- net: thunderx: fix NULL pointer dereference in nic_remove
(bnc#1012628).
- x86/kvm/vmx: fix old-style function declaration (bnc#1012628).
- KVM: x86: fix empty-body warnings (bnc#1012628).
- KVM: VMX: Update shared MSRs to be saved/restored on
MSR_EFER.LMA changes (bnc#1012628).
- netfilter: nf_conncount: remove wrong condition check routine
(bnc#1012628).
- netfilter: nat: fix double register in masquerade modules
(bnc#1012628).
- netfilter: add missing error handling code for register
functions (bnc#1012628).
- IB/mlx5: Fix page fault handling for MW (bnc#1012628).
- netfilter: ipv6: Preserve link scope traffic original oif
(bnc#1012628).
- drm/meson: add support for 1080p25 mode (bnc#1012628).
- thunderbolt: Prevent root port runtime suspend during NVM
upgrade (bnc#1012628).
- USB: omap_udc: fix rejection of out transfers when DMA is used
(bnc#1012628).
- USB: omap_udc: fix USB gadget functionality on Palm Tungsten E
(bnc#1012628).
- USB: omap_udc: fix omap_udc_start() on 15xx machines
(bnc#1012628).
- USB: omap_udc: fix crashes on probe error and module removal
(bnc#1012628).
- USB: omap_udc: use devm_request_irq() (bnc#1012628).
- ipvs: call ip_vs_dst_notifier earlier than ipv6_dev_notf
(bnc#1012628).
- fsi: master-ast-cf: select GENERIC_ALLOCATOR (bnc#1012628).
- bpf: fix check of allowed specifiers in bpf_trace_printk
(bnc#1012628).
- RDMA/hns: Bugfix pbl configuration for rereg mr (bnc#1012628).
- exportfs: do not read dentry after free (bnc#1012628).
- ASoC: omap-dmic: Add pm_qos handling to avoid overruns with
CPU_IDLE (bnc#1012628).
- ASoC: omap-mcpdm: Add pm_qos handling to avoid under/overruns
with CPU_IDLE (bnc#1012628).
- ASoC: omap-mcbsp: Fix latency value calculation for pm_qos
(bnc#1012628).
- tools: bpftool: fix potential NULL pointer dereference in
do_load (bnc#1012628).
- RDMA/rdmavt: Fix rvt_create_ah function signature (bnc#1012628).
- RDMA/bnxt_re: Avoid accessing the device structure after it
is freed (bnc#1012628).
- RDMA/bnxt_re: Fix system hang when registration with L2 driver
fails (bnc#1012628).
- RDMA/core: Add GIDs while changing MAC addr only for registered
ndev (bnc#1012628).
- RDMA/mlx5: Fix fence type for IB_WR_LOCAL_INV WR (bnc#1012628).
- Btrfs: send, fix infinite loop due to directory rename
dependencies (bnc#1012628).
- ARM: dts: at91: sama5d2: use the divided clock for SMC
(bnc#1012628).
- phy: qcom-qusb2: Fix HSTX_TRIM tuning with fused value for
SDM845 (bnc#1012628).
- phy: qcom-qusb2: Use HSTX_TRIM fused value as is (bnc#1012628).
- objtool: Fix segfault in .cold detection with
-ffunction-sections (bnc#1012628).
- objtool: Fix double-free in .cold detection error path
(bnc#1012628).
- ASoC: acpi: fix: continue searching when machine is ignored
(bnc#1012628).
- PCI: imx6: Fix link training status detection in link up check
(bnc#1012628).
- perf tools: Restore proper cwd on return from mnt namespace
(bnc#1012628).
- hwmon: (w83795) temp4_type has writable permission
(bnc#1012628).
- netfilter: xt_hashlimit: fix a possible memory leak in
htable_create() (bnc#1012628).
- aio: fix failure to put the file pointer (bnc#1012628).
- bpf: allocate local storage buffers using GFP_ATOMIC
(bnc#1012628).
- hwmon: (mlxreg-fan) Fix macros for tacho fault reading
(bnc#1012628).
- spi: omap2-mcspi: Add missing suspend and resume calls
(bnc#1012628).
- ASoC: dapm: Recalculate audio map forcely when card instantiated
(bnc#1012628).
- ASoC: omap-abe-twl6040: Fix missing audio card caused by
deferred probing (bnc#1012628).
- hwmon: (ina2xx) Fix current value calculation (bnc#1012628).
- s390/cpum_cf: Reject request for sampling in event
initialization (bnc#1012628).
- ASoC: qcom: Set dai_link id to each dai_link (bnc#1012628).
- ASoC: Intel: Power down links before turning off display audio
power (bnc#1012628).
- ASoC: wm_adsp: Fix dma-unsafe read of scratch registers
(bnc#1012628).
- ASoC: rockchip: add missing slave_config setting for I2S
(bnc#1012628).
- hwmon: (raspberrypi) Fix initial notify (bnc#1012628).
- hwmon (ina2xx) Fix NULL id pointer in probe() (bnc#1012628).
- s390/cio: Fix cleanup when unsupported IDA format is used
(bnc#1012628).
- s390/cio: Fix cleanup of pfn_array alloc failure (bnc#1012628).
- netfilter: nf_tables: fix use-after-free when deleting compat
expressions (bnc#1012628).
- netfilter: xt_RATEEST: remove netns exit routine (bnc#1012628).
- perf tools: Fix crash on synthesizing the unit (bnc#1012628).
- selftests: add script to stress-test nft packet path vs. control
plane (bnc#1012628).
- netfilter: nf_tables: don't skip inactive chains during update
(bnc#1012628).
- netfilter: nf_conncount: fix unexpected permanent node of list
(bnc#1012628).
- netfilter: nf_conncount: fix list_del corruption in conn_free
(bnc#1012628).
- netfilter: nf_conncount: use spin_lock_bh instead of spin_lock
(bnc#1012628).
- sysv: return 'err' instead of 0 in __sysv_write_inode
(bnc#1012628).
- arm64: dts: sdm845-mtp: Reserve reserved gpios (bnc#1012628).
- ASoC: sun8i-codec: fix crash on module removal (bnc#1012628).
- tools: bpftool: prevent infinite loop in get_fdinfo()
(bnc#1012628).
- ARM: OMAP1: ams-delta: Fix possible use of uninitialized field
(bnc#1012628).
- ARM: dts: am3517-som: Fix WL127x Wifi interrupt (bnc#1012628).
- ARM: dts: logicpd-somlv: Fix interrupt on mmc3_dat1
(bnc#1012628).
- ARM: dts: LogicPD Torpedo: Fix mmc3_dat1 interrupt
(bnc#1012628).
- ARM: dts: am3517: Fix pinmuxing for CD on MMC1 (bnc#1012628).
- staging: rtl8723bs: Fix the return value in case of error in
'rtw_wx_read32()' (bnc#1012628).
- ASoC: qdsp6: q6afe-dai: Fix the dai widgets (bnc#1012628).
- ASoC: qdsp6: q6afe: Fix wrong MI2S SD line mask (bnc#1012628).
- ASoC: rsnd: fixup clock start checker (bnc#1012628).
- ARM: OMAP2+: prm44xx: Fix section annotation on
omap44xx_prm_enable_io_wakeup (bnc#1012628).
- net: fix XPS static_key accounting (bnc#1012628).
- net: restore call to netdev_queue_numa_node_write when resetting
XPS (bnc#1012628).
- sctp: update frag_point when stream_interleave is set
(bnc#1012628).
- net: phy: sfp: correct store of detected link modes
(bnc#1012628).
- virtio-net: keep vnet header zeroed after processing XDP
(bnc#1012628).
- tun: forbid iface creation with rtnl ops (bnc#1012628).
- tcp: fix NULL ref in tail loss probe (bnc#1012628).
- tcp: Do not underestimate rwnd_limited (bnc#1012628).
- sctp: kfree_rcu asoc (bnc#1012628).
- rtnetlink: ndo_dflt_fdb_dump() only work for ARPHRD_ETHER
devices (bnc#1012628).
- Revert "net/ibm/emac: wrong bit is used for STA control"
(bnc#1012628).
- net: use skb_list_del_init() to remove from RX sublists
(bnc#1012628).
- net: Prevent invalid access to skb->prev in __qdisc_drop_all
(bnc#1012628).
- net: phy: don't allow __set_phy_supported to add unsupported
modes (bnc#1012628).
- net/mlx4_en: Change min MTU size to ETH_MIN_MTU (bnc#1012628).
- net/mlx4_core: Correctly set PFC param if global pause is
turned off (bnc#1012628).
- net: 8139cp: fix a BUG triggered by changing mtu with network
traffic (bnc#1012628).
- ipv6: sr: properly initialize flowi6 prior passing to
ip6_route_output (bnc#1012628).
- neighbour: Avoid writing before skb->head in neigh_hh_output()
(bnc#1012628).
- ipv6: Check available headroom in ip6_xmit() even without
options (bnc#1012628).
- ipv4: ipv6: netfilter: Adjust the frag mem limit when truesize
changes (bnc#1012628).
- commit 9284d89
-------------------------------------------------------------------
Mon Dec 17 06:49:50 CET 2018 - mkubecek@suse.cz
- Update to 4.20-rc7
- Config changes:
- ARM:
- MEDIA_CONTROLLER_REQUEST_API=y
- commit 4731528
-------------------------------------------------------------------
Thu Dec 13 16:51:22 CET 2018 - jslaby@suse.cz
- Linux 4.19.9 (bnc#1012628).
- media: vicodec: lower minimum height to 360 (bnc#1012628).
- media: cec: check for non-OK/NACK conditions while claiming a LA
(bnc#1012628).
- media: omap3isp: Unregister media device as first (bnc#1012628).
- media: ipu3-cio2: Unregister device nodes first, then release
resources (bnc#1012628).
- iommu/vt-d: Fix NULL pointer dereference in prq_event_thread()
(bnc#1012628).
- brcmutil: really fix decoding channel info for 160 MHz bandwidth
(bnc#1012628).
- mt76: fix building without CONFIG_LEDS_CLASS (bnc#1012628).
- iommu/ipmmu-vmsa: Fix crash on early domain free (bnc#1012628).
- scsi: ufs: Fix hynix ufs bug with quirk on hi36xx SoC
(bnc#1012628).
- can: ucan: remove set but not used variable 'udev'
(bnc#1012628).
- can: rcar_can: Fix erroneous registration (bnc#1012628).
- test_firmware: fix error return getting clobbered (bnc#1012628).
- HID: input: Ignore battery reported by Symbol DS4308
(bnc#1012628).
- batman-adv: Use explicit tvlv padding for ELP packets
(bnc#1012628).
- batman-adv: Expand merged fragment buffer for full packet
(bnc#1012628).
- amd/iommu: Fix Guest Virtual APIC Log Tail Address Register
(bnc#1012628).
- bnx2x: Assign unique DMAE channel number for FW DMAE
transactions (bnc#1012628).
- qed: Fix PTT leak in qed_drain() (bnc#1012628).
- qed: Fix overriding offload_tc by protocols without APP TLV
(bnc#1012628).
- qed: Fix rdma_info structure allocation (bnc#1012628).
- qed: Fix reading wrong value in loop condition (bnc#1012628).
- usb: dwc2: pci: Fix an error code in probe (bnc#1012628).
- Revert "usb: gadget: ffs: Fix BUG when userland exits with
submitted AIO transfers" (bnc#1012628).
- s390/ism: clear dmbe_mask bit before SMC IRQ handling
(bnc#1012628).
- nvme-fc: resolve io failures during connect (bnc#1012628).
- bnxt_en: Fix filling time in bnxt_fill_coredump_record()
(bnc#1012628).
- drm/amdgpu: Add amdgpu "max bpc" connector property (v2)
(bnc#1012628).
- drm/amd/display: Support amdgpu "max bpc" connector property
(v2) (bnc#1012628).
- net/mlx4_core: Zero out lkey field in SW2HW_MPT fw command
(bnc#1012628).
- net/mlx4_core: Fix uninitialized variable compilation warning
(bnc#1012628).
- net/mlx4: Fix UBSAN warning of signed integer overflow
(bnc#1012628).
- drivers/net/ethernet/qlogic/qed/qed_rdma.h: fix typo
(bnc#1012628).
- gpio: pxa: fix legacy non pinctrl aware builds again
(bnc#1012628).
- gpio: mockup: fix indicated direction (bnc#1012628).
- tc-testing: tdc.py: ignore errors when decoding stdout/stderr
(bnc#1012628).
- tc-testing: tdc.py: Guard against lack of returncode in executed
command (bnc#1012628).
- mtd: rawnand: qcom: Namespace prefix some commands
(bnc#1012628).
- cpufreq: ti-cpufreq: Only register platform_device when
supported (bnc#1012628).
- Revert "HID: uhid: use strlcpy() instead of strncpy()"
(bnc#1012628).
- HID: multitouch: Add pointstick support for Cirque Touchpad
(bnc#1012628).
- mtd: spi-nor: Fix Cadence QSPI page fault kernel panic
(bnc#1012628).
- net: ena: fix crash during failed resume from hibernation
(bnc#1012628).
- NFSv4: Fix a NFSv4 state manager deadlock (bnc#1012628).
- qed: Fix bitmap_weight() check (bnc#1012628).
- qed: Fix QM getters to always return a valid pq (bnc#1012628).
- net/ibmnvic: Fix deadlock problem in reset (bnc#1012628).
- riscv: fix warning in arch/riscv/include/asm/module.h
(bnc#1012628).
- net: faraday: ftmac100: remove netif_running(netdev) check
before disabling interrupts (bnc#1012628).
- iommu/vt-d: Use memunmap to free memremap (bnc#1012628).
- NFSv4.2 copy do not allocate memory under the lock
(bnc#1012628).
- flexfiles: use per-mirror specified stateid for IO
(bnc#1012628).
- ibmvnic: Fix RX queue buffer cleanup (bnc#1012628).
- ibmvnic: Update driver queues after change in ring size support
(bnc#1012628).
- team: no need to do team_notify_peers or team_mcast_rejoin
when disabling port (bnc#1012628).
- net: amd: add missing of_node_put() (bnc#1012628).
- usb: quirk: add no-LPM quirk on SanDisk Ultra Flair device
(bnc#1012628).
- usb: appledisplay: Add 27" Apple Cinema Display (bnc#1012628).
- USB: check usb_get_extra_descriptor for proper size
(bnc#1012628).
- USB: serial: console: fix reported terminal settings
(bnc#1012628).
- ALSA: usb-audio: Add SMSL D1 to quirks for native DSD support
(bnc#1012628).
- ALSA: hda: Add support for AMD Stoney Ridge (bnc#1012628).
- ALSA: pcm: Fix starvation on down_write_nonblock()
(bnc#1012628).
- ALSA: pcm: Call snd_pcm_unlink() conditionally at closing
(bnc#1012628).
- ALSA: pcm: Fix interval evaluation with openmin/max
(bnc#1012628).
- ALSA: hda/realtek - Fix speaker output regression on Thinkpad
T570 (bnc#1012628).
- ALSA: hda/realtek: ALC286 mic and headset-mode fixups for Acer
Aspire U27-880 (bnc#1012628).
- ALSA: hda/realtek - Add support for Acer Aspire C24-860 headset
mic (bnc#1012628).
- ALSA: hda/realtek: Fix mic issue on Acer AIO Veriton Z4660G
(bnc#1012628).
- ALSA: hda/realtek: Fix mic issue on Acer AIO Veriton
Z4860G/Z6860G (bnc#1012628).
- media: gspca: fix frame overflow error (bnc#1012628).
- media: vicodec: fix memchr() kernel oops (bnc#1012628).
- Revert "mfd: cros_ec: Use devm_kzalloc for private data"
(bnc#1012628).
- parisc: Enable -ffunction-sections for modules on 32-bit kernel
(bnc#1012628).
- virtio/s390: avoid race on vcdev->config (bnc#1012628).
- virtio/s390: fix race in ccw_io_helper() (bnc#1012628).
- vhost/vsock: fix use-after-free in network stack callers
(bnc#1012628).
- arm64: hibernate: Avoid sending cross-calling with interrupts
disabled (bnc#1012628).
- SUNRPC: Fix leak of krb5p encode pages (bnc#1012628).
- dmaengine: dw: Fix FIFO size for Intel Merrifield (bnc#1012628).
- Revert "dmaengine: imx-sdma: Use GFP_NOWAIT for dma allocations"
(bnc#1012628).
- Revert "dmaengine: imx-sdma: alloclate bd memory from dma pool"
(bnc#1012628).
- dmaengine: imx-sdma: implement channel termination via worker
(bnc#1012628).
- dmaengine: imx-sdma: use GFP_NOWAIT for dma descriptor
allocations (bnc#1012628).
- dmaengine: cppi41: delete channel from pending list when stop
channel (bnc#1012628).
- ARM: 8806/1: kprobes: Fix false positive with FORTIFY_SOURCE
(bnc#1012628).
- xhci: workaround CSS timeout on AMD SNPS 3.0 xHC (bnc#1012628).
- xhci: Prevent U1/U2 link pm states if exit latency is too long
(bnc#1012628).
- arm64: dts: rockchip: remove vdd_log from rock960 to fix a
stability issues (bnc#1012628).
- Revert "x86/e820: put !E820_TYPE_RAM regions into
memblock.reserved" (bnc#1012628).
- cifs: Fix separator when building path from dentry
(bnc#1012628).
- staging: rtl8712: Fix possible buffer overrun (bnc#1012628).
- Revert commit ef9209b642f "staging: rtl8723bs: Fix indenting
errors and an off-by-one mistake in core/rtw_mlme_ext.c"
(bnc#1012628).
- crypto: do not free algorithm before using (bnc#1012628).
- drm/amdgpu: update mc firmware image for polaris12 variants
(bnc#1012628).
- drm/lease: Send a distinct uevent (bnc#1012628).
- drm/msm: Move fence put to where failure occurs (bnc#1012628).
- drm/amdgpu/gmc8: update MC firmware for polaris (bnc#1012628).
- drm/amdgpu/gmc8: always load MC firmware in the driver
(bnc#1012628).
- drm/i915: Downgrade Gen9 Plane WM latency error (bnc#1012628).
- kprobes/x86: Fix instruction patching corruption when copying
more than one RIP-relative instruction (bnc#1012628).
- x86/efi: Allocate e820 buffer before calling
efi_exit_boot_service (bnc#1012628).
- Drivers: hv: vmbus: Offload the handling of channels to two
workqueues (bnc#1012628).
- tty: serial: 8250_mtk: always resume the device in probe
(bnc#1012628).
- tty: do not set TTY_IO_ERROR flag if console port (bnc#1012628).
- gnss: sirf: fix activation retry handling (bnc#1012628).
- kgdboc: fix KASAN global-out-of-bounds bug in
param_set_kgdboc_var() (bnc#1012628).
- libnvdimm, pfn: Pad pfn namespaces relative to other regions
(bnc#1012628).
- cfg80211: Fix busy loop regression in ieee80211_ie_split_ric()
(bnc#1012628).
- mac80211_hwsim: Timer should be initialized before device
registered (bnc#1012628).
- mac80211: fix GFP_KERNEL under tasklet context (bnc#1012628).
- mac80211: Clear beacon_int in ieee80211_do_stop (bnc#1012628).
- mac80211: ignore tx status for PS stations in
ieee80211_tx_status_ext (bnc#1012628).
- mac80211: fix reordering of buffered broadcast packets
(bnc#1012628).
- mac80211: ignore NullFunc frames in the duplicate detection
(bnc#1012628).
- HID: quirks: fix RetroUSB.com devices (bnc#1012628).
- Update config files.
- commit 2f28da6
-------------------------------------------------------------------
Mon Dec 10 19:38:45 CET 2018 - afaerber@suse.de
- config: arm: Enable EFI support (boo#1104833)
- commit 7050650
-------------------------------------------------------------------
Mon Dec 10 17:25:25 CET 2018 - afaerber@suse.de
- config: armv7hl: Update to 4.20-rc5
- commit f01387b
-------------------------------------------------------------------
Mon Dec 10 08:41:00 CET 2018 - jslaby@suse.cz
- Delete
patches.suse/blk-mq-fix-corruption-with-direct-issue.patch.
It is in 4.20-rc6 as ffe81d45322c but was partially reverted by
c616cbee97ae, so this patch still applies cleanly, but is unwanted.
Drop it.
- commit 7670339
-------------------------------------------------------------------
Mon Dec 10 08:23:20 CET 2018 - jslaby@suse.cz
- Refresh
patches.rpmify/x86-build-Fix-compiler-support-check-for-CONFIG_RETP.patch.
Update upstream status.
- commit ceaabb8
-------------------------------------------------------------------
Mon Dec 10 07:05:25 CET 2018 - mkubecek@suse.cz
- Update to 4.20-rc6
- Eliminated 1 patch
- Refresh configs
- commit 93f10c3
-------------------------------------------------------------------
Sun Dec 9 20:54:03 CET 2018 - jslaby@suse.cz
- Linux 4.19.8 (bnc#1012628).
- blk-mq: punt failed direct issue to dispatch list (bnc#1012628).
- tipc: use destination length for copy string (bnc#1012628).
- arc: [devboards] Add support of NFSv3 ACL (bnc#1012628).
- ARC: change defconfig defaults to ARCv2 (bnc#1012628).
- btrfs: tree-checker: Don't check max block group size as
current max chunk size limit is unreliable (bnc#1012628).
- Input: elan_i2c - add support for ELAN0621 touchpad
(bnc#1012628).
- Input: elan_i2c - add ACPI ID for Lenovo IdeaPad 330-15ARR
(bnc#1012628).
- Input: elan_i2c - add ELAN0620 to the ACPI table (bnc#1012628).
- Input: cros_ec_keyb - fix button/switch capability reports
(bnc#1012628).
- Input: matrix_keypad - check for errors from of_get_named_gpio()
(bnc#1012628).
- Input: synaptics - add PNP ID for ThinkPad P50 to SMBus
(bnc#1012628).
- Input: xpad - quirk all PDP Xbox One gamepads (bnc#1012628).
- scsi: lpfc: fix block guard enablement on SLI3 adapters
(bnc#1012628).
- i40e: Fix deletion of MAC filters (bnc#1012628).
- selinux: add support for RTM_NEWCHAIN, RTM_DELCHAIN, and
RTM_GETCHAIN (bnc#1012628).
- svm: Add mutex_lock to protect apic_access_page_done on AMD
systems (bnc#1012628).
- kgdboc: Fix warning with module build (bnc#1012628).
- kgdboc: Fix restrict error (bnc#1012628).
- userfaultfd: shmem: UFFDIO_COPY: set the page dirty if VM_WRITE
is not set (bnc#1012628).
- userfaultfd: shmem: add i_size checks (bnc#1012628).
- userfaultfd: shmem: allocate anonymous memory for MAP_PRIVATE
shmem (bnc#1012628).
- userfaultfd: use ENOENT instead of EFAULT if the atomic copy
user fails (bnc#1012628).
- drm/meson: Fix OOB memory accesses in meson_viu_set_osd_lut()
(bnc#1012628).
- drm/meson: Enable fast_io in meson_dw_hdmi_regmap_config
(bnc#1012628).
- drm/meson: Fixes for drm_crtc_vblank_on/off support
(bnc#1012628).
- drm: set is_master to 0 upon drm_new_set_master() failure
(bnc#1012628).
- drm/amd/dm: Don't forget to attach MST encoders (bnc#1012628).
- drm/ast: Fix incorrect free on ioregs (bnc#1012628).
- tracing/fgraph: Fix set_graph_function from showing interrupts
(bnc#1012628).
- IB/mlx5: Avoid load failure due to unknown link width
(bnc#1012628).
- mips: fix mips_get_syscall_arg o32 check (bnc#1012628).
- MIPS: ralink: Fix mt7620 nd_sd pinmux (bnc#1012628).
- tracepoint: Use __idx instead of idx in DO_TRACE macro to make
it unique (bnc#1012628).
- sched, trace: Fix prev_state output in sched_switch tracepoint
(bnc#1012628).
- arm64: ftrace: Fix to enable syscall events on arm64
(bnc#1012628).
- mtd: nand: Fix memory allocation in nanddev_bbt_init()
(bnc#1012628).
- uprobes: Fix handle_swbp() vs. unregister() + register()
race once more (bnc#1012628).
- iser: set sector for ambiguous mr status errors (bnc#1012628).
- unifdef: use memcpy instead of strncpy (bnc#1012628).
- test_hexdump: use memcpy instead of strncpy (bnc#1012628).
- blk-mq: fix corruption with direct issue (bnc#1012628).
- Delete
patches.suse/blk-mq-fix-corruption-with-direct-issue.patch.
- commit 9cae63f
-------------------------------------------------------------------
Sat Dec 8 00:44:22 CET 2018 - msuchanek@suse.de
- Include modules.fips in kernel-binary as well as kernel-binary-base
(FATE#323247).
- commit e42315d
-------------------------------------------------------------------
Fri Dec 7 11:43:38 CET 2018 - jslaby@suse.cz
- x86/build: Fix compiler support check for CONFIG_RETPOLINE
(KMP build).
- commit fb5fd39
-------------------------------------------------------------------
Wed Dec 5 22:36:16 CET 2018 - mkubecek@suse.cz
- blk-mq: fix corruption with direct issue (bko#201685).
- commit 8970eff
-------------------------------------------------------------------
Wed Dec 5 20:39:04 CET 2018 - jslaby@suse.cz
- Linux 4.19.7 (bnc#1012628).
- mm/huge_memory: rename freeze_page() to unmap_page()
(bnc#1012628).
- mm/huge_memory: splitting set mapping+index before unfreeze
(bnc#1012628).
- mm/huge_memory: fix lockdep complaint on 32-bit i_size_read()
(bnc#1012628).
- mm/khugepaged: collapse_shmem() stop if punched or truncated
(bnc#1012628).
- mm/khugepaged: fix crashes due to misaccounted holes
(bnc#1012628).
- mm/khugepaged: collapse_shmem() remember to clear holes
(bnc#1012628).
- mm/khugepaged: minor reorderings in collapse_shmem()
(bnc#1012628).
- mm/khugepaged: collapse_shmem() without freezing new_page
(bnc#1012628).
- mm/khugepaged: collapse_shmem() do not crash on Compound
(bnc#1012628).
- lan743x: Enable driver to work with LAN7431 (bnc#1012628).
- lan743x: fix return value for lan743x_tx_napi_poll
(bnc#1012628).
- net: don't keep lonely packets forever in the gro hash
(bnc#1012628).
- net: gemini: Fix copy/paste error (bnc#1012628).
- net: thunderx: set tso_hdrs pointer to NULL in
nicvf_free_snd_queue (bnc#1012628).
- packet: copy user buffers before orphan or clone (bnc#1012628).
- rapidio/rionet: do not free skb before reading its length
(bnc#1012628).
- s390/qeth: fix length check in SNMP processing (bnc#1012628).
- usbnet: ipheth: fix potential recvmsg bug and recvmsg bug 2
(bnc#1012628).
- net: thunderx: set xdp_prog to NULL if bpf_prog_add fails
(bnc#1012628).
- net: skb_scrub_packet(): Scrub offload_fwd_mark (bnc#1012628).
- virtio-net: disable guest csum during XDP set (bnc#1012628).
- virtio-net: fail XDP set if guest csum is negotiated
(bnc#1012628).
- net/dim: Update DIM start sample after each DIM iteration
(bnc#1012628).
- tcp: defer SACK compression after DupThresh (bnc#1012628).
- net: phy: add workaround for issue where PHY driver doesn't
bind to the device (bnc#1012628).
- tipc: fix lockdep warning during node delete (bnc#1012628).
- x86/speculation: Enable cross-hyperthread spectre v2 STIBP
mitigation (bnc#1012628).
- x86/speculation: Apply IBPB more strictly to avoid cross-process
data leak (bnc#1012628).
- x86/speculation: Propagate information about RSB filling
mitigation to sysfs (bnc#1012628).
- x86/speculation: Add RETPOLINE_AMD support to the inline asm
CALL_NOSPEC variant (bnc#1012628).
- x86/retpoline: Make CONFIG_RETPOLINE depend on compiler support
(bnc#1012628).
- x86/retpoline: Remove minimal retpoline support (bnc#1012628).
- x86/speculation: Update the TIF_SSBD comment (bnc#1012628).
- x86/speculation: Clean up spectre_v2_parse_cmdline()
(bnc#1012628).
- x86/speculation: Remove unnecessary ret variable in
cpu_show_common() (bnc#1012628).
- x86/speculation: Move STIPB/IBPB string conditionals out of
cpu_show_common() (bnc#1012628).
- x86/speculation: Disable STIBP when enhanced IBRS is in use
(bnc#1012628).
- x86/speculation: Rename SSBD update functions (bnc#1012628).
- x86/speculation: Reorganize speculation control MSRs update
(bnc#1012628).
- sched/smt: Make sched_smt_present track topology (bnc#1012628).
- x86/Kconfig: Select SCHED_SMT if SMP enabled (bnc#1012628).
- sched/smt: Expose sched_smt_present static key (bnc#1012628).
- x86/speculation: Rework SMT state change (bnc#1012628).
- x86/l1tf: Show actual SMT state (bnc#1012628).
- x86/speculation: Reorder the spec_v2 code (bnc#1012628).
- x86/speculation: Mark string arrays const correctly
(bnc#1012628).
- x86/speculataion: Mark command line parser data __initdata
(bnc#1012628).
- x86/speculation: Unify conditional spectre v2 print functions
(bnc#1012628).
- x86/speculation: Add command line control for indirect branch
speculation (bnc#1012628).
- x86/speculation: Prepare for per task indirect branch
speculation control (bnc#1012628).
- x86/process: Consolidate and simplify switch_to_xtra() code
(bnc#1012628).
- x86/speculation: Avoid __switch_to_xtra() calls (bnc#1012628).
- x86/speculation: Prepare for conditional IBPB in switch_mm()
(bnc#1012628).
- ptrace: Remove unused ptrace_may_access_sched() and MODE_IBRS
(bnc#1012628).
- x86/speculation: Split out TIF update (bnc#1012628).
- x86/speculation: Prevent stale SPEC_CTRL msr content
(bnc#1012628).
- x86/speculation: Prepare arch_smt_update() for PRCTL mode
(bnc#1012628).
- x86/speculation: Add prctl() control for indirect branch
speculation (bnc#1012628).
- x86/speculation: Enable prctl mode for spectre_v2_user
(bnc#1012628).
- x86/speculation: Add seccomp Spectre v2 user space protection
mode (bnc#1012628).
- x86/speculation: Provide IBPB always command line options
(bnc#1012628).
- userfaultfd: shmem/hugetlbfs: only allow to register VM_MAYWRITE
vmas (bnc#1012628).
- kvm: mmu: Fix race in emulated page table writes (bnc#1012628).
- kvm: svm: Ensure an IBPB on all affected CPUs when freeing a
vmcb (bnc#1012628).
- KVM: nVMX/nSVM: Fix bug which sets vcpu->arch.tsc_offset to
L1 tsc_offset (bnc#1012628).
- KVM: x86: Fix kernel info-leak in KVM_HC_CLOCK_PAIRING hypercall
(bnc#1012628).
- KVM: LAPIC: Fix pv ipis use-before-initialization (bnc#1012628).
- KVM: X86: Fix scan ioapic use-before-initialization
(bnc#1012628).
- KVM: VMX: re-add ple_gap module parameter (bnc#1012628).
- xtensa: enable coprocessors that are being flushed
(bnc#1012628).
- xtensa: fix coprocessor context offset definitions
(bnc#1012628).
- xtensa: fix coprocessor part of ptrace_{get,set}xregs
(bnc#1012628).
- udf: Allow mounting volumes with incorrect identification
strings (bnc#1012628).
- btrfs: Always try all copies when reading extent buffers
(bnc#1012628).
- Btrfs: ensure path name is null terminated at
btrfs_control_ioctl (bnc#1012628).
- Btrfs: fix rare chances for data loss when doing a fast fsync
(bnc#1012628).
- Btrfs: fix race between enabling quotas and subvolume creation
(bnc#1012628).
- btrfs: relocation: set trans to be NULL after ending transaction
(bnc#1012628).
- PCI: layerscape: Fix wrong invocation of outbound window
disable accessor (bnc#1012628).
- PCI: dwc: Fix MSI-X EP framework address calculation bug
(bnc#1012628).
- PCI: Fix incorrect value returned from pcie_get_speed_cap()
(bnc#1012628).
- arm64: dts: rockchip: Fix PCIe reset polarity for
rk3399-puma-haikou (bnc#1012628).
- x86/MCE/AMD: Fix the thresholding machinery initialization order
(bnc#1012628).
- x86/fpu: Disable bottom halves while loading FPU registers
(bnc#1012628).
- perf/x86/intel: Move branch tracing setup to the Intel-specific
source file (bnc#1012628).
- perf/x86/intel: Add generic branch tracing check to
intel_pmu_has_bts() (bnc#1012628).
- perf/x86/intel: Disallow precise_ip on BTS events (bnc#1012628).
- fs: fix lost error code in dio_complete (bnc#1012628).
- ALSA: wss: Fix invalid snd_free_pages() at error path
(bnc#1012628).
- ALSA: ac97: Fix incorrect bit shift at AC97-SPSA control write
(bnc#1012628).
- ALSA: control: Fix race between adding and removing a user
element (bnc#1012628).
- ALSA: sparc: Fix invalid snd_free_pages() at error path
(bnc#1012628).
- ALSA: hda: Add ASRock N68C-S UCC the power_save blacklist
(bnc#1012628).
- ALSA: hda/realtek - Support ALC300 (bnc#1012628).
- ALSA: hda/realtek - fix headset mic detection for MSI MS-B171
(bnc#1012628).
- ALSA: hda/realtek - fix the pop noise on headphone for lenovo
laptops (bnc#1012628).
- ALSA: hda/realtek - Add auto-mute quirk for HP Spectre x360
laptop (bnc#1012628).
- function_graph: Create function_graph_enter() to consolidate
architecture code (bnc#1012628).
- ARM: function_graph: Simplify with function_graph_enter()
(bnc#1012628).
- microblaze: function_graph: Simplify with function_graph_enter()
(bnc#1012628).
- x86/function_graph: Simplify with function_graph_enter()
(bnc#1012628).
- nds32: function_graph: Simplify with function_graph_enter()
(bnc#1012628).
- powerpc/function_graph: Simplify with function_graph_enter()
(bnc#1012628).
- sh/function_graph: Simplify with function_graph_enter()
(bnc#1012628).
- sparc/function_graph: Simplify with function_graph_enter()
(bnc#1012628).
- parisc: function_graph: Simplify with function_graph_enter()
(bnc#1012628).
- riscv/function_graph: Simplify with function_graph_enter()
(bnc#1012628).
- s390/function_graph: Simplify with function_graph_enter()
(bnc#1012628).
- arm64: function_graph: Simplify with function_graph_enter()
(bnc#1012628).
- MIPS: function_graph: Simplify with function_graph_enter()
(bnc#1012628).
- function_graph: Make ftrace_push_return_trace() static
(bnc#1012628).
- function_graph: Use new curr_ret_depth to manage depth instead
of curr_ret_stack (bnc#1012628).
- function_graph: Have profiler use curr_ret_stack and not depth
(bnc#1012628).
- function_graph: Move return callback before update of
curr_ret_stack (bnc#1012628).
- function_graph: Reverse the order of pushing the ret_stack
and the callback (bnc#1012628).
- binder: fix race that allows malicious free of live buffer
(bnc#1012628).
- ext2: initialize opts.s_mount_opt as zero before using it
(bnc#1012628).
- ext2: fix potential use after free (bnc#1012628).
- ASoC: intel: cht_bsw_max98090_ti: Add quirk for boards using
pmc_plt_clk_0 (bnc#1012628).
- ASoC: pcm186x: Fix device reset-registers trigger value
(bnc#1012628).
- ARM: dts: rockchip: Remove @0 from the veyron memory node
(bnc#1012628).
- dmaengine: at_hdmac: fix memory leak in at_dma_xlate()
(bnc#1012628).
- dmaengine: at_hdmac: fix module unloading (bnc#1012628).
- staging: most: use format specifier "%s" in snprintf
(bnc#1012628).
- staging: vchiq_arm: fix compat VCHIQ_IOC_AWAIT_COMPLETION
(bnc#1012628).
- staging: mt7621-dma: fix potentially dereferencing uninitialized
'tx_desc' (bnc#1012628).
- staging: mt7621-pinctrl: fix uninitialized variable ngroups
(bnc#1012628).
- staging: rtl8723bs: Fix incorrect sense of ether_addr_equal
(bnc#1012628).
- staging: rtl8723bs: Add missing return for
cfg80211_rtw_get_station (bnc#1012628).
- USB: usb-storage: Add new IDs to ums-realtek (bnc#1012628).
- usb: core: quirks: add RESET_RESUME quirk for Cherry G230
Stream series (bnc#1012628).
- Revert "usb: dwc3: gadget: skip Set/Clear Halt when invalid"
(bnc#1012628).
- iio/hid-sensors: Fix IIO_CHAN_INFO_RAW returning wrong values
for signed numbers (bnc#1012628).
- iio:st_magn: Fix enable device after trigger (bnc#1012628).
- lib/test_kmod.c: fix rmmod double free (bnc#1012628).
- mm: cleancache: fix corruption on missed inode invalidation
(bnc#1012628).
- mm: use swp_offset as key in shmem_replace_page() (bnc#1012628).
- Drivers: hv: vmbus: check the creation_status in
vmbus_establish_gpadl() (bnc#1012628).
- misc: mic/scif: fix copy-paste error in
scif_create_remote_lookup (bnc#1012628).
- Refresh
patches.suse/0001-x86-speculation-Add-basic-IBRS-support-infrastructur.patch.
- Refresh
patches.suse/0002-x86-speculation-Add-inlines-to-control-Indirect-Bran.patch.
- commit 745e582
-------------------------------------------------------------------
Wed Dec 5 20:31:12 CET 2018 - jslaby@suse.cz
- blk-mq: fix corruption with direct issue (ext4 corruption).
- commit 34177d9
-------------------------------------------------------------------
Tue Dec 4 13:58:55 CET 2018 - jroedel@suse.de
- blacklist.conf: Blacklist MAINTAINERS file
- commit c4b3c90
-------------------------------------------------------------------
Mon Dec 3 21:24:59 CET 2018 - tiwai@suse.de
- ALSA: usb-audio: Fix UAF decrement if card has no live
interfaces in card.c (CVE-2018-19824,bsc#1118152).
- commit 8ad46ce
-------------------------------------------------------------------
Mon Dec 3 14:26:19 CET 2018 - afaerber@suse.de
- config: armv6hl: Update to 4.20-rc5
- commit c85b385
-------------------------------------------------------------------
Mon Dec 3 08:07:45 CET 2018 - guillaume.gardet@free.fr
- config: armv7hl: Build some options as modules (boo#1104833)
Enable HISI_THERMAL=m while at it.
(cherry picked from commit c9b9dd67316b831b4cddb2fb439c96767d6cee14)
- config: armv7hl: Build some options as modules (boo#1104833)
Enable HISI_THERMAL=m while at it.
- commit f931328
-------------------------------------------------------------------
Mon Dec 3 08:07:44 CET 2018 - guillaume.gardet@free.fr
- config: arm: Update to 4.19.5
- commit f7aa38e
-------------------------------------------------------------------
Mon Dec 3 07:27:25 CET 2018 - mkubecek@suse.cz
- Update to 4.20-rc5
- Config changes:
- General:
- PSI_DEFAULT_DISABLED=y
- Sound:
- SND_SOC_INTEL_SKYLAKE_HDAUDIO_CODEC=y
- ARM:
- ARM64_ERRATUM_1286807=y
- commit 2ccaf30
-------------------------------------------------------------------
Sun Dec 2 09:32:56 CET 2018 - jslaby@suse.cz
- Linux 4.19.6 (bnc#1012628).
- HID: steam: remove input device when a hid client is running
(bnc#1012628).
- efi/libstub: arm: support building with clang (bnc#1012628).
- usb: core: Fix hub port connection events lost (bnc#1012628).
- usb: dwc3: gadget: fix ISOC TRB type on unaligned transfers
(bnc#1012628).
- usb: dwc3: gadget: Properly check last unaligned/zero chain TRB
(bnc#1012628).
- usb: dwc3: core: Clean up ULPI device (bnc#1012628).
- usb: dwc3: Fix NULL pointer exception in dwc3_pci_remove()
(bnc#1012628).
- xhci: Fix leaking USB3 shared_hcd at xhci removal (bnc#1012628).
- xhci: handle port status events for removed USB3 hcd
(bnc#1012628).
- xhci: Add check for invalid byte size error when UAS devices
are connected (bnc#1012628).
- usb: xhci: fix uninitialized completion when USB3 port got
wrong status (bnc#1012628).
- usb: xhci: fix timeout for transition from RExit to U0
(bnc#1012628).
- xhci: Add quirk to workaround the errata seen on Cavium
Thunder-X2 Soc (bnc#1012628).
- usb: xhci: Prevent bus suspend if a port connect change or
polling state is detected (bnc#1012628).
- ALSA: oss: Use kvzalloc() for local buffer allocations
(bnc#1012628).
- MAINTAINERS: Add Sasha as a stable branch maintainer
(bnc#1012628).
- Documentation/security-bugs: Clarify treatment of embargoed
information (bnc#1012628).
- Documentation/security-bugs: Postpone fix publication in
exceptional cases (bnc#1012628).
- mmc: sdhci-pci: Try "cd" for card-detect lookup before using
NULL (bnc#1012628).
- mmc: sdhci-pci: Workaround GLK firmware failing to restore
the tuning value (bnc#1012628).
- gpio: don't free unallocated ida on gpiochip_add_data_with_key()
error path (bnc#1012628).
- iwlwifi: fix wrong WGDS_WIFI_DATA_SIZE (bnc#1012628).
- iwlwifi: mvm: support sta_statistics() even on older firmware
(bnc#1012628).
- iwlwifi: mvm: fix regulatory domain update when the firmware
starts (bnc#1012628).
- iwlwifi: mvm: don't use SAR Geo if basic SAR is not used
(bnc#1012628).
- brcmfmac: fix reporting support for 160 MHz channels
(bnc#1012628).
- opp: ti-opp-supply: Dynamically update u_volt_min (bnc#1012628).
- opp: ti-opp-supply: Correct the supply in
_get_optimal_vdd_voltage call (bnc#1012628).
- tools/power/cpupower: fix compilation with STATIC=true
(bnc#1012628).
- v9fs_dir_readdir: fix double-free on p9stat_read error
(bnc#1012628).
- selinux: Add __GFP_NOWARN to allocation at str_read()
(bnc#1012628).
- Input: synaptics - avoid using uninitialized variable when
probing (bnc#1012628).
- bfs: add sanity check at bfs_fill_super() (bnc#1012628).
- sctp: clear the transport of some out_chunk_list chunks in
sctp_assoc_rm_peer (bnc#1012628).
- gfs2: Don't leave s_fs_info pointing to freed memory in init_sbd
(bnc#1012628).
- llc: do not use sk_eat_skb() (bnc#1012628).
- mm: don't warn about large allocations for slab (bnc#1012628).
- mm/memory.c: recheck page table entry with page table lock held
(bnc#1012628).
- tcp: do not release socket ownership in tcp_close()
(bnc#1012628).
- drm/fb-helper: Blacklist writeback when adding connectors to
fbdev (bnc#1012628).
- drm/vc4: Set ->legacy_cursor_update to false when doing
non-async updates (bnc#1012628).
- drm/amdgpu: Fix oops when pp_funcs->switch_power_profile is
unset (bnc#1012628).
- drm/i915: Disable LP3 watermarks on all SNB machines
(bnc#1012628).
- drm/ast: change resolution may cause screen blurred
(bnc#1012628).
- drm/ast: fixed cursor may disappear sometimes (bnc#1012628).
- drm/ast: Remove existing framebuffers before loading driver
(bnc#1012628).
- can: flexcan: Unlock the MB unconditionally (bnc#1012628).
- can: dev: can_get_echo_skb(): factor out non sending code to
__can_get_echo_skb() (bnc#1012628).
- can: dev: __can_get_echo_skb(): replace struct can_frame by
canfd_frame to access frame length (bnc#1012628).
- can: dev: __can_get_echo_skb(): Don't crash the kernel if
can_priv::echo_skb is accessed out of bounds (bnc#1012628).
- can: dev: __can_get_echo_skb(): print error message, if trying
to echo non existing skb (bnc#1012628).
- can: rx-offload: introduce can_rx_offload_get_echo_skb()
and can_rx_offload_queue_sorted() functions (bnc#1012628).
- can: rx-offload: rename can_rx_offload_irq_queue_err_skb()
to can_rx_offload_queue_tail() (bnc#1012628).
- can: flexcan: use can_rx_offload_queue_sorted() for
flexcan_irq_bus_*() (bnc#1012628).
- can: flexcan: handle tx-complete CAN frames via rx-offload
infrastructure (bnc#1012628).
- can: raw: check for CAN FD capable netdev in raw_sendmsg()
(bnc#1012628).
- can: hi311x: Use level-triggered interrupt (bnc#1012628).
- can: flexcan: Always use last mailbox for TX (bnc#1012628).
- can: flexcan: remove not needed struct flexcan_priv::tx_mb
and struct flexcan_priv::tx_mb_idx (bnc#1012628).
- ACPICA: AML interpreter: add region addresses in global list
during initialization (bnc#1012628).
- IB/hfi1: Eliminate races in the SDMA send error path
(bnc#1012628).
- fsnotify: generalize handling of extra event flags
(bnc#1012628).
- fanotify: fix handling of events on child sub-directory
(bnc#1012628).
- pinctrl: meson: fix pinconf bias disable (bnc#1012628).
- pinctrl: meson: fix gxbb ao pull register bits (bnc#1012628).
- pinctrl: meson: fix gxl ao pull register bits (bnc#1012628).
- pinctrl: meson: fix meson8 ao pull register bits (bnc#1012628).
- pinctrl: meson: fix meson8b ao pull register bits (bnc#1012628).
- tools/testing/nvdimm: Fix the array size for dimm devices
(bnc#1012628).
- scsi: lpfc: fix remoteport access (bnc#1012628).
- scsi: hisi_sas: Remove set but not used variable 'dq_list'
(bnc#1012628).
- KVM: PPC: Move and undef TRACE_INCLUDE_PATH/FILE (bnc#1012628).
- cpufreq: imx6q: add return value check for voltage scale
(bnc#1012628).
- rtc: cmos: Do not export alarm rtc_ops when we do not support
alarms (bnc#1012628).
- rtc: pcf2127: fix a kmemleak caused in pcf2127_i2c_gather_write
(bnc#1012628).
- crypto: simd - correctly take reqsize of wrapped skcipher into
account (bnc#1012628).
- floppy: fix race condition in __floppy_read_block_0()
(bnc#1012628).
- powerpc/io: Fix the IO workarounds code to work with Radix
(bnc#1012628).
- sched/fair: Fix cpu_util_wake() for 'execl' type workloads
(bnc#1012628).
- perf/x86/intel/uncore: Add more IMC PCI IDs for KabyLake and
CoffeeLake CPUs (bnc#1012628).
- block: copy ioprio in __bio_clone_fast() and bounce
(bnc#1012628).
- SUNRPC: Fix a bogus get/put in generic_key_to_expire()
(bnc#1012628).
- riscv: add missing vdso_install target (bnc#1012628).
- RISC-V: Silence some module warnings on 32-bit (bnc#1012628).
- drm/amdgpu: fix bug with IH ring setup (bnc#1012628).
- kdb: Use strscpy with destination buffer size (bnc#1012628).
- NFSv4: Fix an Oops during delegation callbacks (bnc#1012628).
- powerpc/numa: Suppress "VPHN is not supported" messages
(bnc#1012628).
- efi/arm: Revert deferred unmap of early memmap mapping
(bnc#1012628).
- z3fold: fix possible reclaim races (bnc#1012628).
- mm, memory_hotplug: check zone_movable in has_unmovable_pages
(bnc#1012628).
- tmpfs: make lseek(SEEK_DATA/SEK_HOLE) return ENXIO with a
negative offset (bnc#1012628).
- mm, page_alloc: check for max order in hot path (bnc#1012628).
- dax: Avoid losing wakeup in dax_lock_mapping_entry
(bnc#1012628).
- include/linux/pfn_t.h: force '~' to be parsed as an unary
operator (bnc#1012628).
- tty: wipe buffer (bnc#1012628).
- tty: wipe buffer if not echoing data (bnc#1012628).
- gfs2: Fix iomap buffer head reference counting bug
(bnc#1012628).
- rcu: Make need_resched() respond to urgent RCU-QS needs
(bnc#1012628).
- media: ov5640: Re-work MIPI startup sequence (bnc#1012628).
- media: ov5640: Fix timings setup code (bnc#1012628).
- media: ov5640: fix exposure regression (bnc#1012628).
- media: ov5640: fix auto gain & exposure when changing mode
(bnc#1012628).
- media: ov5640: fix wrong binning value in exposure calculation
(bnc#1012628).
- media: ov5640: fix auto controls values when switching to
manual mode (bnc#1012628).
- commit e8181d1
-------------------------------------------------------------------
Tue Nov 27 20:56:09 CET 2018 - jslaby@suse.cz
- Linux 4.19.5 (bnc#1012628).
- drm/i915: Replace some PAGE_SIZE with I915_GTT_PAGE_SIZE
(bnc#1012628).
- cifs: don't dereference smb_file_target before null check
(bnc#1012628).
- cifs: fix return value for cifs_listxattr (bnc#1012628).
- arm64: kprobe: make page to RO mode when allocate it
(bnc#1012628).
- block: brd: associate with queue until adding disk
(bnc#1012628).
- net: hns3: bugfix for rtnl_lock's range in the hclgevf_reset()
(bnc#1012628).
- net: hns3: bugfix for rtnl_lock's range in the hclge_reset()
(bnc#1012628).
- net: hns3: bugfix for handling mailbox while the command queue
reinitialized (bnc#1012628).
- net: hns3: bugfix for the initialization of command queue's
spin lock (bnc#1012628).
- ixgbe: fix MAC anti-spoofing filter after VFLR (bnc#1012628).
- reiserfs: propagate errors from fill_with_dentries() properly
(bnc#1012628).
- hfs: prevent btree data loss on root split (bnc#1012628).
- hfsplus: prevent btree data loss on root split (bnc#1012628).
- perf unwind: Take pgoff into account when reporting elf to
libdwfl (bnc#1012628).
- um: Give start_idle_thread() a return code (bnc#1012628).
- drm/edid: Add 6 bpc quirk for BOE panel (bnc#1012628).
- afs: Handle EIO from delivery function (bnc#1012628).
- platform/x86: intel_telemetry: report debugfs failure
(bnc#1012628).
- clk: fixed-rate: fix of_node_get-put imbalance (bnc#1012628).
- perf symbols: Set PLT entry/header sizes properly on Sparc
(bnc#1012628).
- fs/exofs: fix potential memory leak in mount option parsing
(bnc#1012628).
- clk: samsung: exynos5420: Enable PERIS clocks for suspend
(bnc#1012628).
- apparmor: Fix uninitialized value in aa_split_fqname
(bnc#1012628).
- x86/earlyprintk: Add a force option for pciserial device
(bnc#1012628).
- platform/x86: acerhdf: Add BIOS entry for Gateway LT31 v1.3307
(bnc#1012628).
- clk: meson-axg: pcie: drop the mpll3 clock parent (bnc#1012628).
- arm64: percpu: Initialize ret in the default case (bnc#1012628).
- clk: meson: clk-pll: drop CLK_GET_RATE_NOCACHE where unnecessary
(bnc#1012628).
- clk: renesas: r9a06g032: Fix UART34567 clock rate (bnc#1012628).
- clk: ti: fix OF child-node lookup (bnc#1012628).
- serial: sh-sci: Fix receive on SCIFA/SCIFB variants with DMA
(bnc#1012628).
- netfilter: ipv6: fix oops when defragmenting locally generated
fragments (bnc#1012628).
- s390/decompressor: add missing FORCE to build targets
(bnc#1012628).
- s390/vdso: add missing FORCE to build targets (bnc#1012628).
- HID: i2c-hid: Add a small delay after sleep command for Raydium
touchpanel (bnc#1012628).
- Revert "HID: add NOGET quirk for Eaton Ellipse MAX UPS"
(bnc#1012628).
- HID: alps: allow incoming reports when only the trackstick is
opened (bnc#1012628).
- Revert "netfilter: nft_numgen: add map lookups for numgen
random operations" (bnc#1012628).
- netfilter: ipset: list:set: Decrease refcount synchronously
on deletion and replace (bnc#1012628).
- netfilter: ipset: actually allow allowable CIDR 0 in
hash:net,port,net (bnc#1012628).
- netfilter: ipset: fix ip_set_list allocation failure
(bnc#1012628).
- s390/mm: fix mis-accounting of pgtable_bytes (bnc#1012628).
- s390/mm: Fix ERROR: "__node_distance" undefined! (bnc#1012628).
- bpf: fix bpf_prog_get_info_by_fd to return 0 func_lens for
unpriv (bnc#1012628).
- netfilter: ipset: Correct rcu_dereference() call in
ip_set_put_comment() (bnc#1012628).
- netfilter: xt_IDLETIMER: add sysfs filename checking routine
(bnc#1012628).
- netfilter: ipset: Fix calling ip_set() macro at dumping
(bnc#1012628).
- netfilter: nft_compat: ebtables 'nat' table is normal chain type
(bnc#1012628).
- s390/qeth: fix HiperSockets sniffer (bnc#1012628).
- s390/qeth: unregister netdevice only when registered
(bnc#1012628).
- net: hns3: Fix for out-of-bounds access when setting pfc back
pressure (bnc#1012628).
- hwmon: (ibmpowernv) Remove bogus __init annotations
(bnc#1012628).
- ARM: dts: imx6sll: fix typo for fsl,imx6sll-i2c node
(bnc#1012628).
- ARM: dts: fsl: Fix improperly quoted stdout-path values
(bnc#1012628).
- Revert "drm/exynos/decon5433: implement frame counter"
(bnc#1012628).
- arm64: dts: renesas: r8a7795: add missing dma-names on hscif2
(bnc#1012628).
- arm64: dts: renesas: condor: switch from EtherAVB to GEther
(bnc#1012628).
- xen/grant-table: Fix incorrect gnttab_dma_free_pages() pr_debug
message (bnc#1012628).
- clk: fixed-factor: fix of_node_get-put imbalance (bnc#1012628).
- mtd: nand: Fix nanddev_pos_next_page() kernel-doc header
(bnc#1012628).
- lib/raid6: Fix arm64 test build (bnc#1012628).
- drm/amd/display: Stop leaking planes (bnc#1012628).
- block: Clear kernel memory before copying to user (bnc#1012628).
- drm/amd/display: Drop reusing drm connector for MST
(bnc#1012628).
- drm/amd/amdgpu/dm: Fix dm_dp_create_fake_mst_encoder()
(bnc#1012628).
- s390/perf: Change CPUM_CF return code in event init function
(bnc#1012628).
- ceph: quota: fix null pointer dereference in quota check
(bnc#1012628).
- of/device: Really only set bus DMA mask when appropriate
(bnc#1012628).
- nvme: make sure ns head inherits underlying device limits
(bnc#1012628).
- i2c: omap: Enable for ARCH_K3 (bnc#1012628).
- i2c: qcom-geni: Fix runtime PM mismatch with child devices
(bnc#1012628).
- sched/core: Take the hotplug lock in sched_init_smp()
(bnc#1012628).
- perf tools: Fix undefined symbol scnprintf in libperf-jvmti.so
(bnc#1012628).
- perf tools: Do not zero sample_id_all for group members
(bnc#1012628).
- ice: Fix dead device link issue with flow control (bnc#1012628).
- ice: Fix the bytecount sent to netdev_tx_sent_queue
(bnc#1012628).
- ice: Change req_speeds to be u16 (bnc#1012628).
- i40e: restore NETIF_F_GSO_IPXIP[46] to netdev features
(bnc#1012628).
- qed: Fix memory/entry leak in qed_init_sp_request()
(bnc#1012628).
- qed: Fix blocking/unlimited SPQ entries leak (bnc#1012628).
- qed: Fix SPQ entries not returned to pool in error flows
(bnc#1012628).
- qed: Fix potential memory corruption (bnc#1012628).
- net: stmmac: Fix RX packet size > 8191 (bnc#1012628).
- net: aquantia: fix potential IOMMU fault after driver unbind
(bnc#1012628).
- net: aquantia: fixed enable unicast on 32 macvlan (bnc#1012628).
- net: aquantia: invalid checksumm offload implementation
(bnc#1012628).
- kbuild: deb-pkg: fix too low build version number (bnc#1012628).
- Revert "scripts/setlocalversion: git: Make -dirty check more
robust" (bnc#1012628).
- SUNRPC: drop pointless static qualifier in
xdr_get_next_encode_buffer() (bnc#1012628).
- x86/mm: Move LDT remap out of KASLR region on 5-level paging
(bnc#1012628).
- x86/ldt: Unmap PTEs for the slot before freeing LDT pages
(bnc#1012628).
- x86/ldt: Remove unused variable in map_ldt_struct()
(bnc#1012628).
- media: v4l: event: Add subscription to list before calling
"add" operation (bnc#1012628).
- MIPS: OCTEON: cavium_octeon_defconfig: re-enable OCTEON USB
driver (bnc#1012628).
- RISC-V: Fix raw_copy_{to,from}_user() (bnc#1012628).
- uio: Fix an Oops on load (bnc#1012628).
- ALSA: hda/realtek - Add quirk entry for HP Pavilion 15
(bnc#1012628).
- ALSA: hda/ca0132 - Call pci_iounmap() instead of iounmap()
(bnc#1012628).
- can: kvaser_usb: Fix accessing freed memory in
kvaser_usb_start_xmit() (bnc#1012628).
- can: kvaser_usb: Fix potential uninitialized variable use
(bnc#1012628).
- usb: cdc-acm: add entry for Hiro (Conexant) modem (bnc#1012628).
- USB: quirks: Add no-lpm quirk for Raydium touchscreens
(bnc#1012628).
- USB: Wait for extra delay time after USB_PORT_FEAT_RESET for
quirky hub (bnc#1012628).
- usb: quirks: Add delay-init quirk for Corsair K70 LUX RGB
(bnc#1012628).
- misc: atmel-ssc: Fix section annotation on
atmel_ssc_get_driver_data (bnc#1012628).
- USB: misc: appledisplay: add 20" Apple Cinema Display
(bnc#1012628).
- gnss: serial: fix synchronous write timeout (bnc#1012628).
- gnss: sirf: fix synchronous write timeout (bnc#1012628).
- mtd: rawnand: atmel: fix OF child-node lookup (bnc#1012628).
- drivers/misc/sgi-gru: fix Spectre v1 vulnerability
(bnc#1012628).
- ACPI / platform: Add SMB0001 HID to forbidden_id_list
(bnc#1012628).
- HID: uhid: forbid UHID_CREATE under KERNEL_DS or elevated
privileges (bnc#1012628).
- HID: Add quirk for Primax PIXART OEM mice (bnc#1012628).
- HID: Add quirk for Microsoft PIXART OEM mouse (bnc#1012628).
- libceph: fall back to sendmsg for slab pages (bnc#1012628).
- mt76x0: run vco calibration for each channel configuration
(bnc#1012628).
- commit 6210279
-------------------------------------------------------------------
Tue Nov 27 17:08:15 CET 2018 - tiwai@suse.de
- media: dvb-pll: don't re-validate tuner frequencies
(bsc#1116374).
- commit 3debe43
-------------------------------------------------------------------
Mon Nov 26 07:22:42 CET 2018 - mkubecek@suse.cz
- Update to 4.20-rc4
- Config changes:
- Networking:
- MT76_LEDS=y (split from MT76_CORE)
- commit 1ac69b7
-------------------------------------------------------------------
Fri Nov 23 18:47:44 CET 2018 - msuchanek@suse.de
- Build ppc64le for POWER8+ (FATE#325617).
- commit f6da51b
-------------------------------------------------------------------
Fri Nov 23 08:51:18 CET 2018 - jslaby@suse.cz
- Linux 4.19.4 (bnc#1012628).
- flow_dissector: do not dissect l4 ports for fragments
(bnc#1012628).
- ibmvnic: fix accelerated VLAN handling (bnc#1012628).
- ip_tunnel: don't force DF when MTU is locked (bnc#1012628).
- ipv6: fix a dst leak when removing its exception (bnc#1012628).
- ipv6: Fix PMTU updates for UDP/raw sockets in presence of VRF
(bnc#1012628).
- net: bcmgenet: protect stop from timeout (bnc#1012628).
- net-gro: reset skb->pkt_type in napi_reuse_skb() (bnc#1012628).
- sctp: not allow to set asoc prsctp_enable by sockopt
(bnc#1012628).
- tcp: Fix SOF_TIMESTAMPING_RX_HARDWARE to use the latest
timestamp during TCP coalescing (bnc#1012628).
- tg3: Add PHY reset for 5717/5719/5720 in change ring and flow
control paths (bnc#1012628).
- tipc: don't assume linear buffer when reading ancillary data
(bnc#1012628).
- tipc: fix lockdep warning when reinitilaizing sockets
(bnc#1012628).
- tuntap: fix multiqueue rx (bnc#1012628).
- net: systemport: Protect stop from timeout (bnc#1012628).
- net/sched: act_pedit: fix memory leak when IDR allocation fails
(bnc#1012628).
- net: sched: cls_flower: validate nested enc_opts_policy to
avoid warning (bnc#1012628).
- tipc: fix link re-establish failure (bnc#1012628).
- net/mlx5e: Don't match on vlan non-existence if ethertype is
wildcarded (bnc#1012628).
- net/mlx5e: Claim TC hw offloads support only under a proper
build config (bnc#1012628).
- net/mlx5e: Adjust to max number of channles when re-attaching
(bnc#1012628).
- net/mlx5e: RX, verify received packet size in Linear Striding RQ
(bnc#1012628).
- Revert "sctp: remove sctp_transport_pmtu_check" (bnc#1012628).
- net/mlx5e: Always use the match level enum when parsing TC
rule match (bnc#1012628).
- net/mlx5e: Fix selftest for small MTUs (bnc#1012628).
- net/mlx5e: Removed unnecessary warnings in FEC caps query
(bnc#1012628).
- inet: frags: better deal with smp races (bnc#1012628).
- l2tp: fix a sock refcnt leak in l2tp_tunnel_register
(bnc#1012628).
- net/mlx5: IPSec, Fix the SA context hash key (bnc#1012628).
- net/mlx5e: IPoIB, Reset QP after channels are closed
(bnc#1012628).
- net: dsa: mv88e6xxx: Fix clearing of stats counters
(bnc#1012628).
- net: phy: realtek: fix RTL8201F sysfs name (bnc#1012628).
- sctp: define SCTP_SS_DEFAULT for Stream schedulers
(bnc#1012628).
- net: qualcomm: rmnet: Fix incorrect assignment of real_dev
(bnc#1012628).
- net: dsa: microchip: initialize mutex before use (bnc#1012628).
- sctp: fix strchange_flags name for Stream Change Event
(bnc#1012628).
- net: phy: mdio-gpio: Fix working over slow can_sleep GPIOs
(bnc#1012628).
- sctp: not increase stream's incnt before sending addstrm_in
request (bnc#1012628).
- mlxsw: spectrum: Fix IP2ME CPU policer configuration
(bnc#1012628).
- net: smsc95xx: Fix MTU range (bnc#1012628).
- rxrpc: Fix lockup due to no error backoff after ack transmit
error (bnc#1012628).
- usbnet: smsc95xx: disable carrier check while suspending
(bnc#1012628).
- Revert "x86/speculation: Enable cross-hyperthread spectre v2
STIBP mitigation" (bnc#1012628).
- Refresh
patches.suse/0001-x86-speculation-Add-basic-IBRS-support-infrastructur.patch.
- commit 2f38375
-------------------------------------------------------------------
Fri Nov 23 00:55:31 CET 2018 - msuchanek@suse.de
- Revert "Remove Cell/PS3 support from ppc64 kernel (boo#1114846)"
This reverts commit fd6aaf7f98693355e7dcc5e4e1926fb1664d803b.
Fixed upstream in 43c6494fa149 ("powerpc/io: Fix the IO workarounds code
to work with Radix")
- commit 4f86993
-------------------------------------------------------------------
Thu Nov 22 11:47:48 CET 2018 - tiwai@suse.de
- media: dvb-pll: fix tuner frequency ranges (bsc#1116374).
- commit edac906
-------------------------------------------------------------------
Wed Nov 21 11:33:39 CET 2018 - jslaby@suse.cz
- Linux 4.19.3 (bnc#1012628).
- powerpc/traps: restore recoverability of machine_check
interrupts (bnc#1012628).
- powerpc/64/module: REL32 relocation range check (bnc#1012628).
- powerpc/mm: Fix page table dump to work on Radix (bnc#1012628).
- powerpc/mm: fix always true/false warning in slice.c
(bnc#1012628).
- drm/amd/display: fix bug of accessing invalid memory
(bnc#1012628).
- Input: wm97xx-ts - fix exit path (bnc#1012628).
- powerpc/Makefile: Fix PPC_BOOK3S_64 ASFLAGS (bnc#1012628).
- powerpc/eeh: Fix possible null deref in eeh_dump_dev_log()
(bnc#1012628).
- tty: check name length in tty_find_polling_driver()
(bnc#1012628).
- tracing/kprobes: Check the probe on unloaded module correctly
(bnc#1012628).
- drm/nouveau/secboot/acr: fix memory leak (bnc#1012628).
- drm/amdgpu/powerplay: fix missing break in switch statements
(bnc#1012628).
- ARM: imx_v6_v7_defconfig: Select CONFIG_TMPFS_POSIX_ACL
(bnc#1012628).
- powerpc/nohash: fix undefined behaviour when testing page size
support (bnc#1012628).
- drm/msm/gpu: fix parameters in function
msm_gpu_crashstate_capture (bnc#1012628).
- drm/msm/disp/dpu: Use proper define for drm_encoder_init()
'encoder_type' (bnc#1012628).
- drm/msm: dpu: Allow planes to extend past active display
(bnc#1012628).
- powerpc/mm: Don't report hugepage tables as memory leaks when
using kmemleak (bnc#1012628).
- drm/omap: fix memory barrier bug in DMM driver (bnc#1012628).
- drm/amd/display: Raise dispclk value for dce120 by 15%
(bnc#1012628).
- drm/amd/display: fix gamma not being applied (bnc#1012628).
- drm/hisilicon: hibmc: Do not carry error code in HiBMC
framebuffer pointer (bnc#1012628).
- media: pci: cx23885: handle adding to list failure
(bnc#1012628).
- media: coda: don't overwrite h.264 profile_idc on decoder
instance (bnc#1012628).
- MIPS: kexec: Mark CPU offline before disabling local IRQ
(bnc#1012628).
- powerpc/boot: Ensure _zimage_start is a weak symbol
(bnc#1012628).
- powerpc/memtrace: Remove memory in chunks (bnc#1012628).
- MIPS/PCI: Call pcie_bus_configure_settings() to set MPS/MRRS
(bnc#1012628).
- staging: erofs: fix a missing endian conversion (bnc#1012628).
- serial: 8250_of: Fix for lack of interrupt support
(bnc#1012628).
- sc16is7xx: Fix for multi-channel stall (bnc#1012628).
- media: tvp5150: fix width alignment during set_selection()
(bnc#1012628).
- powerpc/selftests: Wait all threads to join (bnc#1012628).
- staging:iio:ad7606: fix voltage scales (bnc#1012628).
- drm: rcar-du: Update Gen3 output limitations (bnc#1012628).
- drm/amdgpu: Fix SDMA TO after GPU reset v3 (bnc#1012628).
- staging: most: video: fix registration of an empty comp
core_component (bnc#1012628).
- 9p locks: fix glock.client_id leak in do_lock (bnc#1012628).
- udf: Prevent write-unsupported filesystem to be remounted
read-write (bnc#1012628).
- ARM: dts: imx6ull: keep IMX6UL_ prefix for signals on both
i.MX6UL and i.MX6ULL (bnc#1012628).
- media: ov5640: fix mode change regression (bnc#1012628).
- 9p: clear dangling pointers in p9stat_free (bnc#1012628).
- drm/amdgpu: fix integer overflow test in amdgpu_bo_list_create()
(bnc#1012628).
- media: ov5640: fix restore of last mode set (bnc#1012628).
- ovl: fix error handling in ovl_verify_set_fh() (bnc#1012628).
- ovl: fix recursive oi->lock in ovl_link() (bnc#1012628).
- ovl: check whiteout in ovl_create_over_whiteout() (bnc#1012628).
- ovl: automatically enable redirect_dir on metacopy=on
(bnc#1012628).
- serial: sh-sci: Fix could not remove dev_attr_rx_fifo_timeout
(bnc#1012628).
- scsi: qla2xxx: Fix incorrect port speed being set for FC
adapters (bnc#1012628).
- scsi: qla2xxx: Fix process response queue for ISP26XX and above
(bnc#1012628).
- scsi: qla2xxx: Remove stale debug trace message from tcm_qla2xxx
(bnc#1012628).
- scsi: qla2xxx: Fix early srb free on abort (bnc#1012628).
- scsi: qla2xxx: shutdown chip if reset fail (bnc#1012628).
- scsi: qla2xxx: Reject bsg request if chip is down (bnc#1012628).
- scsi: qla2xxx: Fix re-using LoopID when handle is in use
(bnc#1012628).
- scsi: qla2xxx: Fix for double free of SRB structure
(bnc#1012628).
- scsi: qla2xxx: Fix NVMe session hang on unload (bnc#1012628).
- scsi: qla2xxx: Fix NVMe Target discovery (bnc#1012628).
- scsi: qla2xxx: Fix duplicate switch database entries
(bnc#1012628).
- scsi: qla2xxx: Fix driver hang when FC-NVMe LUNs are configured
(bnc#1012628).
- vfs: fix FIGETBSZ ioctl on an overlayfs file (bnc#1012628).
- fuse: Fix use-after-free in fuse_dev_do_read() (bnc#1012628).
- fuse: Fix use-after-free in fuse_dev_do_write() (bnc#1012628).
- fuse: fix blocked_waitq wakeup (bnc#1012628).
- fuse: set FR_SENT while locked (bnc#1012628).
- drm/msm: fix OF child-node lookup (bnc#1012628).
- arm64: dts: stratix10: Support Ethernet Jumbo frame
(bnc#1012628).
- arm64: dts: stratix10: fix multicast filtering (bnc#1012628).
- clk: meson-gxbb: set fclk_div3 as CLK_IS_CRITICAL (bnc#1012628).
- clk: meson: axg: mark fdiv2 and fdiv3 as critical (bnc#1012628).
- zram: close udev startup race condition as default groups
(bnc#1012628).
- MIPS: Loongson-3: Fix CPU UART irq delivery problem
(bnc#1012628).
- MIPS: Loongson-3: Fix BRIDGE irq delivery problem (bnc#1012628).
- xtensa: add NOTES section to the linker script (bnc#1012628).
- xtensa: make sure bFLT stack is 16 byte aligned (bnc#1012628).
- xtensa: fix boot parameters address translation (bnc#1012628).
- um: Drop own definition of PTRACE_SYSEMU/_SINGLESTEP
(bnc#1012628).
- clk: s2mps11: Fix matching when built as module and DT node
contains compatible (bnc#1012628).
- clk: at91: Fix division by zero in PLL recalc_rate()
(bnc#1012628).
- clk: sunxi-ng: h6: fix bus clocks' divider position
(bnc#1012628).
- clk: rockchip: fix wrong mmc sample phase shift for rk3328
(bnc#1012628).
- clk: rockchip: Fix static checker warning in
rockchip_ddrclk_get_parent call (bnc#1012628).
- libceph: bump CEPH_MSG_MAX_DATA_LEN (bnc#1012628).
- Revert "ceph: fix dentry leak in splice_dentry()" (bnc#1012628).
- thermal: core: Fix use-after-free in
thermal_cooling_device_destroy_sysfs (bnc#1012628).
- mach64: fix display corruption on big endian machines
(bnc#1012628).
- mach64: fix image corruption due to reading accelerator
registers (bnc#1012628).
- acpi/nfit, x86/mce: Handle only uncorrectable machine checks
(bnc#1012628).
- acpi/nfit, x86/mce: Validate a MCE's address before using it
(bnc#1012628).
- acpi, nfit: Fix ARS overflow continuation (bnc#1012628).
- reset: hisilicon: fix potential NULL pointer dereference
(bnc#1012628).
- crypto: hisilicon - Fix NULL dereference for same dst and src
(bnc#1012628).
- crypto: hisilicon - Fix reference after free of memories on
error path (bnc#1012628).
- vhost/scsi: truncate T10 PI iov_iter to prot_bytes
(bnc#1012628).
- scsi: qla2xxx: Initialize port speed to avoid setting lower
speed (bnc#1012628).
- SCSI: fix queue cleanup race before queue initialization is done
(bnc#1012628).
- Revert "powerpc/8xx: Use L1 entry APG to handle _PAGE_ACCESSED
for CONFIG_SWAP" (bnc#1012628).
- soc: ti: QMSS: Fix usage of irq_set_affinity_hint (bnc#1012628).
- ocfs2: fix a misuse a of brelse after failing
ocfs2_check_dir_entry (bnc#1012628).
- ocfs2: free up write context when direct IO failed
(bnc#1012628).
- mm: thp: relax __GFP_THISNODE for MADV_HUGEPAGE mappings
(bnc#1012628).
- memory_hotplug: cond_resched in __remove_pages (bnc#1012628).
- netfilter: conntrack: fix calculation of next bucket number
in early_drop (bnc#1012628).
- ARM: 8809/1: proc-v7: fix Thumb annotation of
cpu_v7_hvc_switch_mm (bnc#1012628).
- bonding/802.3ad: fix link_failure_count tracking (bnc#1012628).
- mtd: spi-nor: cadence-quadspi: Return error code in
cqspi_direct_read_execute() (bnc#1012628).
- mtd: nand: Fix nanddev_neraseblocks() (bnc#1012628).
- mtd: docg3: don't set conflicting BCH_CONST_PARAMS option
(bnc#1012628).
- hwmon: (core) Fix double-free in __hwmon_device_register()
(bnc#1012628).
- perf cs-etm: Correct CPU mode for samples (bnc#1012628).
- perf stat: Handle different PMU names with common prefix
(bnc#1012628).
- perf callchain: Honour the ordering of
PERF_CONTEXT_{USER,KERNEL,etc} (bnc#1012628).
- perf intel-pt/bts: Calculate cpumode for synthesized samples
(bnc#1012628).
- perf intel-pt: Insert callchain context into synthesized
callchains (bnc#1012628).
- of, numa: Validate some distance map rules (bnc#1012628).
- x86/cpu/vmware: Do not trace vmware_sched_clock() (bnc#1012628).
- x86/hyper-v: Enable PIT shutdown quirk (bnc#1012628).
- termios, tty/tty_baudrate.c: fix buffer overrun (bnc#1012628).
- arch/alpha, termios: implement BOTHER, IBSHIFT and termios2
(bnc#1012628).
- watchdog/core: Add missing prototypes for weak functions
(bnc#1012628).
- btrfs: fix pinned underflow after transaction aborted
(bnc#1012628).
- Btrfs: fix missing data checksums after a ranged fsync (msync)
(bnc#1012628).
- Btrfs: fix cur_offset in the error case for nocow (bnc#1012628).
- Btrfs: fix infinite loop on inode eviction after deduplication
of eof block (bnc#1012628).
- Btrfs: fix data corruption due to cloning of eof block
(bnc#1012628).
- btrfs: tree-checker: Fix misleading group system information
(bnc#1012628).
- clockevents/drivers/i8253: Add support for PIT shutdown quirk
(bnc#1012628).
- ext4: add missing brelse() update_backups()'s error path
(bnc#1012628).
- ext4: add missing brelse() in set_flexbg_block_bitmap()'s
error path (bnc#1012628).
- ext4: add missing brelse() add_new_gdb_meta_bg()'s error path
(bnc#1012628).
- ext4: avoid potential extra brelse in
setup_new_flex_group_blocks() (bnc#1012628).
- ext4: missing !bh check in ext4_xattr_inode_write()
(bnc#1012628).
- ext4: fix possible inode leak in the retry loop of
ext4_resize_fs() (bnc#1012628).
- ext4: avoid buffer leak on shutdown in ext4_mark_iloc_dirty()
(bnc#1012628).
- ext4: avoid buffer leak in ext4_orphan_add() after prior errors
(bnc#1012628).
- ext4: fix missing cleanup if ext4_alloc_flex_bg_array() fails
while resizing (bnc#1012628).
- ext4: avoid possible double brelse() in add_new_gdb() on error
path (bnc#1012628).
- ext4: fix possible leak of sbi->s_group_desc_leak in error path
(bnc#1012628).
- ext4: fix possible leak of s_journal_flag_rwsem in error path
(bnc#1012628).
- ext4: fix buffer leak in ext4_xattr_get_block() on error path
(bnc#1012628).
- ext4: release bs.bh before re-using in ext4_xattr_block_find()
(bnc#1012628).
- ext4: fix buffer leak in ext4_xattr_move_to_block() on error
path (bnc#1012628).
- ext4: fix buffer leak in ext4_expand_extra_isize_ea() on error
path (bnc#1012628).
- ext4: fix buffer leak in __ext4_read_dirblock() on error path
(bnc#1012628).
- mount: Retest MNT_LOCKED in do_umount (bnc#1012628).
- mount: Don't allow copying MNT_UNBINDABLE|MNT_LOCKED mounts
(bnc#1012628).
- mount: Prevent MNT_DETACH from disconnecting locked mounts
(bnc#1012628).
- mnt: fix __detach_mounts infinite loop (bnc#1012628).
- uapi: fix linux/kfd_ioctl.h userspace compilation errors
(bnc#1012628).
- ARM: cpuidle: Don't register the driver when back-end init
returns -ENXIO (bnc#1012628).
- kdb: use correct pointer when 'btc' calls 'btt' (bnc#1012628).
- kdb: print real address of pointers instead of hashed addresses
(bnc#1012628).
- sunrpc: correct the computation for page_ptr when truncating
(bnc#1012628).
- NFSv4: Don't exit the state manager without clearing
NFS4CLNT_MANAGER_RUNNING (bnc#1012628).
- nfsd: COPY and CLONE operations require the saved filehandle
to be set (bnc#1012628).
- rtc: hctosys: Add missing range error reporting (bnc#1012628).
- fuse: fix use-after-free in fuse_direct_IO() (bnc#1012628).
- fuse: fix leaked notify reply (bnc#1012628).
- fuse: fix possibly missed wake-up after abort (bnc#1012628).
- selinux: check length properly in SCTP bind hook (bnc#1012628).
- gfs2: Put bitmap buffers in put_super (bnc#1012628).
- gfs2: Fix metadata read-ahead during truncate (2) (bnc#1012628).
- libata: blacklist SAMSUNG MZ7TD256HAFV-000L9 SSD (bnc#1012628).
- crypto: user - fix leaking uninitialized memory to userspace
(bnc#1012628).
- lib/ubsan.c: don't mark __ubsan_handle_builtin_unreachable as
noreturn (bnc#1012628).
- hugetlbfs: fix kernel BUG at
fs/hugetlbfs/inode.c:444! (bnc#1012628).
- mm/swapfile.c: use kvzalloc for swap_info_struct allocation
(bnc#1012628).
- efi/arm/libstub: Pack FDT after populating it (bnc#1012628).
- mm: don't reclaim inodes with many attached pages (bnc#1012628).
- scripts/spdxcheck.py: make python3 compliant (bnc#1012628).
- drm/rockchip: Allow driver to be shutdown on reboot/kexec
(bnc#1012628).
- drm/amdgpu: Fix typo in amdgpu_vmid_mgr_init (bnc#1012628).
- drm/amdgpu: add missing CHIP_HAINAN in
amdgpu_ucode_get_load_type (bnc#1012628).
- drm/amdgpu: Suppress keypresses from ACPI_VIDEO events
(bnc#1012628).
- drm/nouveau: Check backlight IDs are >= 0, not > 0
(bnc#1012628).
- drm/nouveau: Fix nv50_mstc->best_encoder() (bnc#1012628).
- drm/amd/powerplay: Enable/Disable NBPSTATE on On/OFF of UVD
(bnc#1012628).
- drm/etnaviv: fix bogus fence complete check in timeout handler
(bnc#1012628).
- drm/dp_mst: Check if primary mstb is null (bnc#1012628).
- drm: panel-orientation-quirks: Add quirk for Acer One 10 (S1003)
(bnc#1012628).
- drm/i915/dp: Link train Fallback on eDP only if fallback link
BW can fit panel's native mode (bnc#1012628).
- drm/i915: Use the correct crtc when sanitizing plane mapping
(bnc#1012628).
- drm/i915: Restore vblank interrupts earlier (bnc#1012628).
- drm/i915: Don't unset intel_connector->mst_port (bnc#1012628).
- drm/i915: Skip vcpi allocation for MSTB ports that are gone
(bnc#1012628).
- drm/i915: Large page offsets for pread/pwrite (bnc#1012628).
- drm/i915/dp: Fix link retraining comment in
intel_dp_long_pulse() (bnc#1012628).
- drm/i915/dp: Restrict link retrain workaround to external
monitors (bnc#1012628).
- drm/i915/icl: Fix the macros for DFLEXDPMLE register bits
(bnc#1012628).
- drm/i915/hdmi: Add HDMI 2.0 audio clock recovery N values
(bnc#1012628).
- drm/i915: Mark up GTT sizes as u64 (bnc#1012628).
- drm/i915: Fix error handling for the NV12 fb dimensions check
(bnc#1012628).
- drm/i915: Fix ilk+ watermarks when disabling pipes
(bnc#1012628).
- drm/i915: Compare user's 64b GTT offset even on 32b
(bnc#1012628).
- drm/i915: Don't oops during modeset shutdown after lpe audio
deinit (bnc#1012628).
- drm/i915: Mark pin flags as u64 (bnc#1012628).
- drm/i915/ringbuffer: Delay after EMIT_INVALIDATE for gen4/gen5
(bnc#1012628).
- drm/i915/execlists: Force write serialisation into context
image vs execution (bnc#1012628).
- drm/i915: Fix possible race in intel_dp_add_mst_connector()
(bnc#1012628).
- drm/i915: Fix NULL deref when re-enabling HPD IRQs on systems
with MST (bnc#1012628).
- drm/i915: Fix hpd handling for pins with two encoders
(bnc#1012628).
- CONFIG_XEN_PV breaks xen_create_contiguous_region on ARM
(bnc#1012628).
- Revert "ACPICA: AML interpreter: add region addresses in global
list during initialization" (bnc#1012628).
- commit fc4ca8a
-------------------------------------------------------------------
Tue Nov 20 14:26:50 CET 2018 - rgoldwyn@suse.com
- apparmor: fix unnecessary creation of net-compat (bsc#1116724).
- commit f5cf767
-------------------------------------------------------------------
Mon Nov 19 14:10:29 CET 2018 - tiwai@suse.de
- drm/amdgpu: Add missing firmware entry for HAINAN (bsc#1116239).
- commit 21d18c9
-------------------------------------------------------------------
Mon Nov 19 07:08:48 CET 2018 - mkubecek@suse.cz
- Update to 4.20-rc3
- Refresh configs
- commit 81d20d2
-------------------------------------------------------------------
Tue Nov 13 21:56:49 CET 2018 - jslaby@suse.cz
- Linux 4.19.2 (bnc#1012628).
- bpf: fix partial copy of map_ptr when dst is scalar
(bnc#1012628).
- MIPS: VDSO: Reduce VDSO_RANDOMIZE_SIZE to 64MB for 64bit
(bnc#1012628).
- gpio: mxs: Get rid of external API call (bnc#1012628).
- mtd: rawnand: marvell: fix the IRQ handler complete() condition
(bnc#1012628).
- mtd: maps: gpio-addr-flash: Fix ioremapped size (bnc#1012628).
- mtd: spi-nor: fsl-quadspi: fix read error for flash size larger
than 16MB (bnc#1012628).
- mtd: spi-nor: intel-spi: Add support for Intel Ice Lake SPI
serial flash (bnc#1012628).
- mtd: spi-nor: fsl-quadspi: Don't let -EINVAL on the bus
(bnc#1012628).
- spi: spi-mem: Adjust op len based on message/transfer size
limitations (bnc#1012628).
- spi: bcm-qspi: switch back to reading flash using smaller chunks
(bnc#1012628).
- spi: bcm-qspi: fix calculation of address length (bnc#1012628).
- bcache: trace missed reading by cache_missed (bnc#1012628).
- bcache: fix ioctl in flash device (bnc#1012628).
- bcache: correct dirty data statistics (bnc#1012628).
- bcache: fix miss key refill->end in writeback (bnc#1012628).
- hwmon: (pmbus) Fix page count auto-detection (bnc#1012628).
- jffs2: free jffs2_sb_info through jffs2_kill_sb() (bnc#1012628).
- block: setup bounce bio_sets properly (bnc#1012628).
- block: make sure discard bio is aligned with logical block size
(bnc#1012628).
- block: make sure writesame bio is aligned with logical block
size (bnc#1012628).
- cpufreq: conservative: Take limits changes into account properly
(bnc#1012628).
- dma-mapping: fix panic caused by passing empty cma command
line argument (bnc#1012628).
- pcmcia: Implement CLKRUN protocol disabling for Ricoh bridges
(bnc#1012628).
- ACPI / OSL: Use 'jiffies' as the time bassis for
acpi_os_get_timer() (bnc#1012628).
- ACPICA: AML interpreter: add region addresses in global list
during initialization (bnc#1012628).
- ACPICA: AML Parser: fix parse loop to correctly skip erroneous
extended opcodes (bnc#1012628).
- acpi, nfit: Fix Address Range Scrub completion tracking
(bnc#1012628).
- kprobes/x86: Use preempt_enable() in optimized_callback()
(bnc#1012628).
- ipmi: Fix timer race with module unload (bnc#1012628).
- mailbox: PCC: handle parse error (bnc#1012628).
- parisc: Fix address in HPMC IVA (bnc#1012628).
- parisc: Fix map_pages() to not overwrite existing pte entries
(bnc#1012628).
- parisc: Fix exported address of os_hpmc handler (bnc#1012628).
- ALSA: hda - Add quirk for ASUS G751 laptop (bnc#1012628).
- ALSA: hda - Fix headphone pin config for ASUS G751
(bnc#1012628).
- ALSA: hda/realtek - Fix the problem of the front MIC on the
Lenovo M715 (bnc#1012628).
- ALSA: hda - Add mic quirk for the Lenovo G50-30 (17aa:3905)
(bnc#1012628).
- ALSA: hda: Add 2 more models to the power_save blacklist
(bnc#1012628).
- ALSA: ca0106: Disable IZD on SB0570 DAC to fix audio pops
(bnc#1012628).
- ALSA: hda - Fix incorrect clearance of thinkpad_acpi hooks
(bnc#1012628).
- x86/speculation: Enable cross-hyperthread spectre v2 STIBP
mitigation (bnc#1012628).
- x86/xen: Fix boot loader version reported for PVH guests
(bnc#1012628).
- x86/corruption-check: Fix panic in memory_corruption_check()
when boot option without value is provided (bnc#1012628).
- x86/kvm/nVMX: allow bare VMXON state migration (bnc#1012628).
- x86/mm/pat: Disable preemption around __flush_tlb_all()
(bnc#1012628).
- x86/numa_emulation: Fix uniform-split numa emulation
(bnc#1012628).
- ARM: dts: exynos: Disable pull control for MAX8997 interrupts
on Origen (bnc#1012628).
- net: socionext: Reset tx queue in ndo_stop (bnc#1012628).
- net: loopback: clear skb->tstamp before netif_rx()
(bnc#1012628).
- locking/lockdep: Fix debug_locks off performance problem
(bnc#1012628).
- netfilter: xt_nat: fix DNAT target for shifted portmap ranges
(bnc#1012628).
- ataflop: fix error handling during setup (bnc#1012628).
- swim: fix cleanup on setup error (bnc#1012628).
- arm64: cpufeature: ctr: Fix cpu capability check for late CPUs
(bnc#1012628).
- hv_netvsc: fix vf serial matching with pci slot info
(bnc#1012628).
- nfp: devlink port split support for 1x100G CXP NIC
(bnc#1012628).
- tun: Consistently configure generic netdev params via rtnetlink
(bnc#1012628).
- s390/sthyi: Fix machine name validity indication (bnc#1012628).
- hwmon: (pwm-fan) Set fan speed to 0 on suspend (bnc#1012628).
- lightnvm: pblk: fix race on sysfs line state (bnc#1012628).
- lightnvm: pblk: fix two sleep-in-atomic-context bugs
(bnc#1012628).
- lightnvm: pblk: fix race condition on metadata I/O
(bnc#1012628).
- spi: spi-ep93xx: Use dma_data_direction for
ep93xx_spi_dma_{finish,prepare} (bnc#1012628).
- perf tools: Free temporary 'sys' string in read_event_files()
(bnc#1012628).
- perf tools: Cleanup trace-event-info 'tdata' leak (bnc#1012628).
- perf tools: Free 'printk' string in parse_ftrace_printk()
(bnc#1012628).
- perf strbuf: Match va_{add,copy} with va_end (bnc#1012628).
- cpupower: Fix coredump on VMWare (bnc#1012628).
- bcache: Populate writeback_rate_minimum attribute (bnc#1012628).
- mmc: sdhci-pci-o2micro: Add quirk for O2 Micro dev 0x8620 rev
0x01 (bnc#1012628).
- sdhci: acpi: add free_slot callback (bnc#1012628).
- mtd: rawnand: denali: set SPARE_AREA_SKIP_BYTES register to
8 if unset (bnc#1012628).
- iwlwifi: pcie: avoid empty free RB queue (bnc#1012628).
- iwlwifi: mvm: clear HW_RESTART_REQUESTED when stopping the
interface (bnc#1012628).
- iwlwifi: mvm: check for n_profiles validity in EWRD ACPI
(bnc#1012628).
- x86/olpc: Indicate that legacy PC XO-1 platform should not
register RTC (bnc#1012628).
- wlcore: Fix BUG with clear completion on timeout (bnc#1012628).
- ACPI/PPTT: Handle architecturally unknown cache types
(bnc#1012628).
- ACPI / PM: LPIT: Register sysfs attributes based on FADT
(bnc#1012628).
- ACPI / processor: Fix the return value of
acpi_processor_ids_walk() (bnc#1012628).
- cpufreq: dt: Try freeing static OPPs only if we have added them
(bnc#1012628).
- x86/intel_rdt: Show missing resctrl mount options (bnc#1012628).
- mtd: rawnand: atmel: Fix potential NULL pointer dereference
(bnc#1012628).
- nvme: call nvme_complete_rq when nvmf_check_ready fails for
mpath I/O (bnc#1012628).
- ath10k: fix tx status flag setting for management frames
(bnc#1012628).
- signal: Introduce COMPAT_SIGMINSTKSZ for use in
compat_sys_sigaltstack (bnc#1012628).
- ice: fix changing of ring descriptor size (ethtool -G)
(bnc#1012628).
- ice: update fw version check logic (bnc#1012628).
- net: hns3: Fix for packet buffer setting bug (bnc#1012628).
- Bluetooth: btbcm: Add entry for BCM4335C0 UART bluetooth
(bnc#1012628).
- Bluetooth: hci_qca: Remove hdev dereference in qca_close()
(bnc#1012628).
- efi/x86: Call efi_parse_options() from efi_main() (bnc#1012628).
- x86: boot: Fix EFI stub alignment (bnc#1012628).
- net: hns3: Add nic state check before calling
netif_tx_wake_queue (bnc#1012628).
- net: hns3: Fix ets validate issue (bnc#1012628).
- pinctrl: sunxi: fix 'pctrl->functions' allocation in
sunxi_pinctrl_build_state (bnc#1012628).
- pinctrl: qcom: spmi-mpp: Fix err handling of pmic_mpp_set_mux
(bnc#1012628).
- brcmfmac: fix for proper support of 160MHz bandwidth
(bnc#1012628).
- net: hns3: Check hdev state when getting link status
(bnc#1012628).
- net: hns3: Set STATE_DOWN bit of hdev state when stopping net
(bnc#1012628).
- net: phy: phylink: ensure the carrier is off when starting
phylink (bnc#1012628).
- block, bfq: correctly charge and reset entity service in all
cases (bnc#1012628).
- arm64: entry: Allow handling of undefined instructions from EL1
(bnc#1012628).
- kprobes: Return error if we fail to reuse kprobe instead of
BUG_ON() (bnc#1012628).
- spi: gpio: No MISO does not imply no RX (bnc#1012628).
- ACPI / LPSS: Add alternative ACPI HIDs for Cherry Trail DMA
controllers (bnc#1012628).
- pinctrl: qcom: spmi-mpp: Fix drive strength setting
(bnc#1012628).
- bpf/verifier: fix verifier instability (bnc#1012628).
- failover: Add missing check to validate 'slave_dev' in
net_failover_slave_unregister (bnc#1012628).
- perf tests: Fix record+probe_libc_inet_pton.sh without ping's
debuginfo (bnc#1012628).
- pinctrl: spmi-mpp: Fix pmic_mpp_config_get() to be compliant
(bnc#1012628).
- pinctrl: ssbi-gpio: Fix pm8xxx_pin_config_get() to be compliant
(bnc#1012628).
- net: hns3: Preserve vlan 0 in hardware table (bnc#1012628).
- net: hns3: Fix ping exited problem when doing lp selftest
(bnc#1012628).
- net: hns3: Fix for vf vlan delete failed problem (bnc#1012628).
- net: dsa: mv88e6xxx: Fix writing to a PHY page (bnc#1012628).
- mt76x2u: run device cleanup routine if resume fails
(bnc#1012628).
- rsi: fix memory alignment issue in ARM32 platforms
(bnc#1012628).
- libertas_tf: prevent underflow in process_cmdrequest()
(bnc#1012628).
- iwlwifi: mvm: fix BAR seq ctrl reporting (bnc#1012628).
- gpio: brcmstb: allow 0 width GPIO banks (bnc#1012628).
- ixgbe: disallow IPsec Tx offload when in SR-IOV mode
(bnc#1012628).
- ixgbevf: VF2VF TCP RSS (bnc#1012628).
- wil6210: fix RX buffers release and unmap (bnc#1012628).
- ath10k: schedule hardware restart if WMI command times out
(bnc#1012628).
- libata: Apply NOLPM quirk for SAMSUNG MZ7TD256HAFV-000L9
(bnc#1012628).
- thermal: rcar_thermal: Prevent doing work after unbind
(bnc#1012628).
- thermal: da9062/61: Prevent hardware access during system
suspend (bnc#1012628).
- cifs: fix a credits leak for compund commands (bnc#1012628).
- cgroup, netclassid: add a preemption point to write_classid
(bnc#1012628).
- net: stmmac: dwmac-sun8i: fix OF child-node lookup
(bnc#1012628).
- f2fs: fix to account IO correctly for cgroup writeback
(bnc#1012628).
- MD: Memory leak when flush bio size is zero (bnc#1012628).
- md: fix memleak for mempool (bnc#1012628).
- of: Add missing exports of node name compare functions
(bnc#1012628).
- scsi: esp_scsi: Track residual for PIO transfers (bnc#1012628).
- scsi: ufs: Schedule clk gating work on correct queue
(bnc#1012628).
- UAPI: ndctl: Fix g++-unsupported initialisation in headers
(bnc#1012628).
- KVM: nVMX: Clear reserved bits of #DB exit qualification
(bnc#1012628).
- scsi: megaraid_sas: fix a missing-check bug (bnc#1012628).
- RDMA/core: Do not expose unsupported counters (bnc#1012628).
- RDMA/cm: Respect returned status of cm_init_av_by_path
(bnc#1012628).
- IB/ipoib: Clear IPCB before icmp_send (bnc#1012628).
- RDMA/bnxt_re: Avoid accessing nq->bar_reg_iomem in failure case
(bnc#1012628).
- RDMA/bnxt_re: Fix recursive lock warning in debug kernel
(bnc#1012628).
- usb: host: ohci-at91: fix request of irq for optional gpio
(bnc#1012628).
- PCI: mediatek: Fix mtk_pcie_find_port() endpoint/port matching
logic (bnc#1012628).
- PCI: cadence: Use AXI region 0 to signal interrupts from EP
(bnc#1012628).
- usb: typec: tcpm: Report back negotiated PPS voltage and current
(bnc#1012628).
- tpm: suppress transmit cmd error logs when TPM 1.2 is
disabled/deactivated (bnc#1012628).
- f2fs: clear PageError on the read path (bnc#1012628).
- Drivers: hv: vmbus: Use cpumask_var_t for on-stack cpu mask
(bnc#1012628).
- VMCI: Resource wildcard match fixed (bnc#1012628).
- PCI / ACPI: Enable wake automatically for power managed bridges
(bnc#1012628).
- xprtrdma: Reset credit grant properly after a disconnect
(bnc#1012628).
- irqchip/pdc: Setup all edge interrupts as rising edge at GIC
(bnc#1012628).
- usb: dwc2: fix call to vbus supply exit routine, call it
unlocked (bnc#1012628).
- usb: dwc2: fix a race with external vbus supply (bnc#1012628).
- usb: gadget: udc: atmel: handle at91sam9rl PMC (bnc#1012628).
- ext4: fix argument checking in EXT4_IOC_MOVE_EXT (bnc#1012628).
- MD: fix invalid stored role for a disk (bnc#1012628).
- PCI: cadence: Correct probe behaviour when failing to get PHY
(bnc#1012628).
- nvmem: check the return value of nvmem_add_cells()
(bnc#1012628).
- xhci: Avoid USB autosuspend when resuming USB2 ports
(bnc#1012628).
- scsi: qla2xxx: Fix recursive mailbox timeout (bnc#1012628).
- f2fs: fix to recover inode's crtime during POR (bnc#1012628).
- f2fs: fix to recover inode's i_flags during POR (bnc#1012628).
- PCI/MSI: Warn and return error if driver enables MSI/MSI-X twice
(bnc#1012628).
- coresight: etb10: Fix handling of perf mode (bnc#1012628).
- PCI: dwc: pci-dra7xx: Enable errata i870 for both EP and RC mode
(bnc#1012628).
- crypto: caam - fix implicit casts in endianness helpers
(bnc#1012628).
- usb: chipidea: Prevent unbalanced IRQ disable (bnc#1012628).
- Smack: ptrace capability use fixes (bnc#1012628).
- driver/dma/ioat: Call del_timer_sync() without holding prep_lock
(bnc#1012628).
- ASoC: AMD: Fix capture unstable in beginning for some runs
(bnc#1012628).
- firmware: coreboot: Unmap ioregion after device population
(bnc#1012628).
- IB/ipoib: Use dev_port to expose network interface port numbers
(bnc#1012628).
- IB/mlx5: Allow transition of DCI QP to reset (bnc#1012628).
- uio: ensure class is registered before devices (bnc#1012628).
- scsi: lpfc: Correct soft lockup when running mds diagnostics
(bnc#1012628).
- scsi: lpfc: Correct race with abort on completion path
(bnc#1012628).
- f2fs: avoid sleeping under spin_lock (bnc#1012628).
- f2fs: report error if quota off error during umount
(bnc#1012628).
- signal: Always deliver the kernel's SIGKILL and SIGSTOP to a
pid namespace init (bnc#1012628).
- f2fs: fix to flush all dirty inodes recovered in readonly fs
(bnc#1012628).
- mfd: menelaus: Fix possible race condition and leak
(bnc#1012628).
- dmaengine: dma-jz4780: Return error if not probed from DT
(bnc#1012628).
- IB/rxe: fix for duplicate request processing and ack psns
(bnc#1012628).
- ALSA: hda: Check the non-cached stream buffers more explicitly
(bnc#1012628).
- cpupower: Fix AMD Family 0x17 msr_pstate size (bnc#1012628).
- Revert "f2fs: fix to clear PG_checked flag in set_page_dirty()"
(bnc#1012628).
- f2fs: fix missing up_read (bnc#1012628).
- f2fs: fix to recover cold bit of inode block during POR
(bnc#1012628).
- f2fs: fix to account IO correctly (bnc#1012628).
- OPP: Free OPP table properly on performance state irregularities
(bnc#1012628).
- ARM: dts: exynos: Convert exynos5250.dtsi to opp-v2 bindings
(bnc#1012628).
- ARM: dts: exynos: Mark 1 GHz CPU OPP as suspend OPP on
Exynos5250 (bnc#1012628).
- xen-swiotlb: use actually allocated size on check physical
continuous (bnc#1012628).
- tpm: Restore functionality to xen vtpm driver (bnc#1012628).
- xen/blkfront: avoid NULL blkfront_info dereference on device
removal (bnc#1012628).
- xen/balloon: Support xend-based toolstack (bnc#1012628).
- xen: fix race in xen_qlock_wait() (bnc#1012628).
- xen: make xen_qlock_wait() nestable (bnc#1012628).
- xen/pvh: increase early stack size (bnc#1012628).
- xen/pvh: don't try to unplug emulated devices (bnc#1012628).
- libertas: don't set URB_ZERO_PACKET on IN USB transfer
(bnc#1012628).
- usbip:vudc: BUG kmalloc-2048 (Not tainted): Poison overwritten
(bnc#1012628).
- usb: typec: tcpm: Fix APDO PPS order checking to be based on
voltage (bnc#1012628).
- usb: gadget: udc: renesas_usb3: Fix b-device mode for
"workaround" (bnc#1012628).
- mt76: mt76x2: fix multi-interface beacon configuration
(bnc#1012628).
- iwlwifi: mvm: check return value of rs_rate_from_ucode_rate()
(bnc#1012628).
- net/ipv4: defensive cipso option parsing (bnc#1012628).
- dmaengine: ppc4xx: fix off-by-one build failure (bnc#1012628).
- scsi: sched/wait: Add wait_event_lock_irq_timeout for
TASK_UNINTERRUPTIBLE usage (bnc#1012628).
- scsi: target: Fix target_wait_for_sess_cmds breakage with
active signals (bnc#1012628).
- libnvdimm: Hold reference on parent while scheduling async init
(bnc#1012628).
- libnvdimm, region: Fail badblocks listing for inactive regions
(bnc#1012628).
- libnvdimm, pmem: Fix badblocks population for 'raw' namespaces
(bnc#1012628).
- ASoC: intel: skylake: Add missing break in skl_tplg_get_token()
(bnc#1012628).
- ASoC: sta32x: set ->component pointer in private struct
(bnc#1012628).
- IB/mlx5: Fix MR cache initialization (bnc#1012628).
- IB/rxe: Revise the ib_wr_opcode enum (bnc#1012628).
- jbd2: fix use after free in jbd2_log_do_checkpoint()
(bnc#1012628).
- gfs2_meta: ->mount() can get NULL dev_name (bnc#1012628).
- ext4: fix EXT4_IOC_SWAP_BOOT (bnc#1012628).
- ext4: initialize retries variable in
ext4_da_write_inline_data_begin() (bnc#1012628).
- ext4: fix setattr project check in fssetxattr ioctl
(bnc#1012628).
- ext4: propagate error from dquot_initialize() in
EXT4_IOC_FSSETXATTR (bnc#1012628).
- ext4: fix use-after-free race in ext4_remount()'s error path
(bnc#1012628).
- selinux: fix mounting of cgroup2 under older policies
(bnc#1012628).
- HID: wacom: Work around HID descriptor bug in DTK-2451 and
DTH-2452 (bnc#1012628).
- HID: hiddev: fix potential Spectre v1 (bnc#1012628).
- EDAC, amd64: Add Family 17h, models 10h-2fh support
(bnc#1012628).
- EDAC, {i7core,sb,skx}_edac: Fix uncorrected error counting
(bnc#1012628).
- EDAC, skx_edac: Fix logical channel intermediate decoding
(bnc#1012628).
- ARM: dts: dra7: Fix up unaligned access setting for PCIe EP
(bnc#1012628).
- PCI/ASPM: Fix link_state teardown on device removal
(bnc#1012628).
- PCI: Add Device IDs for Intel GPU "spurious interrupt" quirk
(bnc#1012628).
- signal/GenWQE: Fix sending of SIGKILL (bnc#1012628).
- signal: Guard against negative signal numbers in
copy_siginfo_from_user32 (bnc#1012628).
- crypto: lrw - Fix out-of bounds access on counter overflow
(bnc#1012628).
- crypto: tcrypt - fix ghash-generic speed test (bnc#1012628).
- crypto: aesni - don't use GFP_ATOMIC allocation if the request
doesn't cross a page in gcm (bnc#1012628).
- crypto: morus/generic - fix for big endian systems
(bnc#1012628).
- crypto: aegis/generic - fix for big endian systems
(bnc#1012628).
- crypto: speck - remove Speck (bnc#1012628).
- mm: /proc/pid/smaps_rollup: fix NULL pointer deref in
smaps_pte_range() (bnc#1012628).
- userfaultfd: disable irqs when taking the waitqueue lock
(bnc#1012628).
- ima: fix showing large 'violations' or
'runtime_measurements_count' (bnc#1012628).
- ima: open a new file instance if no read permissions
(bnc#1012628).
- hugetlbfs: dirty pages as they are added to pagecache
(bnc#1012628).
- mm/rmap: map_pte() was not handling private ZONE_DEVICE page
properly (bnc#1012628).
- mm/hmm: fix race between hmm_mirror_unregister() and
mmu_notifier callback (bnc#1012628).
- KVM: arm/arm64: Ensure only THP is candidate for adjustment
(bnc#1012628).
- KVM: arm64: Fix caching of host MDCR_EL2 value (bnc#1012628).
- kbuild: fix kernel/bounds.c 'W=1' warning (bnc#1012628).
- iio: ad5064: Fix regulator handling (bnc#1012628).
- iio: adc: imx25-gcq: Fix leak of device_node in
mx25_gcq_setup_cfgs() (bnc#1012628).
- iio: adc: at91: fix acking DRDY irq on simple conversions
(bnc#1012628).
- iio: adc: at91: fix wrong channel number in triggered buffer
mode (bnc#1012628).
- w1: omap-hdq: fix missing bus unregister at removal
(bnc#1012628).
- smb3: allow stats which track session and share reconnects to
be reset (bnc#1012628).
- smb3: do not attempt cifs operation in smb3 query info error
path (bnc#1012628).
- smb3: on kerberos mount if server doesn't specify auth type
use krb5 (bnc#1012628).
- printk: Fix panic caused by passing log_buf_len to command line
(bnc#1012628).
- genirq: Fix race on spurious interrupt detection (bnc#1012628).
- tpm: fix response size validation in tpm_get_random()
(bnc#1012628).
- NFC: nfcmrvl_uart: fix OF child-node lookup (bnc#1012628).
- NFSv4.1: Fix the r/wsize checking (bnc#1012628).
- nfs: Fix a missed page unlock after pg_doio() (bnc#1012628).
- nfsd: correctly decrement odstate refcount in error path
(bnc#1012628).
- nfsd: Fix an Oops in free_session() (bnc#1012628).
- lockd: fix access beyond unterminated strings in prints
(bnc#1012628).
- dm ioctl: harden copy_params()'s copy_from_user() from malicious
users (bnc#1012628).
- dm zoned: fix metadata block ref counting (bnc#1012628).
- dm zoned: fix various dmz_get_mblock() issues (bnc#1012628).
- media: ov7670: make "xclk" clock optional (bnc#1012628).
- fsnotify: Fix busy inodes during unmount (bnc#1012628).
- powerpc64/module elfv1: Set opd addresses after module
relocation (bnc#1012628).
- powerpc/msi: Fix compile error on mpc83xx (bnc#1012628).
- powerpc/tm: Fix HFSCR bit for no suspend case (bnc#1012628).
- powerpc/64s/hash: Do not use PPC_INVALIDATE_ERAT on CPUs before
POWER9 (bnc#1012628).
- MIPS: OCTEON: fix out of bounds array access on CN68XX
(bnc#1012628).
- rtc: ds1307: fix ds1339 wakealarm support (bnc#1012628).
- rtc: cmos: Fix non-ACPI undefined reference to
`hpet_rtc_interrupt' (bnc#1012628).
- rtc: cmos: Remove the `use_acpi_alarm' module parameter for
!ACPI (bnc#1012628).
- power: supply: twl4030-charger: fix OF sibling-node lookup
(bnc#1012628).
- ocxl: Fix access to the AFU Descriptor Data (bnc#1012628).
- iommu/arm-smmu: Ensure that page-table updates are visible
before TLBI (bnc#1012628).
- TC: Set DMA masks for devices (bnc#1012628).
- net: bcmgenet: fix OF child-node lookup (bnc#1012628).
- media: v4l2-tpg: fix kernel oops when enabling HFLIP and OSD
(bnc#1012628).
- Revert "media: dvbsky: use just one mutex for serializing
device R/W ops" (bnc#1012628).
- kgdboc: Passing ekgdboc to command line causes panic
(bnc#1012628).
- remoteproc: qcom: q6v5: Propagate EPROBE_DEFER (bnc#1012628).
- media: cec: make cec_get_edid_spa_location() an inline function
(bnc#1012628).
- media: cec: integrate cec_validate_phys_addr() in cec-api.c
(bnc#1012628).
- xen: fix xen_qlock_wait() (bnc#1012628).
- xen: remove size limit of privcmd-buf mapping interface
(bnc#1012628).
- xen-blkfront: fix kernel panic with negotiate_mq error path
(bnc#1012628).
- media: cec: add new tx/rx status bits to detect aborts/timeouts
(bnc#1012628).
- media: cec: fix the Signal Free Time calculation (bnc#1012628).
- media: cec: forgot to cancel delayed work (bnc#1012628).
- media: em28xx: use a default format if TRY_FMT fails
(bnc#1012628).
- media: tvp5150: avoid going past array on v4l2_querymenu()
(bnc#1012628).
- media: em28xx: fix input name for Terratec AV 350 (bnc#1012628).
- media: em28xx: make v4l2-compliance happier by starting sequence
on zero (bnc#1012628).
- media: em28xx: fix handler for vidioc_s_input() (bnc#1012628).
- media: adv7604: when the EDID is cleared, unconfigure CEC as
well (bnc#1012628).
- media: adv7842: when the EDID is cleared, unconfigure CEC as
well (bnc#1012628).
- drm/mediatek: fix OF sibling-node lookup (bnc#1012628).
- media: media colorspaces*.rst: rename AdobeRGB to opRGB
(bnc#1012628).
- media: replace ADOBERGB by OPRGB (bnc#1012628).
- media: hdmi.h: rename ADOBE_RGB to OPRGB and ADOBE_YCC to OPYCC
(bnc#1012628).
- arm64: lse: remove -fcall-used-x0 flag (bnc#1012628).
- rpmsg: smd: fix memory leak on channel create (bnc#1012628).
- Cramfs: fix abad comparison when wrap-arounds occur
(bnc#1012628).
- ARM: dts: socfpga: Fix SDRAM node address for Arria10
(bnc#1012628).
- arm64: dts: stratix10: Correct System Manager register size
(bnc#1012628).
- soc: qcom: rmtfs-mem: Validate that scm is available
(bnc#1012628).
- soc/tegra: pmc: Fix child-node lookup (bnc#1012628).
- selftests/ftrace: Fix synthetic event test to delete event
correctly (bnc#1012628).
- selftests/powerpc: Fix ptrace tm failure (bnc#1012628).
- tracing: Return -ENOENT if there is no target synthetic event
(bnc#1012628).
- btrfs: qgroup: Avoid calling qgroup functions if qgroup is
not enabled (bnc#1012628).
- btrfs: Handle owner mismatch gracefully when walking up tree
(bnc#1012628).
- btrfs: locking: Add extra check in btrfs_init_new_buffer()
to avoid deadlock (bnc#1012628).
- btrfs: fix error handling in free_log_tree (bnc#1012628).
- btrfs: fix error handling in btrfs_dev_replace_start
(bnc#1012628).
- btrfs: Enhance btrfs_trim_fs function to handle error better
(bnc#1012628).
- btrfs: Ensure btrfs_trim_fs can trim the whole filesystem
(bnc#1012628).
- btrfs: iterate all devices during trim, instead of
fs_devices::alloc_list (bnc#1012628).
- btrfs: don't attempt to trim devices that don't support it
(bnc#1012628).
- btrfs: keep trim from interfering with transaction commits
(bnc#1012628).
- btrfs: wait on caching when putting the bg cache (bnc#1012628).
- Btrfs: don't clean dirty pages during buffered writes
(bnc#1012628).
- btrfs: release metadata before running delayed refs
(bnc#1012628).
- btrfs: protect space cache inode alloc with GFP_NOFS
(bnc#1012628).
- btrfs: reset max_extent_size on clear in a bitmap (bnc#1012628).
- btrfs: make sure we create all new block groups (bnc#1012628).
- Btrfs: fix warning when replaying log after fsync of a tmpfile
(bnc#1012628).
- Btrfs: fix wrong dentries after fsync of file that got its
parent replaced (bnc#1012628).
- btrfs: qgroup: Dirty all qgroups before rescan (bnc#1012628).
- Btrfs: fix null pointer dereference on compressed write path
error (bnc#1012628).
- Btrfs: fix assertion on fsync of regular file when using
no-holes feature (bnc#1012628).
- Btrfs: fix deadlock when writing out free space caches
(bnc#1012628).
- btrfs: reset max_extent_size properly (bnc#1012628).
- btrfs: set max_extent_size properly (bnc#1012628).
- btrfs: don't use ctl->free_space for max_extent_size
(bnc#1012628).
- btrfs: only free reserved extent if we didn't insert it
(bnc#1012628).
- btrfs: fix insert_reserved error handling (bnc#1012628).
- btrfs: don't run delayed_iputs in commit (bnc#1012628).
- btrfs: move the dio_sem higher up the callchain (bnc#1012628).
- Btrfs: fix use-after-free during inode eviction (bnc#1012628).
- Btrfs: fix use-after-free when dumping free space (bnc#1012628).
- net: sched: Remove TCA_OPTIONS from policy (bnc#1012628).
- userns: also map extents in the reverse map to kernel IDs
(bnc#1012628).
- bpf: wait for running BPF programs when updating map-in-map
(bnc#1012628).
- vga_switcheroo: Fix missing gpu_bound call at audio client
registration (bnc#1012628).
- MD: fix invalid stored role for a disk - try2 (bnc#1012628).
- Refresh
patches.suse/0001-x86-speculation-Add-basic-IBRS-support-infrastructur.patch.
- commit 8adee6e
-------------------------------------------------------------------
Mon Nov 12 07:01:19 CET 2018 - mkubecek@suse.cz
- Update to 4.20-rc2
- Eliminated 1 patch
- Config changes:
- I2C:
I2C_NVIDIA_GPU=m
- USB:
UCSI_CCG=m
- commit 1c08d7f
-------------------------------------------------------------------
Sun Nov 11 19:26:40 CET 2018 - afaerber@suse.de
- config: arm64: Update to 4.20-rc1
- commit 2d02cd8
-------------------------------------------------------------------
Sun Nov 11 10:17:28 CET 2018 - jslaby@suse.cz
- vt: fix broken display when running aptitude (bnc#1114836).
- commit 5bec309
-------------------------------------------------------------------
Thu Nov 8 08:13:47 CET 2018 - jslaby@suse.cz
- doc/README.SUSE: correct GIT url
No more gitorious, github we use.
- commit 31864f3
-------------------------------------------------------------------
Tue Nov 6 14:08:44 CET 2018 - mkubecek@suse.cz
- config: reenable BPFILTER_UMH on ppc64
- commit 46cb36e
-------------------------------------------------------------------
Tue Nov 6 13:33:13 CET 2018 - agraf@suse.de
- Remove Cell/PS3 support from ppc64 kernel (boo#1114846)
- commit c336d64
-------------------------------------------------------------------
Mon Nov 5 18:28:38 CET 2018 - mkubecek@suse.cz
- scripts/mkmakefile: honor second argument.
- commit 78325a6
-------------------------------------------------------------------
Mon Nov 5 18:22:18 CET 2018 - mkubecek@suse.cz
- rpm/kernel-binary.spec.in: add macros.s into kernel-*-devel
Starting with 4.20-rc1, file arch/*/kernel/macros.s is needed to build out
of tree modules. Add it to kernel-${flavor}-devel packages if it exists.
- commit 09d14c8
-------------------------------------------------------------------
Mon Nov 5 14:16:00 CET 2018 - mkubecek@suse.cz
- series.conf: delete an obsolete comment
- commit c3bd57d
-------------------------------------------------------------------
Mon Nov 5 08:30:26 CET 2018 - jslaby@suse.cz
- Refresh
patches.suse/0005-x86-enter-Use-IBRS-on-syscall-and-interrupts.patch.
Adapt to 4.20.
- commit dcaec93
-------------------------------------------------------------------
Mon Nov 5 08:18:35 CET 2018 - jslaby@suse.cz
- Linux 4.19.1 (bnc#1012628).
- net: bridge: remove ipv6 zero address check in mcast queries
(bnc#1012628).
- sparc64: Wire up compat getpeername and getsockname
(bnc#1012628).
- sparc64: Make corrupted user stacks more debuggable
(bnc#1012628).
- sparc64: Export __node_distance (bnc#1012628).
- sctp: check policy more carefully when getting pr status
(bnc#1012628).
- Revert "be2net: remove desc field from be_eq_obj" (bnc#1012628).
- r8169: fix broken Wake-on-LAN from S5 (poweroff) (bnc#1012628).
- net: Properly unlink GRO packets on overflow (bnc#1012628).
- net: drop skb on failure in ip_check_defrag() (bnc#1012628).
- mlxsw: core: Fix devlink unregister flow (bnc#1012628).
- mlxsw: spectrum_switchdev: Don't ignore deletions of learned
MACs (bnc#1012628).
- net/smc: fix smc_buf_unuse to use the lgr pointer (bnc#1012628).
- net/ipv6: Allow onlink routes to have a device mismatch if it
is the default route (bnc#1012628).
- openvswitch: Fix push/pop ethernet validation (bnc#1012628).
- bonding: fix length of actor system (bnc#1012628).
- vhost: Fix Spectre V1 vulnerability (bnc#1012628).
- rtnetlink: Disallow FDB configuration for non-Ethernet device
(bnc#1012628).
- Revert "net: simplify sock_poll_wait" (bnc#1012628).
- net: udp: fix handling of CHECKSUM_COMPLETE packets
(bnc#1012628).
- net: stmmac: Fix stmmac_mdio_reset() when building stmmac as
modules (bnc#1012628).
- net: sched: gred: pass the right attribute to
gred_change_table_def() (bnc#1012628).
- net/mlx5e: fix csum adjustments caused by RXFCS (bnc#1012628).
- ipv6/ndisc: Preserve IPv6 control buffer if protocol error
handlers are called (bnc#1012628).
- bridge: do not add port to router list when receives query
with source 0.0.0.0 (bnc#1012628).
- commit 57135d7
-------------------------------------------------------------------
Mon Nov 5 05:38:24 CET 2018 - mkubecek@suse.cz
- Update to 4.20-rc1
- ARM configs need updating
- disabled (needs refresh):
patches.suse/0005-x86-enter-Use-IBRS-on-syscall-and-interrupts.patch
- Config changes:
- General:
- PSI=y
- Networking:
- NFT_XFRM=m
- NET_SCH_TAPRIO=m
- BATMAN_ADV_TRACING=n
- NCSI_OEM_CMD_GET_MAC=y
- EEPROM_EE1004=m
- SCSI_UFS_BSG=y
- SCSI_MYRB=m
- SCSI_MYRS=m
- IXGBE_IPSEC=y
- IXGBEVF_IPSEC=y
- IGC=m
- NI_XGE_MANAGEMENT_ENET=m
- MT76x0E=m
- File systems:
- EROFS_FS_IO_MAX_RETRIES=5 (default)
- AFS_DEBUG_CURSOR=n
- CONFIG_UBIFS_FS_AUTHENTICATION=y
- Crypto:
- CRYPTO_OFB=m
- CRYPTO_STATS=n
- ASYMMETRIC_TPM_KEY_SUBTYPE=m
- PKCS8_PRIVATE_KEY_PARSER=m
- TPM_KEY_PARSER=m
- Graphics:
- VIDEO_VICODEC=m
- DRM_FBDEV_LEAK_PHYS_SMEM=n
- DRM_I915_DEBUG_RUNTIME_PM=n
- DRM_TOSHIBA_TC358764=n
- DRM_TI_SN65DSI86=n
- Sound:
- SND_SOC_INTEL_KBL_DA7219_MAX98927_MACH=m
- SND_SOC_INTEL_SKL_HDA_DSP_GENERIC_MACH=m
- SND_SOC_MAX98088=n
- SND_SOC_PCM3060_I2C=n
- SND_SOC_PCM3060_SPI=n
- SND_SOC_NAU8822=n
- Input devices:
- HID_BIGBEN_FF=m
- Platform:
- LG_LAPTOP=m
- INTEL_ATOMISP2_PM=m
- IIO:
- ADXL372_SPI=n
- ADXL372_I2C=n
- MCP3911=n
- QCOM_SPMI_ADC5=n
- LTC1660=n
- VL53L0X_I2C=m
- Misc drivers:
- UDMABUF=y
- MFD_AT91_USART=n
- LEDS_AN30259A=n
- LEDS_TRIGGER_PATTERN=m
- PHY_CADENCE_DP=m
- STM_PROTO_BASIC=m
- STM_PROTO_SYS_T=m
- Testing:
- TEST_XARRAY=n
- TEST_MEMCAT_P=n
- x86:
- X86_CPA_STATISTICS=n (y for -debug)
- i386:
- MSCC_OCELOT_SWITCH_OCELOT=m
- ppc64, ppc64le:
- PAPR_SCM=m
- PCI_P2PDMA=y
- STACKPROTECTOR=y
- STACKPROTECTOR_STRONG=n
- BLK_DEV_PMEM=m
- ND_BLK=m
- BTT=y
- NVDIMM_PFN=y
- NVDIMM_DAX=y
- OF_PMEM=m
- DEV_DAX_PMEM=m
- FAIL_FUNCTION=n
- ENA_ETHERNET=m
- s390:
- VMAP_STACK=y
- S390_AP_IOMMU=n
- ZCRYPT_MULTIDEVNODES=y
- KASAN=n
- */debug:
- INTEL_IOMMU_DEBUGFS=y
- BPF_KPROBE_OVERRIDE=y
- CONFIG_X86_CPA_STATISTICS=y
- CONFIG_CRYPTO_STATS=y
- commit f29310b
-------------------------------------------------------------------
Sun Nov 4 13:36:43 CET 2018 - mkubecek@suse.cz
- rpm: use syncconfig instead of silentoldconfig where available
Since mainline commit 0085b4191f3e ("kconfig: remove silentoldconfig
target"), "make silentoldconfig" can be no longer used. Use "make
syncconfig" instead if available.
- commit a239c6e
-------------------------------------------------------------------
Fri Nov 2 14:52:15 CET 2018 - mkubecek@suse.cz
- series.conf: more descriptive name for network driver section
We have two networking sections in series.conf: one for networking core and
one for network drivers. The latter is among other driver related sections
but it may not be obvious that it is not intended for core networking
patches. Change the label to "Network drivers" to make its purpose more
apparent.
- commit 7968e32
-------------------------------------------------------------------
Fri Nov 2 14:49:13 CET 2018 - mkubecek@suse.cz
- config: enable SCSI_AACRAID on ppc64le and ppc64 (bsc#1114523)
- commit 5f3762b
-------------------------------------------------------------------
Tue Oct 30 13:54:17 CET 2018 - jslaby@suse.cz
- Refresh
patches.suse/netfilter-bridge-define-INT_MIN-INT_MAX-in-userspace.patch.
Update upstream status.
- commit 37417fa
-------------------------------------------------------------------
Mon Oct 29 16:24:59 CET 2018 - tiwai@suse.de
- cdrom: fix improper type cast, which can leat to information
leak (CVE-2018-18710,bsc#1113751).
- commit 6216efb
-------------------------------------------------------------------
Thu Oct 25 22:35:11 CEST 2018 - mwilck@suse.com
- rpm/kernel-binary.spec.in: allow unsupported modules for -extra
(bsc#1111183). SLE-15 and later only.
- commit 0d585a8
-------------------------------------------------------------------
Wed Oct 24 19:37:20 CEST 2018 - mkubecek@suse.cz
- series.conf: move patches.suse/netfilter-bridge-define-INT_MIN-INT_MAX-in-userspace.patch to netfilter section
- commit 7656685
-------------------------------------------------------------------
Wed Oct 24 13:55:42 CEST 2018 - jslaby@suse.cz
- netfilter: bridge: define INT_MIN & INT_MAX in userspace
(4.19 fixes).
- commit e7213f6
-------------------------------------------------------------------
Mon Oct 22 10:12:05 CEST 2018 - mkubecek@suse.cz
- Update to 4.19 final
- Refresh configs
- commit b4c35bb
-------------------------------------------------------------------
Sat Oct 20 19:52:04 CEST 2018 - jslaby@suse.cz
- Linux 4.18.16 (bnc#1012628).
- ARC: build: Don't set CROSS_COMPILE in arch's Makefile
(bnc#1012628).
- ARC: build: Get rid of toolchain check (bnc#1012628).
- mremap: properly flush TLB before releasing the page
(bnc#1012628).
- Revert "vfs: fix freeze protection in mnt_want_write_file()
for overlayfs" (bnc#1012628).
- x86/boot: Fix kexec booting failure in the SEV bit detection
code (bnc#1012628).
- iommu/amd: Return devid as alias for ACPI HID devices
(bnc#1012628).
- powerpc/numa: Use associativity if VPHN hcall is successful
(bnc#1012628).
- powerpc/tm: Avoid possible userspace r1 corruption on reclaim
(bnc#1012628).
- powerpc/tm: Fix userspace r13 corruption (bnc#1012628).
- pinctrl/amd: poll InterruptEnable bits in amd_gpio_irq_set_type
(bnc#1012628).
- iommu/rockchip: Free irqs in shutdown handler (bnc#1012628).
- RISC-V: include linux/ftrace.h in asm-prototypes.h
(bnc#1012628).
- RDMA/bnxt_re: Fix system crash during RDMA resource
initialization (bnc#1012628).
- clocksource/drivers/fttmr010: Fix set_next_event handler
(bnc#1012628).
- net/mlx4: Use cpumask_available for eq->affinity_mask
(bnc#1012628).
- bpf: test_maps, only support ESTABLISHED socks (bnc#1012628).
- bpf: sockmap, fix transition through disconnect without close
(bnc#1012628).
- bpf: sockmap only allow ESTABLISHED sock state (bnc#1012628).
- scsi: sd: don't crash the host on invalid commands
(bnc#1012628).
- scsi: ipr: System hung while dlpar adding primary ipr adapter
back (bnc#1012628).
- drm: mali-dp: Call drm_crtc_vblank_reset on device init
(bnc#1012628).
- scsi: lpfc: Synchronize access to remoteport via rport
(bnc#1012628).
- RDMA/uverbs: Fix validity check for modify QP (bnc#1012628).
- PCI: dwc: Fix scheduling while atomic issues (bnc#1012628).
- qed: Do not add VLAN 0 tag to untagged frames in multi-function
mode (bnc#1012628).
- qed: Fix populating the invalid stag value in multi function
mode (bnc#1012628).
- net/smc: fix sizeof to int comparison (bnc#1012628).
- net/smc: fix non-blocking connect problem (bnc#1012628).
- ravb: do not write 1 to reserved bits (bnc#1012628).
- net: emac: fix fixed-link setup for the RTL8363SB switch
(bnc#1012628).
- selftests: pmtu: properly redirect stderr to /dev/null
(bnc#1012628).
- Input: atakbd - fix Atari CapsLock behaviour (bnc#1012628).
- Input: atakbd - fix Atari keymap (bnc#1012628).
- intel_th: pci: Add Ice Lake PCH support (bnc#1012628).
- scsi: ibmvscsis: Ensure partition name is properly NUL
terminated (bnc#1012628).
- scsi: ibmvscsis: Fix a stringop-overflow warning (bnc#1012628).
- clocksource/drivers/ti-32k: Add CLOCK_SOURCE_SUSPEND_NONSTOP
flag for non-am43 SoCs (bnc#1012628).
- cxgb4: fix abort_req_rss6 struct (bnc#1012628).
- batman-adv: fix hardif_neigh refcount on queue_work() failure
(bnc#1012628).
- batman-adv: fix backbone_gw refcount on queue_work() failure
(bnc#1012628).
- batman-adv: Prevent duplicated tvlv handler (bnc#1012628).
- batman-adv: Prevent duplicated global TT entry (bnc#1012628).
- batman-adv: Prevent duplicated softif_vlan entry (bnc#1012628).
- batman-adv: Prevent duplicated nc_node entry (bnc#1012628).
- batman-adv: Prevent duplicated gateway_node entry (bnc#1012628).
- batman-adv: Fix segfault when writing to sysfs elp_interval
(bnc#1012628).
- batman-adv: Fix segfault when writing to throughput_override
(bnc#1012628).
- batman-adv: Avoid probe ELP information leak (bnc#1012628).
- spi: gpio: Fix copy-and-paste error (bnc#1012628).
- media: af9035: prevent buffer overflow on write (bnc#1012628).
- soundwire: Fix acquiring bus lock twice during master release
(bnc#1012628).
- soundwire: Fix incorrect exit after configuring stream
(bnc#1012628).
- soundwire: Fix duplicate stream state assignment (bnc#1012628).
- commit bd19c86
-------------------------------------------------------------------
Thu Oct 18 10:55:11 CEST 2018 - jslaby@suse.cz
- Linux 4.18.15 (bnc#1012628).
- KVM: PPC: Book3S HV: Avoid crash from THP collapse during
radix page fault (bnc#1012628).
- i2c: i2c-scmi: fix for i2c_smbus_write_block_data (bnc#1012628).
- mm: Preserve _PAGE_DEVMAP across mprotect() calls (bnc#1012628).
- filesystem-dax: Fix dax_layout_busy_page() livelock
(bnc#1012628).
- mm/thp: fix call to mmu_notifier in set_pmd_migration_entry()
v2 (bnc#1012628).
- mm/mmap.c: don't clobber partially overlapping VMA with
MAP_FIXED_NOREPLACE (bnc#1012628).
- arm64: perf: Reject stand-alone CHAIN events for PMUv3
(bnc#1012628).
- pinctrl: mcp23s08: fix irq and irqchip setup order
(bnc#1012628).
- mmc: block: avoid multiblock reads for the last sector in SPI
mode (bnc#1012628).
- drm/nouveau/drm/nouveau: Grab runtime PM ref in
nv50_mstc_detect() (bnc#1012628).
- Input: xpad - add support for Xbox1 PDP Camo series gamepad
(bnc#1012628).
- cgroup: Fix dom_cgrp propagation when enabling threaded mode
(bnc#1012628).
- dm linear: fix linear_end_io conditional definition
(bnc#1012628).
- dm linear: eliminate linear_end_io call if CONFIG_DM_ZONED
disabled (bnc#1012628).
- dm: fix report zone remapping to account for partition offset
(bnc#1012628).
- dm cache: destroy migration_cache if cache target registration
failed (bnc#1012628).
- s390/cio: Fix how vfio-ccw checks pinned pages (bnc#1012628).
- perf script python: Fix export-to-sqlite.py sample columns
(bnc#1012628).
- perf script python: Fix export-to-postgresql.py occasional
failure (bnc#1012628).
- percpu: stop leaking bitmap metadata blocks (bnc#1012628).
- vsprintf: Fix off-by-one bug in bstr_printf() processing
dereferenced pointers (bnc#1012628).
- mach64: detect the dot clock divider correctly on sparc
(bnc#1012628).
- MIPS: VDSO: Always map near top of user memory (bnc#1012628).
- MIPS: Fix CONFIG_CMDLINE handling (bnc#1012628).
- afs: Fix clearance of reply (bnc#1012628).
- afs: Fix afs_server struct leak (bnc#1012628).
- mm/vmstat.c: fix outdated vmstat_text (bnc#1012628).
- mm: slowly shrink slabs with a relatively small number of
objects (bnc#1012628).
- drm/amdkfd: Fix ATS capablity was not reported correctly on
some APUs (bnc#1012628).
- drm/amdkfd: Change the control stack MTYPE from UC to NC on GFX9
(bnc#1012628).
- drm/amdgpu: Fix SDMA HQD destroy error on gfx_v7 (bnc#1012628).
- x86/kvm/lapic: always disable MMIO interface in x2APIC mode
(bnc#1012628).
- pinctrl: cannonlake: Fix gpio base for GPP-E (bnc#1012628).
- clk: x86: Stop marking clocks as CLK_IS_CRITICAL (bnc#1012628).
- clk: x86: add "ether_clk" alias for Bay Trail / Cherry Trail
(bnc#1012628).
- PCI: hv: support reporting serial number as slot information
(bnc#1012628).
- ARM: dts: at91: add new compatibility string for macb on sama5d3
(bnc#1012628).
- net: macb: disable scatter-gather for macb on sama5d3
(bnc#1012628).
- net: ethernet: ti: add missing GENERIC_ALLOCATOR dependency
(bnc#1012628).
- hwmon: (nct6775) Use different register to get fan RPM for fan7
(bnc#1012628).
- stmmac: fix valid numbers of unicast filter entries
(bnc#1012628).
- hwmon: (nct6775) Fix RPM output for fan7 on NCT6796D
(bnc#1012628).
- hwmon: (nct6775) Fix virtual temperature sources for NCT6796D
(bnc#1012628).
- bpf: use __GFP_COMP while allocating page (bnc#1012628).
- bpf: btf: Fix end boundary calculation for type section
(bnc#1012628).
- sound: don't call skl_init_chip() to reset intel skl soc
(bnc#1012628).
- sound: enable interrupt after dma buffer initialization
(bnc#1012628).
- scsi: qla2xxx: Fix an endian bug in fcpcmd_is_corrupted()
(bnc#1012628).
- scsi: iscsi: target: Don't use stack buffer for scatterlist
(bnc#1012628).
- KVM: PPC: Book3S HV: Don't use compound_order to determine
host mapping size (bnc#1012628).
- mfd: omap-usb-host: Fix dts probe of children (bnc#1012628).
- Bluetooth: hci_ldisc: Free rw_semaphore on close (bnc#1012628).
- Bluetooth: Use correct tfm to generate OOB data (bnc#1012628).
- Bluetooth: SMP: Fix trying to use non-existent local OOB data
(bnc#1012628).
- drm/pl111: Make sure of_device_id tables are NULL terminated
(bnc#1012628).
- ASoC: AMD: Ensure reset bit is cleared before configuring
(bnc#1012628).
- Fix cg_read_strcmp() (bnc#1012628).
- hwmon: (nct6775) Fix access to fan pulse registers
(bnc#1012628).
- ASoC: rsnd: don't fallback to PIO mode when -EPROBE_DEFER
(bnc#1012628).
- ASoC: rsnd: adg: care clock-frequency size (bnc#1012628).
- selftests: memory-hotplug: add required configs (bnc#1012628).
- selftests/efivarfs: add required kernel configs (bnc#1012628).
- selftests: add headers_install to lib.mk (bnc#1012628).
- ASoC: q6routing: initialize data correctly (bnc#1012628).
- ASoC: sigmadsp: safeload should not have lower byte limit
(bnc#1012628).
- ASoC: wm8804: Add ACPI support (bnc#1012628).
- ASoC: max98373: Added 10ms sleep after amp software reset
(bnc#1012628).
- selftests: kselftest: Remove outdated comment (bnc#1012628).
- selftests: android: move config up a level (bnc#1012628).
- ASoC: rt5514: Fix the issue of the delay volume applied again
(bnc#1012628).
- ASoC: max98373: Added speaker FS gain cotnrol register to
volatile (bnc#1012628).
- ASoC: dapm: Fix NULL pointer deference on CODEC to CODEC DAIs
(bnc#1012628).
- vxlan: fill ttl inherit info (bnc#1012628).
- r8169: set RX_MULTI_EN bit in RxConfig for 8168F-family chips
(bnc#1012628).
- r8169: fix network stalls due to missing bit TXCFG_AUTO_FIFO
(bnc#1012628).
- qed: Fix shmem structure inconsistency between driver and the
mfw (bnc#1012628).
- net: mscc: fix the frame extraction into the skb (bnc#1012628).
- net/ipv6: stop leaking percpu memory in fib6 info (bnc#1012628).
- net/ipv6: Remove extra call to ip6_convert_metrics for multipath
case (bnc#1012628).
- bnxt_en: get the reduced max_irqs by the ones used by RDMA
(bnc#1012628).
- bnxt_en: Fix enables field in HWRM_QUEUE_COS2BW_CFG request
(bnc#1012628).
- net/mlx5: Check for SQ and not RQ state when modifying hairpin
SQ (bnc#1012628).
- net: ipv4: don't let PMTU updates increase route MTU
(bnc#1012628).
- bnxt_en: Fix VNIC reservations on the PF (bnc#1012628).
- rtnetlink: Fail dump if target netnsid is invalid (bnc#1012628).
- net: dsa: b53: Keep CPU port as tagged in all VLANs
(bnc#1012628).
- inet: make sure to grab rcu_read_lock before using
ireq->ireq_opt (bnc#1012628).
- tcp/dccp: fix lockdep issue when SYN is backlogged
(bnc#1012628).
- sfp: fix oops with ethtool -m (bnc#1012628).
- net: phy: phylink: fix SFP interface autodetection
(bnc#1012628).
- net-ethtool: ETHTOOL_GUFO did not and should not require
CAP_NET_ADMIN (bnc#1012628).
- bnxt_en: don't try to offload VLAN 'modify' action
(bnc#1012628).
- nfp: avoid soft lockups under control message storm
(bnc#1012628).
- net: stmmac: Rework coalesce timer and fix multi-queue races
(bnc#1012628).
- bonding: fix warning message (bnc#1012628).
- bonding: pass link-local packets to bonding master also
(bnc#1012628).
- net/mlx5: E-Switch, Fix out of bound access when setting vport
rate (bnc#1012628).
- net: aquantia: memory corruption on jumbo frames (bnc#1012628).
- net/mlx5e: Set vlan masks for all offloaded TC rules
(bnc#1012628).
- net: dsa: bcm_sf2: Fix unbind ordering (bnc#1012628).
- net/packet: fix packet drop as of virtio gso (bnc#1012628).
- net: stmmac: Fixup the tail addr setting in xmit path
(bnc#1012628).
- tun: napi flags belong to tfile (bnc#1012628).
- tun: initialize napi_mutex unconditionally (bnc#1012628).
- tun: remove unused parameters (bnc#1012628).
- net: qualcomm: rmnet: Fix incorrect allocation flag in receive
path (bnc#1012628).
- net: qualcomm: rmnet: Fix incorrect allocation flag in transmit
(bnc#1012628).
- net: qualcomm: rmnet: Skip processing loopback packets
(bnc#1012628).
- udp: Unbreak modules that rely on external __skb_recv_udp()
availability (bnc#1012628).
- tipc: fix flow control accounting for implicit connect
(bnc#1012628).
- team: Forbid enslaving team device to itself (bnc#1012628).
- sctp: update dst pmtu with the correct daddr (bnc#1012628).
- rtnl: limit IFLA_NUM_TX_QUEUES and IFLA_NUM_RX_QUEUES to 4096
(bnc#1012628).
- rtnetlink: fix rtnl_fdb_dump() for ndmsg header (bnc#1012628).
- commit 5a53676
-------------------------------------------------------------------
Thu Oct 18 10:54:33 CEST 2018 - jslaby@suse.cz
- qmi_wwan: Added support for Gemalto's Cinterion ALASxx WWAN
interface (bnc#1012628).
- qlcnic: fix Tx descriptor corruption on 82xx devices
(bnc#1012628).
- net/usb: cancel pending work when unbinding smsc75xx
(bnc#1012628).
- net: systemport: Fix wake-up interrupt race during resume
(bnc#1012628).
- net: sched: cls_u32: fix hnode refcounting (bnc#1012628).
- net: sched: Add policy validation for tc attributes
(bnc#1012628).
- net: mvpp2: fix a txq_done race condition (bnc#1012628).
- net: mvpp2: Extract the correct ethtype from the skb for tx
csum offload (bnc#1012628).
- netlabel: check for IPV4MASK in addrinfo_get (bnc#1012628).
- net/ipv6: Display all addresses in output of /proc/net/if_inet6
(bnc#1012628).
- net: ipv4: update fnhe_pmtu when first hop's MTU changes
(bnc#1012628).
- net: hns: fix for unmapping problem when SMMU is on
(bnc#1012628).
- net: dsa: bcm_sf2: Call setup during switch resume
(bnc#1012628).
- ipv6: take rcu lock in rawv6_send_hdrinc() (bnc#1012628).
- ipv4: fix use-after-free in ip_cmsg_recv_dstaddr()
(bnc#1012628).
- ip_tunnel: be careful when accessing the inner header
(bnc#1012628).
- ip6_tunnel: be careful when accessing the inner header
(bnc#1012628).
- bonding: avoid possible dead-lock (bnc#1012628).
- bnxt_en: free hwrm resources, if driver probe fails
(bnc#1012628).
- bnxt_en: Fix TX timeout during netpoll (bnc#1012628).
- commit ee9d247
-------------------------------------------------------------------
Mon Oct 15 07:53:23 CEST 2018 - mkubecek@suse.cz
- Update to 4.19-rc8
- commit 2e61ca8
-------------------------------------------------------------------
Sat Oct 13 20:49:01 CEST 2018 - jslaby@suse.cz
- Linux 4.18.14 (bnc#1012628).
- ath10k: fix scan crash due to incorrect length calculation
(bnc#1012628).
- rds: rds_ib_recv_alloc_cache() should call alloc_percpu_gfp()
instead (bnc#1012628).
- ubifs: Check for name being NULL while mounting (bnc#1012628).
- ucma: fix a use-after-free in ucma_resolve_ip() (bnc#1012628).
- tipc: call start and done ops directly in
__tipc_nl_compat_dumpit() (bnc#1012628).
- f2fs: fix invalid memory access (bnc#1012628).
- ARC: clone syscall to setp r25 as thread pointer (bnc#1012628).
- powerpc/lib: fix book3s/32 boot failure due to code patching
(bnc#1012628).
- powerpc: Avoid code patching freed init sections (bnc#1012628).
- of: unittest: Disable interrupt node tests for old world MAC
systems (bnc#1012628).
- tty: Drop tty->count on tty_reopen() failure (bnc#1012628).
- usb: cdc_acm: Do not leak URB buffers (bnc#1012628).
- USB: serial: option: add two-endpoints device-id flag
(bnc#1012628).
- USB: serial: option: improve Quectel EP06 detection
(bnc#1012628).
- USB: serial: simple: add Motorola Tetra MTP6550 id
(bnc#1012628).
- usb: xhci-mtk: resume USB3 roothub first (bnc#1012628).
- xhci: Add missing CAS workaround for Intel Sunrise Point xHCI
(bnc#1012628).
- dm cache: fix resize crash if user doesn't reload cache table
(bnc#1012628).
- dm cache metadata: ignore hints array being too small during
resize (bnc#1012628).
- dm mpath: fix attached_handler_name leak and dangling
hw_handler_name pointer (bnc#1012628).
- PM / core: Clear the direct_complete flag on errors
(bnc#1012628).
- mac80211: fix setting IEEE80211_KEY_FLAG_RX_MGMT for AP mode
keys (bnc#1012628).
- PCI: Reprogram bridge prefetch registers on resume
(bnc#1012628).
- x86/vdso: Fix vDSO syscall fallback asm constraint regression
(bnc#1012628).
- x86/vdso: Only enable vDSO retpolines when enabled and supported
(bnc#1012628).
- selftests/x86: Add clock_gettime() tests to test_vdso
(bnc#1012628).
- x86/vdso: Fix asm constraints on vDSO syscall fallbacks
(bnc#1012628).
- drm: fix use-after-free read in drm_mode_create_lease_ioctl()
(bnc#1012628).
- drm/syncobj: Don't leak fences when WAIT_FOR_SUBMIT is set
(bnc#1012628).
- drm/amdgpu: Fix vce work queue was not cancelled when suspend
(bnc#1012628).
- mac80211: allocate TXQs for active monitor interfaces
(bnc#1012628).
- mmc: slot-gpio: Fix debounce time to use miliseconds again
(bnc#1012628).
- mmc: core: Fix debounce time to use microseconds (bnc#1012628).
- xen-netback: fix input validation in xenvif_set_hash_mapping()
(bnc#1012628).
- fbdev/omapfb: fix omapfb_memory_read infoleak (bnc#1012628).
- clocksource/drivers/timer-atmel-pit: Properly handle error cases
(bnc#1012628).
- pstore/ram: Fix failure-path memory leak in ramoops_init
(bnc#1012628).
- blk-mq: I/O and timer unplugs are inverted in blktrace
(bnc#1012628).
- KVM: VMX: check for existence of secondary exec controls before
accessing (bnc#1012628).
- KVM: x86: fix L1TF's MMIO GFN calculation (bnc#1012628).
- mm/vmstat.c: skip NR_TLB_REMOTE_FLUSH* properly (bnc#1012628).
- mm, thp: fix mlocking THP page with migration enabled
(bnc#1012628).
- mm: migration: fix migration of huge PMD shared pages
(bnc#1012628).
- perf/core: Add sanity check to deal with pinned event failure
(bnc#1012628).
- commit ce1c446
-------------------------------------------------------------------
Fri Oct 12 10:10:00 CEST 2018 - tzimmermann@suse.de
- README: Clean-up trailing whitespace
- commit 06542f9
-------------------------------------------------------------------
Thu Oct 11 15:46:10 CEST 2018 - tzimmermann@suse.de
- README: Update documentation wrt. Patch-mainline
Common practice is to set Patch-mainline to a Linux release tag. More
than 95% of all patches follow this convention. The remaining 5% have
been fixed accordingly in SLE15.
The README file is inconsistent wrt. to the content of Patch-mainline.
In some places it refers to a release tag, in others it refers to a version
number. With this cleanup, it refers to release tags everywhere.
This change is a follow-up for commit 1d81d2699cd3.
- commit 57326f5
-------------------------------------------------------------------
Wed Oct 10 20:47:09 CEST 2018 - jslaby@suse.cz
- Linux 4.18.13 (bnc#1012628).
- Revert "drm/amd/pp: Send khz clock values to DC for smu7/8"
(bnc#1012628).
- dm thin metadata: fix __udivdi3 undefined on 32-bit
(bnc#1012628).
- ixgbe: check return value of napi_complete_done() (bnc#1012628).
- HID: i2c-hid: disable runtime PM operations on hantick touchpad
(bnc#1012628).
- ocfs2: fix locking for res->tracking and dlm->tracking_list
(bnc#1012628).
- proc: restrict kernel stack dumps to root (bnc#1012628).
- tools: hv: fcopy: set 'error' in case an unknown operation
was requested (bnc#1012628).
- Drivers: hv: vmbus: Use get/put_cpu() in vmbus_connect()
(bnc#1012628).
- gpiolib: Free the last requested descriptor (bnc#1012628).
- crypto: caam/jr - fix ablkcipher_edesc pointer arithmetic
(bnc#1012628).
- crypto: mxs-dcp - Fix wait logic on chan threads (bnc#1012628).
- crypto: chelsio - Fix memory corruption in DMA Mapped buffers
(bnc#1012628).
- crypto: qat - Fix KASAN stack-out-of-bounds bug in adf_probe()
(bnc#1012628).
- ALSA: hda/realtek - Cannot adjust speaker's volume on Dell
XPS 27 7760 (bnc#1012628).
- iommu/amd: Clear memory encryption mask from physical address
(bnc#1012628).
- smb2: fix missing files in root share directory listing
(bnc#1012628).
- cpufreq: qcom-kryo: Fix section annotations (bnc#1012628).
- firmware: Always initialize the fw_priv list object
(bnc#1012628).
- firmware: Fix security issue with request_firmware_into_buf()
(bnc#1012628).
- b43: fix DMA error related regression with proprietary firmware
(bnc#1012628).
- sysfs: Do not return POSIX ACL xattrs via listxattr
(bnc#1012628).
- ovl: fix format of setxattr debug (bnc#1012628).
- ovl: fix memory leak on unlink of indexed file (bnc#1012628).
- ovl: fix access beyond unterminated strings (bnc#1012628).
- ovl: set I_CREATING on inode being created (bnc#1012628).
- vfs: don't evict uninitialized inode (bnc#1012628).
- new primitive: discard_new_inode() (bnc#1012628).
- x86/APM: Fix build warning when PROC_FS is not enabled
(bnc#1012628).
- xen: fix GCC warning and remove duplicate EVTCHN_ROW/EVTCHN_COL
usage (bnc#1012628).
- xen: avoid crash in disable_hotplug_cpu (bnc#1012628).
- xen/manage: don't complain about an empty value in control/sysrq
node (bnc#1012628).
- asm-generic: io: Fix ioport_map() for !CONFIG_GENERIC_IOMAP &&
CONFIG_INDIRECT_PIO (bnc#1012628).
- cifs: read overflow in is_valid_oplock_break() (bnc#1012628).
- s390/qeth: don't dump past end of unknown HW header
(bnc#1012628).
- s390/qeth: use vzalloc for QUERY OAT buffer (bnc#1012628).
- r8169: Clear RTL_FLAG_TASK_*_PENDING when clearing
RTL_FLAG_TASK_ENABLED (bnc#1012628).
- drm/amdgpu: fix error handling in amdgpu_cs_user_fence_chunk
(bnc#1012628).
- arm64: jump_label.h: use asm_volatile_goto macro instead of
"asm goto" (bnc#1012628).
- drm/amdgpu: Fix SDMA hang in prt mode v2 (bnc#1012628).
- hexagon: modify ffs() and fls() to return int (bnc#1012628).
- commit c434d5c
-------------------------------------------------------------------
Wed Oct 10 20:44:38 CEST 2018 - jslaby@suse.cz
- arch/hexagon: fix kernel/dma.c build warning (bnc#1012628).
- commit b07518e
-------------------------------------------------------------------
Wed Oct 10 20:43:52 CEST 2018 - jslaby@suse.cz
- netfilter: xt_hashlimit: use s->file instead of s->private
(bnc#1012628).
- netfilter: nfnetlink_queue: Solve the NFQUEUE/conntrack clash
for NF_REPEAT (bnc#1012628).
- dm thin metadata: try to avoid ever aborting transactions
(bnc#1012628).
- sched/topology: Set correct NUMA topology type (bnc#1012628).
- perf/x86/intel: Add support/quirk for the MISPREDICT bit on
Knights Landing CPUs (bnc#1012628).
- net: ena: fix missing calls to READ_ONCE (bnc#1012628).
- net: ena: fix missing lock during device destruction
(bnc#1012628).
- net: ena: fix potential double ena_destroy_device()
(bnc#1012628).
- net: ena: fix device destruction to gracefully free resources
(bnc#1012628).
- net: ena: fix driver when PAGE_SIZE == 64kB (bnc#1012628).
- net: ena: fix surprise unplug NULL dereference kernel crash
(bnc#1012628).
- fs/cifs: suppress a string overflow warning (bnc#1012628).
- r8169: set TxConfig register after TX / RX is enabled, just
like RxConfig (bnc#1012628).
- dm raid: fix RAID leg rebuild errors (bnc#1012628).
- dm raid: fix rebuild of specific devices by updating superblock
(bnc#1012628).
- dm raid: fix stripe adding reshape deadlock (bnc#1012628).
- drm/nouveau/disp/gm200-: enforce identity-mapped SOR assignment
for LVDS/eDP panels (bnc#1012628).
- drm/nouveau/disp: fix DP disable race (bnc#1012628).
- drm/nouveau/TBDdevinit: don't fail when PMU/PRE_OS is missing
from VBIOS (bnc#1012628).
- drm/nouveau/mmu: don't attempt to dereference vmm without
valid instance pointer (bnc#1012628).
- drm/nouveau: fix oops in client init failure path (bnc#1012628).
- dm raid: fix reshape race on small devices (bnc#1012628).
- HID: i2c-hid: Don't reset device upon system resume
(bnc#1012628).
- net/mlx5: Consider PCI domain in search for next dev
(bnc#1012628).
- bnxt_re: Fix couple of memory leaks that could lead to IOMMU
call traces (bnc#1012628).
- nvmet-rdma: fix possible bogus dereference under heavy load
(bnc#1012628).
- USB: yurex: Check for truncation in yurex_read() (bnc#1012628).
- usb: host: xhci-plat: Iterate over parent nodes for finding
quirks (bnc#1012628).
- HID: sensor-hub: Restore fixup for Lenovo ThinkPad Helix 2
sensor hub report (bnc#1012628).
- riscv: Do not overwrite initrd_start and initrd_end
(bnc#1012628).
- RDMA/ucma: check fd type in ucma_migrate_id() (bnc#1012628).
- iio: imu: st_lsm6dsx: take into account ts samples in wm
configuration (bnc#1012628).
- Revert "iio: temperature: maxim_thermocouple: add MAX31856 part"
(bnc#1012628).
- netfilter: nf_tables: release chain in flushing set
(bnc#1012628).
- netfilter: kconfig: nat related expression depend on nftables
core (bnc#1012628).
- perf annotate: Fix parsing aarch64 branch instructions after
objdump update (bnc#1012628).
- perf probe powerpc: Ignore SyS symbols irrespective of
endianness (bnc#1012628).
- perf util: Fix bad memory access in trace info (bnc#1012628).
- perf evsel: Fix potential null pointer dereference in
perf_evsel__new_idx() (bnc#1012628).
- perf annotate: Properly interpret indirect call (bnc#1012628).
- commit 342ac1f
-------------------------------------------------------------------
Wed Oct 10 20:38:19 CEST 2018 - jslaby@suse.cz
- scsi: qedi: Add the CRC size within iSCSI NVM image
(bnc#1012628).
- scsi: iscsi: target: Fix conn_ops double free (bnc#1012628).
- scsi: iscsi: target: Set conn->sess to NULL when
iscsi_login_set_conn_values fails (bnc#1012628).
- HID: hid-saitek: Add device ID for RAT 7 Contagion
(bnc#1012628).
- usb: gadget: fotg210-udc: Fix memory leak of fotg210->ep[i]
(bnc#1012628).
- HID: add support for Apple Magic Keyboards (bnc#1012628).
- HID: intel-ish-hid: Enable Sunrise Point-H ish driver
(bnc#1012628).
- netfilter: xt_checksum: ignore gso skbs (bnc#1012628).
- netfilter: xt_cluster: add dependency on conntrack module
(bnc#1012628).
- bpf: 32-bit RSH verification must truncate input before the
ALU op (bnc#1012628).
- mm: madvise(MADV_DODUMP): allow hugetlbfs pages (bnc#1012628).
- afs: Fix cell specification to permit an empty address list
(bnc#1012628).
- firmware: arm_scmi: fix divide by zero when sustained_perf_level
is zero (bnc#1012628).
- ceph: avoid a use-after-free in ceph_destroy_options()
(bnc#1012628).
- nds32: linker script: GCOV kernel may refers data in __exit
(bnc#1012628).
- tools/vm/page-types.c: fix "defined but not used" warning
(bnc#1012628).
- tools/vm/slabinfo.c: fix sign-compare warning (bnc#1012628).
- nds32: fix build error because of wrong semicolon (bnc#1012628).
- nds32: Fix get_user/put_user macro expand pointer problem
(bnc#1012628).
- nds32: Fix empty call trace (bnc#1012628).
- nds32: add NULL entry to the end of_device_id array
(bnc#1012628).
- nds32: fix logic for module (bnc#1012628).
- net/ibm/emac: wrong emac_calc_base call was used by typo
(bnc#1012628).
- fsnotify: fix ignore mask logic in fsnotify() (bnc#1012628).
- mac80211: shorten the IBSS debug messages (bnc#1012628).
- mac80211: don't Tx a deauth frame if the AP forbade Tx
(bnc#1012628).
- mac80211: Fix station bandwidth setting after channel switch
(bnc#1012628).
- mac80211: fix a race between restart and CSA flows
(bnc#1012628).
- mac80211: fix WMM TXOP calculation (bnc#1012628).
- cfg80211: fix a type issue in
ieee80211_chandef_to_operating_class() (bnc#1012628).
- mac80211: fix an off-by-one issue in A-MSDU max_subframe
computation (bnc#1012628).
- fs/cifs: don't translate SFM_SLASH (U+F026) to backslash
(bnc#1012628).
- net: cadence: Fix a sleep-in-atomic-context bug in
macb_halt_tx() (bnc#1012628).
- i2c: uniphier-f: issue STOP only for last message or I2C_M_STOP
(bnc#1012628).
- i2c: uniphier: issue STOP only for last message or I2C_M_STOP
(bnc#1012628).
- bpf: avoid misuse of psock when TCP_ULP_BPF collides with
another ULP (bnc#1012628).
- bpf: Fix bpf_msg_pull_data() (bnc#1012628).
- ibmvnic: Include missing return code checks in reset function
(bnc#1012628).
- selftests: pmtu: detect correct binary to ping ipv6 addresses
(bnc#1012628).
- selftests: pmtu: maximum MTU for vti4 is 2^16-1-20
(bnc#1012628).
- RAID10 BUG_ON in raise_barrier when force is true and
conf->barrier is 0 (bnc#1012628).
- md/raid5-cache: disable reshape completely (bnc#1012628).
- Revert "blk-throttle: fix race between blkcg_bio_issue_check()
and cgroup_rmdir()" (bnc#1012628).
- ARC: atomics: unbork atomic_fetch_##op() (bnc#1012628).
- gpio: Fix crash due to registration race (bnc#1012628).
- tools/kvm_stat: fix updates for dead guests (bnc#1012628).
- tools/kvm_stat: fix handling of invalid paths in debugfs
provider (bnc#1012628).
- tools/kvm_stat: fix python3 issues (bnc#1012628).
- mac80211: always account for A-MSDU header changes
(bnc#1012628).
- mac80211: do not convert to A-MSDU if frag/subframe limited
(bnc#1012628).
- cfg80211: nl80211_update_ft_ies() to validate NL80211_ATTR_IE
(bnc#1012628).
- tc-testing: add test-cases for numeric and invalid control
action (bnc#1012628).
- net: mvpp2: initialize port of_node pointer (bnc#1012628).
- sh_eth: Add R7S9210 support (bnc#1012628).
- net: hns: add netif_carrier_off before change speed and duplex
(bnc#1012628).
- net: hns: add the code for cleaning pkt in chip (bnc#1012628).
- tipc: switch to rhashtable iterator (bnc#1012628).
- bpf: fix sg shift repair start offset in bpf_msg_pull_data
(bnc#1012628).
- bpf: fix shift upon scatterlist ring wrap-around in
bpf_msg_pull_data (bnc#1012628).
- bpf: fix msg->data/data_end after sg shift repair in
bpf_msg_pull_data (bnc#1012628).
- gpio: dwapb: Fix error handling in dwapb_gpio_probe()
(bnc#1012628).
- gpiolib-acpi: Register GpioInt ACPI event handlers from a
late_initcall (bnc#1012628).
- gpiolib: acpi: Switch to cansleep version of GPIO library call
(bnc#1012628).
- mac80211: avoid kernel panic when building AMSDU from non-linear
SKB (bnc#1012628).
- mac80211: mesh: fix HWMP sequence numbering to follow standard
(bnc#1012628).
- gpio: adp5588: Fix sleep-in-atomic-context bug (bnc#1012628).
- bpf: fix several offset tests in bpf_msg_pull_data
(bnc#1012628).
- nl80211: Pass center frequency in kHz instead of MHz
(bnc#1012628).
- nl80211: Fix nla_put_u8 to u16 for NL80211_WMMR_TXOP
(bnc#1012628).
- mac80211_hwsim: Fix possible Spectre-v1 for
hwsim_world_regdom_custom (bnc#1012628).
- cfg80211: make wmm_rule part of the reg_rule structure
(bnc#1012628).
- mac80211_hwsim: correct use of IEEE80211_VHT_CAP_RXSTBC_X
(bnc#1012628).
- mac80211: correct use of IEEE80211_VHT_CAP_RXSTBC_X
(bnc#1012628).
- bpf: sockmap, decrement copied count correctly in redirect
error case (bnc#1012628).
- bpf, sockmap: fix psock refcount leak in bpf_tcp_recvmsg
(bnc#1012628).
- bpf, sockmap: fix potential use after free in bpf_tcp_close
(bnc#1012628).
- scsi: aacraid: fix a signedness bug (bnc#1012628).
- scsi: libata: Add missing newline at end of file (bnc#1012628).
- scsi: csiostor: fix incorrect port capabilities (bnc#1012628).
- scsi: csiostor: add a check for NULL pointer after kmalloc()
(bnc#1012628).
- btrfs: btrfs_shrink_device should call commit transaction at
the end (bnc#1012628).
- cfg80211: remove division by size of sizeof(struct
ieee80211_wmm_rule) (bnc#1012628).
- KVM: PPC: Book3S HV: Don't truncate HPTE index in xlate function
(bnc#1012628).
- Btrfs: fix unexpected failure of nocow buffered writes after
snapshotting when low on space (bnc#1012628).
- mac80211_hwsim: require at least one channel (bnc#1012628).
- mac80211: Run TXQ teardown code before de-registering interfaces
(bnc#1012628).
- rseq/selftests: fix parametrized test with -fpie (bnc#1012628).
- commit 37173f2
-------------------------------------------------------------------
Tue Oct 9 09:59:49 CEST 2018 - msuchanek@suse.de
- Revert "Limit kernel-source build to architectures for which we build binaries"
This reverts commit d6435125446d740016904abe30a60611549ae812.
- commit 48b03c4
-------------------------------------------------------------------
Mon Oct 8 07:47:18 CEST 2018 - mkubecek@suse.cz
- Update to 4.19-rc7
- commit 63b130b
-------------------------------------------------------------------
Thu Oct 4 11:29:57 CEST 2018 - mbrugger@suse.com
- arm64: Update config files. (bsc#1110716)
Enable ST LPS25H pressure sensor.
- commit 9882f33
-------------------------------------------------------------------
Thu Oct 4 08:37:48 CEST 2018 - jslaby@suse.cz
- Linux 4.18.12 (bnc#1012628).
- crypto: skcipher - Fix -Wstringop-truncation warnings
(bnc#1012628).
- iio: adc: ina2xx: avoid kthread_stop() with stale task_struct
(bnc#1012628).
- tsl2550: fix lux1_input error in low light (bnc#1012628).
- misc: ibmvmc: Use GFP_ATOMIC under spin lock (bnc#1012628).
- vmci: type promotion bug in qp_host_get_user_memory()
(bnc#1012628).
- siox: don't create a thread without starting it (bnc#1012628).
- net: hns3: Fix for mailbox message truncated problem
(bnc#1012628).
- net: hns3: Fix for mac pause not disable in pfc mode
(bnc#1012628).
- net: hns3: Fix warning bug when doing lp selftest (bnc#1012628).
- net: hns3: Fix get_vector ops in hclgevf_main module
(bnc#1012628).
- x86/numa_emulation: Fix emulated-to-physical node mapping
(bnc#1012628).
- staging: rts5208: fix missing error check on call to
rtsx_write_register (bnc#1012628).
- power: supply: axp288_charger: Fix initial
constant_charge_current value (bnc#1012628).
- misc: sram: enable clock before registering regions
(bnc#1012628).
- serial: sh-sci: Stop RX FIFO timer during port shutdown
(bnc#1012628).
- uwb: hwa-rc: fix memory leak at probe (bnc#1012628).
- power: vexpress: fix corruption in notifier registration
(bnc#1012628).
- iommu/amd: make sure TLB to be flushed before IOVA freed
(bnc#1012628).
- Bluetooth: Add a new Realtek 8723DE ID 0bda:b009 (bnc#1012628).
- USB: serial: kobil_sct: fix modem-status error handling
(bnc#1012628).
- 6lowpan: iphc: reset mac_header after decompress to fix panic
(bnc#1012628).
- iommu/msm: Don't call iommu_device_{,un}link from atomic context
(bnc#1012628).
- s390/mm: correct allocate_pgste proc_handler callback
(bnc#1012628).
- power: remove possible deadlock when unregistering power_supply
(bnc#1012628).
- drm/amd/display/dc/dce: Fix multiple potential integer overflows
(bnc#1012628).
- drm/amd/display: fix use of uninitialized memory (bnc#1012628).
- md-cluster: clear another node's suspend_area after the copy
is finished (bnc#1012628).
- cxgb4: Fix the condition to check if the card is T5
(bnc#1012628).
- RDMA/bnxt_re: Fix a couple off by one bugs (bnc#1012628).
- RDMA/i40w: Hold read semaphore while looking after VMA
(bnc#1012628).
- RDMA/bnxt_re: Fix a bunch of off by one bugs in qplib_fp.c
(bnc#1012628).
- IB/core: type promotion bug in rdma_rw_init_one_mr()
(bnc#1012628).
- media: exynos4-is: Prevent NULL pointer dereference in
__isp_video_try_fmt() (bnc#1012628).
- IB/mlx4: Test port number before querying type (bnc#1012628).
- powerpc/kdump: Handle crashkernel memory reservation failure
(bnc#1012628).
- media: fsl-viu: fix error handling in viu_of_probe()
(bnc#1012628).
- vhost_net: Avoid tx vring kicks during busyloop (bnc#1012628).
- media: staging/imx: fill vb2_v4l2_buffer field entry
(bnc#1012628).
- IB/mlx5: Fix GRE flow specification (bnc#1012628).
- include/rdma/opa_addr.h: Fix an endianness issue (bnc#1012628).
- x86/tsc: Add missing header to tsc_msr.c (bnc#1012628).
- ARM: hwmod: RTC: Don't assume lock/unlock will be called with
irq enabled (bnc#1012628).
- x86/entry/64: Add two more instruction suffixes (bnc#1012628).
- ARM: dts: ls1021a: Add missing cooling device properties for
CPUs (bnc#1012628).
- scsi: target/iscsi: Make iscsit_ta_authentication() respect
the output buffer size (bnc#1012628).
- thermal: i.MX: Allow thermal probe to fail gracefully in case
of bad calibration (bnc#1012628).
- scsi: klist: Make it safe to use klists in atomic context
(bnc#1012628).
- scsi: ibmvscsi: Improve strings handling (bnc#1012628).
- scsi: target: Avoid that EXTENDED COPY commands trigger lock
inversion (bnc#1012628).
- usb: wusbcore: security: cast sizeof to int for comparison
(bnc#1012628).
- ath10k: sdio: use same endpoint id for all packets in a bundle
(bnc#1012628).
- ath10k: sdio: set skb len for all rx packets (bnc#1012628).
- powerpc/powernv/ioda2: Reduce upper limit for DMA window size
(bnc#1012628).
- platform/x86: asus-wireless: Fix uninitialized symbol usage
(bnc#1012628).
- ACPI / button: increment wakeup count only when notified
(bnc#1012628).
- s390/sysinfo: add missing #ifdef CONFIG_PROC_FS (bnc#1012628).
- s390/dasd: correct numa_node in dasd_alloc_queue (bnc#1012628).
- s390/scm_blk: correct numa_node in scm_blk_dev_setup
(bnc#1012628).
- s390/extmem: fix gcc 8 stringop-overflow warning (bnc#1012628).
- mtd: rawnand: atmel: add module param to avoid using dma
(bnc#1012628).
- iio: accel: adxl345: convert address field usage in
iio_chan_spec (bnc#1012628).
- posix-timers: Make forward callback return s64 (bnc#1012628).
- posix-timers: Sanitize overrun handling (bnc#1012628).
- ALSA: snd-aoa: add of_node_put() in error path (bnc#1012628).
- selftests: forwarding: Tweak tc filters for mirror-to-gretap
tests (bnc#1012628).
- ath10k: use locked skb_dequeue for rx completions (bnc#1012628).
- media: s3c-camif: ignore -ENOIOCTLCMD from v4l2_subdev_call
for s_power (bnc#1012628).
- media: soc_camera: ov772x: correct setting of banding filter
(bnc#1012628).
- media: omap3isp: zero-initialize the isp cam_xclk{a,b} initial
data (bnc#1012628).
- media: ov772x: add checks for register read errors
(bnc#1012628).
- staging: android: ashmem: Fix mmap size validation
(bnc#1012628).
- media: ov772x: allow i2c controllers without
I2C_FUNC_PROTOCOL_MANGLING (bnc#1012628).
- staging: mt7621-eth: Fix memory leak in mtk_add_mac() error path
(bnc#1012628).
- drivers/tty: add error handling for pcmcia_loop_config
(bnc#1012628).
- arm64: dts: renesas: salvator-common: Fix adv7482 decimal unit
addresses (bnc#1012628).
- serial: pxa: Fix an error handling path in 'serial_pxa_probe()'
(bnc#1012628).
- staging: mt7621-dts: Fix remaining pcie warnings (bnc#1012628).
- media: tm6000: add error handling for dvb_register_adapter
(bnc#1012628).
- ASoC: qdsp6: qdafe: fix some off by one bugs (bnc#1012628).
- ALSA: hda: Add AZX_DCAPS_PM_RUNTIME for AMD Raven Ridge
(bnc#1012628).
- net: phy: xgmiitorgmii: Check read_status results (bnc#1012628).
- ath10k: protect ath10k_htt_rx_ring_free with rx_ring.lock
(bnc#1012628).
- drm/sun4i: Enable DW HDMI PHY clock (bnc#1012628).
- net: phy: xgmiitorgmii: Check phy_driver ready before accessing
(bnc#1012628).
- drm/sun4i: Fix releasing node when enumerating enpoints
(bnc#1012628).
- ath10k: transmit queued frames after processing rx packets
(bnc#1012628).
- mt76x2: fix mrr idx/count estimation in
mt76x2_mac_fill_tx_status() (bnc#1012628).
- rndis_wlan: potential buffer overflow in
rndis_wlan_auth_indication() (bnc#1012628).
- brcmsmac: fix wrap around in conversion from constant to s16
(bnc#1012628).
- bitfield: fix *_encode_bits() (bnc#1012628).
- wlcore: Add missing PM call for
wlcore_cmd_wait_for_event_or_timeout() (bnc#1012628).
- drm/omap: gem: Fix mm_list locking (bnc#1012628).
- ARM: mvebu: declare asm symbols as character arrays in pmsu.c
(bnc#1012628).
- RDMA/uverbs: Don't overwrite NULL pointer with ZERO_SIZE_PTR
(bnc#1012628).
- Documentation/process: fix reST table border error
(bnc#1012628).
- perf/hw_breakpoint: Split attribute parse and commit
(bnc#1012628).
- arm: dts: mediatek: Add missing cooling device properties for
CPUs (bnc#1012628).
- HID: hid-ntrig: add error handling for sysfs_create_group
(bnc#1012628).
- HID: i2c-hid: Use devm to allocate i2c_hid struct (bnc#1012628).
- MIPS: boot: fix build rule of vmlinux.its.S (bnc#1012628).
- arm64: dts: renesas: Fix VSPD registers range (bnc#1012628).
- drm/v3d: Take a lock across GPU scheduler job creation and
queuing (bnc#1012628).
- perf/x86/intel/lbr: Fix incomplete LBR call stack (bnc#1012628).
- scsi: bnx2i: add error handling for ioremap_nocache
(bnc#1012628).
- iomap: complete partial direct I/O writes synchronously
(bnc#1012628).
- scsi: hisi_sas: Fix the conflict between dev gone and host reset
(bnc#1012628).
- spi: orion: fix CS GPIO handling again (bnc#1012628).
- scsi: megaraid_sas: Update controller info during resume
(bnc#1012628).
- ASoC: Intel: bytcr_rt5640: Fix Acer Iconia 8 over-current
detect threshold (bnc#1012628).
- ASoC: rt1305: Use ULL suffixes for 64-bit constants
(bnc#1012628).
- ASoC: rsnd: SSI parent cares SWSP bit (bnc#1012628).
- EDAC, i7core: Fix memleaks and use-after-free on probe and
remove (bnc#1012628).
- ASoC: dapm: Fix potential DAI widget pointer deref when linking
DAIs (bnc#1012628).
- module: exclude SHN_UNDEF symbols from kallsyms api
(bnc#1012628).
- gpio: Fix wrong rounding in gpio-menz127 (bnc#1012628).
- nfsd: fix corrupted reply to badly ordered compound
(bnc#1012628).
- EDAC: Fix memleak in module init error path (bnc#1012628).
- EDAC, altera: Fix an error handling path in
altr_s10_sdram_probe() (bnc#1012628).
- staging: pi433: fix race condition in pi433_ioctl (bnc#1012628).
- ath10k: fix incorrect size of dma_free_coherent in
ath10k_ce_alloc_src_ring_64 (bnc#1012628).
- ath10k: snoc: use correct bus-specific pointer in RX retry
(bnc#1012628).
- fs/lock: skip lock owner pid translation in case we are in
init_pid_ns (bnc#1012628).
- ath10k: fix memory leak of tpc_stats (bnc#1012628).
- Input: xen-kbdfront - fix multi-touch XenStore node's locations
(bnc#1012628).
- iio: 104-quad-8: Fix off-by-one error in register selection
(bnc#1012628).
- drm/vc4: Add missing formats to vc4_format_mod_supported()
(bnc#1012628).
- ARM: dts: dra7: fix DCAN node addresses (bnc#1012628).
- drm/vc4: plane: Expand the lower bits by repeating the higher
bits (bnc#1012628).
- perf tests: Fix indexing when invoking subtests (bnc#1012628).
- gpio: tegra: Fix tegra_gpio_irq_set_type() (bnc#1012628).
- floppy: Do not copy a kernel pointer to user memory in FDGETPRM
ioctl (bnc#1012628).
- block: fix deadline elevator drain for zoned block devices
(bnc#1012628).
- x86/mm: Expand static page table for fixmap space (bnc#1012628).
- tty: serial: lpuart: avoid leaking struct tty_struct
(bnc#1012628).
- serial: imx: restore handshaking irq for imx1 (bnc#1012628).
- serial: mvebu-uart: Fix reporting of effective CSIZE to
userspace (bnc#1012628).
- serial: cpm_uart: return immediately from console poll
(bnc#1012628).
- intel_th: Fix device removal logic (bnc#1012628).
- intel_th: Fix resource handling for ACPI glue layer
(bnc#1012628).
- spi: tegra20-slink: explicitly enable/disable clock
(bnc#1012628).
- spi: sh-msiof: Fix invalid SPI use during system suspend
(bnc#1012628).
- spi: sh-msiof: Fix handling of write value for SISTR register
(bnc#1012628).
- spi: rspi: Fix invalid SPI use during system suspend
(bnc#1012628).
- spi: rspi: Fix interrupted DMA transfers (bnc#1012628).
- regulator: fix crash caused by null driver data (bnc#1012628).
- regulator: Fix 'do-nothing' value for regulators without
suspend state (bnc#1012628).
- USB: fix error handling in usb_driver_claim_interface()
(bnc#1012628).
- USB: handle NULL config in usb_find_alt_setting() (bnc#1012628).
- usb: roles: Take care of driver module reference counting
(bnc#1012628).
- usb: core: safely deal with the dynamic quirk lists
(bnc#1012628).
- usb: musb: dsps: do not disable CPPI41 irq in driver teardown
(bnc#1012628).
- USB: usbdevfs: sanitize flags more (bnc#1012628).
- USB: usbdevfs: restore warning for nonsensical flags
(bnc#1012628).
- Revert "usb: cdc-wdm: Fix a sleep-in-atomic-context bug in
service_outstanding_interrupt()" (bnc#1012628).
- USB: remove LPM management from usb_driver_claim_interface()
(bnc#1012628).
- uaccess: Fix is_source param for check_copy_size() in
copy_to_iter_mcsafe() (bnc#1012628).
- ext2, dax: set ext2_dax_aops for dax files (bnc#1012628).
- filesystem-dax: Fix use of zero page (bnc#1012628).
- Input: elantech - enable middle button of touchpad on ThinkPad
P72 (bnc#1012628).
- IB/srp: Avoid that sg_reset -d ${srp_device} triggers an
infinite loop (bnc#1012628).
- IB/hfi1: Fix SL array bounds check (bnc#1012628).
- IB/hfi1: Invalid user input can result in crash (bnc#1012628).
- IB/hfi1: Fix context recovery when PBC has an UnsupportedVL
(bnc#1012628).
- IB/hfi1: Fix destroy_qp hang after a link down (bnc#1012628).
- ACPI / hotplug / PCI: Don't scan for non-hotplug bridges if
slot is not bridge (bnc#1012628).
- RDMA/uverbs: Atomically flush and mark closed the comp event
queue (bnc#1012628).
- arm64: KVM: Tighten guest core register access from userspace
(bnc#1012628).
- ARM: OMAP2+: Fix null hwmod for ti-sysc debug (bnc#1012628).
- ARM: OMAP2+: Fix module address for modules using mpu_rt_idx
(bnc#1012628).
- bus: ti-sysc: Fix module register ioremap for larger offsets
(bnc#1012628).
- qed: Wait for ready indication before rereading the shmem
(bnc#1012628).
- qed: Wait for MCP halt and resume commands to take place
(bnc#1012628).
- qed: Prevent a possible deadlock during driver load and unload
(bnc#1012628).
- qed: Avoid sending mailbox commands when MFW is not responsive
(bnc#1012628).
- thermal: of-thermal: disable passive polling when thermal zone
is disabled (bnc#1012628).
- isofs: reject hardware sector size > 2048 bytes (bnc#1012628).
- mmc: atmel-mci: fix bad logic of sg_copy_{from,to}_buffer
conversion (bnc#1012628).
- mmc: android-goldfish: fix bad logic of sg_copy_{from,to}_buffer
conversion (bnc#1012628).
- bus: ti-sysc: Fix no_console_suspend handling (bnc#1012628).
- ARM: dts: omap4-droid4: fix vibrations on Droid 4 (bnc#1012628).
- bpf, sockmap: fix sock_hash_alloc and reject zero-sized keys
(bnc#1012628).
- bpf, sockmap: fix sock hash count in alloc_sock_hash_elem
(bnc#1012628).
- tls: possible hang when do_tcp_sendpages hits sndbuf is full
case (bnc#1012628).
- bpf: sockmap: write_space events need to be passed to TCP
handler (bnc#1012628).
- drm/amdgpu: fix VM clearing for the root PD (bnc#1012628).
- drm/amdgpu: fix preamble handling (bnc#1012628).
- amdgpu: fix multi-process hang issue (bnc#1012628).
- net/ncsi: Fixup .dumpit message flags and ID check in Netlink
handler (bnc#1012628).
- tcp_bbr: add bbr_check_probe_rtt_done() helper (bnc#1012628).
- tcp_bbr: in restart from idle, see if we should exit PROBE_RTT
(bnc#1012628).
- net: hns: fix length and page_offset overflow when
CONFIG_ARM64_64K_PAGES (bnc#1012628).
- net: hns: fix skb->truesize underestimation (bnc#1012628).
- net: hns3: fix page_offset overflow when CONFIG_ARM64_64K_PAGES
(bnc#1012628).
- ice: Fix multiple static analyser warnings (bnc#1012628).
- ice: Report stats for allocated queues via ethtool stats
(bnc#1012628).
- ice: Clean control queues only when they are initialized
(bnc#1012628).
- ice: Fix bugs in control queue processing (bnc#1012628).
- ice: Use order_base_2 to calculate higher power of 2
(bnc#1012628).
- ice: Set VLAN flags correctly (bnc#1012628).
- tools: bpftool: return from do_event_pipe() on bad arguments
(bnc#1012628).
- ice: Fix a few null pointer dereference issues (bnc#1012628).
- ice: Fix potential return of uninitialized value (bnc#1012628).
- e1000: check on netif_running() before calling e1000_up()
(bnc#1012628).
- e1000: ensure to free old tx/rx rings in set_ringparam()
(bnc#1012628).
- ixgbe: fix driver behaviour after issuing VFLR (bnc#1012628).
- i40e: Fix for Tx timeouts when interface is brought up if DCB
is enabled (bnc#1012628).
- i40e: fix condition of WARN_ONCE for stat strings (bnc#1012628).
- crypto: chtls - fix null dereference chtls_free_uld()
(bnc#1012628).
- crypto: cavium/nitrox - fix for command corruption in queue
full case with backlog submissions (bnc#1012628).
- hwmon: (ina2xx) fix sysfs shunt resistor read access
(bnc#1012628).
- hwmon: (adt7475) Make adt7475_read_word() return errors
(bnc#1012628).
- Revert "ARM: dts: imx7d: Invert legacy PCI irq mapping"
(bnc#1012628).
- drm/amdgpu: Enable/disable gfx PG feature in rlc safe mode
(bnc#1012628).
- drm/amdgpu: Update power state at the end of smu hw_init
(bnc#1012628).
- ata: ftide010: Add a quirk for SQ201 (bnc#1012628).
- nvme-fcloop: Fix dropped LS's to removed target port
(bnc#1012628).
- ARM: dts: omap4-droid4: Fix emmc errors seen on some devices
(bnc#1012628).
- drm/amdgpu: Need to set moved to true when evict bo
(bnc#1012628).
- arm/arm64: smccc-1.1: Make return values unsigned long
(bnc#1012628).
- arm/arm64: smccc-1.1: Handle function result as parameters
(bnc#1012628).
- i2c: i801: Allow ACPI AML access I/O ports not reserved for
SMBus (bnc#1012628).
- clk: x86: Set default parent to 48Mhz (bnc#1012628).
- x86/pti: Fix section mismatch warning/error (bnc#1012628).
- KVM: PPC: Book3S HV: Fix guest r11 corruption with POWER9 TM
workarounds (bnc#1012628).
- powerpc: fix csum_ipv6_magic() on little endian platforms
(bnc#1012628).
- powerpc/pkeys: Fix reading of ibm, processor-storage-keys
property (bnc#1012628).
- powerpc/pseries: Fix unitialized timer reset on migration
(bnc#1012628).
- arm64: KVM: Sanitize PSTATE.M when being set from userspace
(bnc#1012628).
- media: v4l: event: Prevent freeing event subscriptions while
accessed (bnc#1012628).
- commit a880bd8
-------------------------------------------------------------------
Tue Oct 2 08:47:16 CEST 2018 - nborisov@suse.com
- Update config files. (boo##1109665)
- commit 76516eb
-------------------------------------------------------------------
Mon Oct 1 14:08:09 CEST 2018 - msuchanek@suse.de
- rpm/mkspec: fix ppc64 kernel-source build.
- commit 85c9272
-------------------------------------------------------------------
Sun Sep 30 21:43:14 CEST 2018 - mkubecek@suse.cz
- Update to 4.19-rc6
- Eliminated 2 patches
- commit 80aa112
-------------------------------------------------------------------
Sat Sep 29 13:28:26 CEST 2018 - jslaby@suse.cz
- Linux 4.18.11 (bnc#1012628).
- spi: Fix double IDR allocation with DT aliases (bnc#1012628).
- iw_cxgb4: only allow 1 flush on user qps (bnc#1012628).
- vmw_balloon: include asm/io.h (bnc#1012628).
- sched/fair: Fix vruntime_normalized() for remote non-migration
wakeup (bnc#1012628).
- ext4, dax: set ext4_dax_aops for dax files (bnc#1012628).
- ext4, dax: add ext4_bmap to ext4_dax_aops (bnc#1012628).
- ext4: show test_dummy_encryption mount option in /proc/mounts
(bnc#1012628).
- ext4: don't mark mmp buffer head dirty (bnc#1012628).
- ext4: fix online resizing for bigalloc file systems with a 1k
block size (bnc#1012628).
- ext4: fix online resize's handling of a too-small final block
group (bnc#1012628).
- ext4: recalucate superblock checksum after updating free
blocks/inodes (bnc#1012628).
- ext4: avoid arithemetic overflow that can trigger a BUG
(bnc#1012628).
- ext4: avoid divide by zero fault when deleting corrupted inline
directories (bnc#1012628).
- ext4: check to make sure the rename(2)'s destination is not
freed (bnc#1012628).
- tty: vt_ioctl: fix potential Spectre v1 (bnc#1012628).
- drm/amdgpu: add new polaris pci id (bnc#1012628).
- drm: udl: Destroy framebuffer only if it was initialized
(bnc#1012628).
- drm/vc4: Fix the "no scaling" case on multi-planar YUV formats
(bnc#1012628).
- drm/nouveau/drm/nouveau: Prevent handling ACPI HPD events too
early (bnc#1012628).
- drm/nouveau/drm/nouveau: Use pm_runtime_get_noresume() in
connector_detect() (bnc#1012628).
- drm/nouveau/drm/nouveau: Fix deadlock with fb_helper with
async RPM requests (bnc#1012628).
- drm/nouveau/drm/nouveau: Fix bogus drm_kms_helper_poll_enable()
placement (bnc#1012628).
- drm/nouveau/drm/nouveau: Don't forget to cancel hpd_work on
suspend/unload (bnc#1012628).
- drm/nouveau: Fix deadlocks in nouveau_connector_detect()
(bnc#1012628).
- drm/nouveau: Remove duplicate poll_enable() in
pmops_runtime_suspend() (bnc#1012628).
- drm/nouveau: Only write DP_MSTM_CTRL when needed (bnc#1012628).
- drm/nouveau: Reset MST branching unit before enabling
(bnc#1012628).
- drm/i915/bdw: Increase IPS disable timeout to 100ms
(bnc#1012628).
- ocfs2: fix ocfs2 read block panic (bnc#1012628).
- libata: mask swap internal and hardware tag (bnc#1012628).
- Revert "ubifs: xattr: Don't operate on deleted inodes"
(bnc#1012628).
- scsi: target: iscsi: Use bin2hex instead of a re-implementation
(bnc#1012628).
- scsi: target: iscsi: Use hex2bin instead of a re-implementation
(bnc#1012628).
- Revert "uapi/linux/keyctl.h: don't use C++ reserved keyword
as a struct member name" (bnc#1012628).
- bpf/verifier: disallow pointer subtraction (bnc#1012628).
- Revert "rpmsg: core: add support to power domains for devices"
(bnc#1012628).
- mm: shmem.c: Correctly annotate new inodes for lockdep
(bnc#1012628).
- mm: disable deferred struct page for 32-bit arches
(bnc#1012628).
- fork: report pid exhaustion correctly (bnc#1012628).
- crypto: x86/aegis,morus - Do not require OSXSAVE for SSE2
(bnc#1012628).
- ring-buffer: Allow for rescheduling when removing pages
(bnc#1012628).
- Revert "PCI: Add ACS quirk for Intel 300 series" (bnc#1012628).
- spi: fix IDR collision on systems with both fixed and dynamic
SPI bus numbers (bnc#1012628).
- xen/x86/vpmu: Zero struct pt_regs before calling into sample
handling code (bnc#1012628).
- xen/netfront: don't bug in case of too many frags (bnc#1012628).
- platform/x86: alienware-wmi: Correct a memory leak
(bnc#1012628).
- platform/x86: dell-smbios-wmi: Correct a memory leak
(bnc#1012628).
- mtd: rawnand: denali: fix a race condition when DMA is kicked
(bnc#1012628).
- mtd: devices: m25p80: Make sure the buffer passed in op is
DMA-able (bnc#1012628).
- ALSA: oxfw: fix memory leak of private data (bnc#1012628).
- ALSA: oxfw: fix memory leak of discovered stream formats at
error path (bnc#1012628).
- ALSA: oxfw: fix memory leak for model-dependent data at error
path (bnc#1012628).
- ALSA: fireworks: fix memory leak of response buffer at error
path (bnc#1012628).
- ALSA: firewire-tascam: fix memory leak of private data
(bnc#1012628).
- ALSA: firewire-digi00x: fix memory leak of private data
(bnc#1012628).
- ALSA: fireface: fix memory leak in ff400_switch_fetching_mode()
(bnc#1012628).
- ALSA: emu10k1: fix possible info leak to userspace on
SNDRV_EMU10K1_IOCTL_INFO (bnc#1012628).
- ALSA: bebob: use address returned by kmalloc() instead of
kernel stack for streaming DMA mapping (bnc#1012628).
- ALSA: bebob: fix memory leak for M-Audio FW1814 and ProjectMix
I/O at error path (bnc#1012628).
- ASoC: uapi: fix sound/skl-tplg-interface.h userspace compilation
errors (bnc#1012628).
- ASoC: rsnd: fixup not to call clk_get/set under non-atomic
(bnc#1012628).
- ASoC: tas6424: Save last fault register even when clear
(bnc#1012628).
- ASoC: cs4265: fix MMTLR Data switch control (bnc#1012628).
- ASoC: wm9712: fix replace codec to component (bnc#1012628).
- NFC: Fix the number of pipes (bnc#1012628).
- NFC: Fix possible memory corruption when handling SHDLC I-Frame
commands (bnc#1012628).
- net: rtnl_configure_link: fix dev flags changes arg to
__dev_notify_flags (bnc#1012628).
- net: mvpp2: let phylink manage the carrier state (bnc#1012628).
- net/ipv6: do not copy dst flags on rt init (bnc#1012628).
- ipv6: use rt6_info members when dst is set in rt6_fill_node
(bnc#1012628).
- bnxt_en: Fix VF mac address regression (bnc#1012628).
- tls: fix currently broken MSG_PEEK behavior (bnc#1012628).
- socket: fix struct ifreq size in compat ioctl (bnc#1012628).
- net: dsa: mv88e6xxx: Fix ATU Miss Violation (bnc#1012628).
- hv_netvsc: fix schedule in RCU context (bnc#1012628).
- net/sched: act_sample: fix NULL dereference in the data path
(bnc#1012628).
- udp6: add missing checks on edumux packet processing
(bnc#1012628).
- neighbour: confirm neigh entries when ARP packet is received
(bnc#1012628).
- tls: clear key material from kernel memory when
do_tls_setsockopt_conf fails (bnc#1012628).
- tls: zero the crypto information from tls_context before freeing
(bnc#1012628).
- tls: don't copy the key out of tls12_crypto_info_aes_gcm_128
(bnc#1012628).
- udp4: fix IP_CMSG_CHECKSUM for connected sockets (bnc#1012628).
- qmi_wwan: set DTR for modems in forced USB2 mode (bnc#1012628).
- pppoe: fix reception of frames with no mac header (bnc#1012628).
- net: hp100: fix always-true check for link up state
(bnc#1012628).
- net/appletalk: fix minor pointer leak to userspace in
SIOCFINDIPDDPRT (bnc#1012628).
- ipv6: fix possible use-after-free in ip6_xmit() (bnc#1012628).
- gso_segment: Reset skb->mac_len after modifying network header
(bnc#1012628).
- commit 4a5c1c1
-------------------------------------------------------------------
Sat Sep 29 00:00:19 CEST 2018 - lduncan@suse.com
- Added CVE numbers for two patches (bsc#1107829)
- commit e3ac2a8
-------------------------------------------------------------------
Fri Sep 28 23:52:51 CEST 2018 - lduncan@suse.com
- scsi: target: iscsi: Use bin2hex instead of a re-implementation
(bsc#1107829).
- scsi: target: iscsi: Use hex2bin instead of a re-implementation
(bsc#1107829).
- commit 8791706
-------------------------------------------------------------------
Thu Sep 27 19:49:19 CEST 2018 - msuchanek@suse.de
- rpm/mkspec: build dtbs for architectures marked -!needs_updating
- commit 2d47640
-------------------------------------------------------------------
Thu Sep 27 19:15:21 CEST 2018 - msuchanek@suse.de
- Limit kernel-source build to architectures for which we build binaries
(bsc#1108281).
- commit d643512
-------------------------------------------------------------------
Wed Sep 26 10:34:32 CEST 2018 - jslaby@suse.cz
- Linux 4.18.10 (bnc#1012628).
- crypto: ccp - add timeout support in the SEV command
(bnc#1012628).
- mei: bus: type promotion bug in mei_nfc_if_version()
(bnc#1012628).
- clk: tegra: bpmp: Don't crash when a clock fails to register
(bnc#1012628).
- pinctrl: qcom: spmi-gpio: Fix pmic_gpio_config_get() to be
compliant (bnc#1012628).
- pinctrl: msm: Fix msm_config_group_get() to be compliant
(bnc#1012628).
- blk-mq: avoid to synchronize rcu inside blk_cleanup_queue()
(bnc#1012628).
- blk-mq: only attempt to merge bio if there is rq in sw queue
(bnc#1012628).
- IB/mlx5: fix uaccess beyond "count" in debugfs read/write
handlers (bnc#1012628).
- block/DAC960.c: fix defined but not used build warnings
(bnc#1012628).
- staging: fsl-dpaa2/eth: Fix DMA mapping direction (bnc#1012628).
- dmaengine: sh: rcar-dmac: avoid to write CHCR.TE to 1 if TCR
is set to 0 (bnc#1012628).
- drm/amd/pp: Send khz clock values to DC for smu7/8
(bnc#1012628).
- arm64: perf: Disable PMU while processing counter overflows
(bnc#1012628).
- drm/panel: type promotion bug in s6e8aa0_read_mtp_id()
(bnc#1012628).
- ASoC: rt5651: Fix workqueue cancel vs irq free race on remove
(bnc#1012628).
- selftest: timers: Tweak raw_skew to SKIP when ADJ_OFFSET/other
clock adjustments are in progress (bnc#1012628).
- remoteproc: qcom: q6v5-pil: fix modem hang on SDM845 after
axis2 clk unvote (bnc#1012628).
- scsi: lpfc: Fix panic if driver unloaded when port is offline
(bnc#1012628).
- scsi: lpfc: Fix NVME Target crash in defer rcv logic
(bnc#1012628).
- scsi: libfc: fixup 'sleeping function called from invalid
context' (bnc#1012628).
- ALSA: pcm: Fix snd_interval_refine first/last with open min/max
(bnc#1012628).
- selftests/android: initialize heap_type to avoid compiling
warning (bnc#1012628).
- selftests: vDSO - fix to return KSFT_SKIP when test couldn't
be run (bnc#1012628).
- rtc: bq4802: add error handling for devm_ioremap (bnc#1012628).
- drm/amdkfd: Fix error codes in kfd_get_process (bnc#1012628).
- drm/amdkfd: Fix kernel queue 64 bit doorbell offset calculation
(bnc#1012628).
- input: rohm_bu21023: switch to i2c_lock_bus(...,
I2C_LOCK_SEGMENT) (bnc#1012628).
- mfd: 88pm860x-i2c: switch to i2c_lock_bus(..., I2C_LOCK_SEGMENT)
(bnc#1012628).
- rcutorture: Use monotonic timestamp for stall detection
(bnc#1012628).
- net: mvpp2: make sure we use single queue mode on PPv2.1
(bnc#1012628).
- net: gemini: Allow multiple ports to instantiate (bnc#1012628).
- gpiolib: Mark gpio_suffixes array with __maybe_unused
(bnc#1012628).
- gpio: pxa: Fix potential NULL dereference (bnc#1012628).
- staging: bcm2835-audio: Don't leak workqueue if open fails
(bnc#1012628).
- lightnvm: pblk: enable line minor version detection
(bnc#1012628).
- lightnvm: pblk: assume that chunks are closed on 1.2 devices
(bnc#1012628).
- ASoC: qdsp6: q6afe-dai: fix a range check in
of_q6afe_parse_dai_data() (bnc#1012628).
- drm/amd/display: support access ddc for mst branch
(bnc#1012628).
- tools/testing/nvdimm: Fix support for emulating controller
temperature (bnc#1012628).
- f2fs: do checkpoint in kill_sb (bnc#1012628).
- coresight: ETM: Add support for Arm Cortex-A73 and Cortex-A35
(bnc#1012628).
- coresight: tpiu: Fix disabling timeouts (bnc#1012628).
- coresight: Handle errors in finding input/output ports
(bnc#1012628).
- sched/fair: Fix util_avg of new tasks for asymmetric systems
(bnc#1012628).
- parport: sunbpp: fix error return code (bnc#1012628).
- tls: Fix zerocopy_from_iter iov handling (bnc#1012628).
- drm/nouveau: tegra: Detach from ARM DMA/IOMMU mapping
(bnc#1012628).
- drm/nouveau/debugfs: Wake up GPU before doing any reclocking
(bnc#1012628).
- drm/nouveau: Fix runtime PM leak in drm_open() (bnc#1012628).
- mmc: sdhci: do not try to use 3.3V signaling if not supported
(bnc#1012628).
- mmc: tegra: prevent HS200 on Tegra 3 (bnc#1012628).
- mmc: sdhci-of-esdhc: set proper dma mask for ls104x chips
(bnc#1012628).
- tty: fix termios input-speed encoding (bnc#1012628).
- tty: fix termios input-speed encoding when using BOTHER
(bnc#1012628).
- serial: 8250: of: Correct of_platform_serial_setup() error
handling (bnc#1012628).
- ASoC: hdmi-codec: fix routing (bnc#1012628).
- gpu: ipu-v3: csi: pass back mbus_code_to_bus_cfg error codes
(bnc#1012628).
- liquidio: fix hang when re-binding VF host drv after running
DPDK VF driver (bnc#1012628).
- ARM: hisi: check of_iomap and fix missing of_node_put
(bnc#1012628).
- net: hns3: Fix return value error in hns3_reset_notify_down_enet
(bnc#1012628).
- ARM: hisi: fix error handling and missing of_node_put
(bnc#1012628).
- ARM: hisi: handle of_iomap and fix missing of_node_put
(bnc#1012628).
- net: hns3: Fix for reset_level default assignment probelm
(bnc#1012628).
- net: hns3: Reset net device with rtnl_lock (bnc#1012628).
- efi/esrt: Only call efi_mem_reserve() for boot services memory
(bnc#1012628).
- sched/core: Use smp_mb() in wake_woken_function() (bnc#1012628).
- arm64: dts: mt7622: update a clock property for UART0
(bnc#1012628).
- pinctrl: rza1: Fix selector use for groups and functions
(bnc#1012628).
- pinctrl: mt7622: Fix probe fail by misuse the selector
(bnc#1012628).
- configfs: fix registered group removal (bnc#1012628).
- MIPS: loongson64: cs5536: Fix PCI_OHCI_INT_REG reads
(bnc#1012628).
- KVM: PPC: Book3S: Fix matching of hardware and emulated TCE
tables (bnc#1012628).
- PM / devfreq: use put_device() instead of kfree() (bnc#1012628).
- security: check for kstrdup() failure in lsm_append()
(bnc#1012628).
- KVM: PPC: Book3S HV: Add of_node_put() in success path
(bnc#1012628).
- evm: Don't deadlock if a crypto algorithm is unavailable
(bnc#1012628).
- Bluetooth: Use lock_sock_nested in bt_accept_enqueue
(bnc#1012628).
- spi: dw: fix possible race condition (bnc#1012628).
- bpf: fix rcu annotations in compute_effective_progs()
(bnc#1012628).
- vfs: fix freeze protection in mnt_want_write_file() for
overlayfs (bnc#1012628).
- mtdchar: fix overflows in adjustment of `count` (bnc#1012628).
- audit: fix use-after-free in audit_add_watch (bnc#1012628).
- arm64: dts: uniphier: Add missing cooling device properties
for CPUs (bnc#1012628).
- net/mlx5: Add missing SET_DRIVER_VERSION command translation
(bnc#1012628).
- binfmt_elf: Respect error return from `regset->active'
(bnc#1012628).
- mmc: meson-mx-sdio: fix OF child-node lookup (bnc#1012628).
- of: add helper to lookup compatible child node (bnc#1012628).
- NFSv4.1 fix infinite loop on I/O (bnc#1012628).
- NFSv4: Fix a tracepoint Oops in initiate_file_draining()
(bnc#1012628).
- x86/EISA: Don't probe EISA bus for Xen PV guests (bnc#1012628).
- of: fix phandle cache creation for DTs with no phandles
(bnc#1012628).
- perf tools: Fix maps__find_symbol_by_name() (bnc#1012628).
- perf/core: Force USER_DS when recording user stack data
(bnc#1012628).
- xtensa: ISS: don't allocate memory in platform_setup
(bnc#1012628).
- cifs: integer overflow in in SMB2_ioctl() (bnc#1012628).
- CIFS: fix wrapping bugs in num_entries() (bnc#1012628).
- cifs: prevent integer overflow in nxt_dir_entry() (bnc#1012628).
- Revert "cdc-acm: implement put_char() and flush_chars()"
(bnc#1012628).
- usb: cdc-wdm: Fix a sleep-in-atomic-context bug in
service_outstanding_interrupt() (bnc#1012628).
- USB: yurex: Fix buffer over-read in yurex_write() (bnc#1012628).
- USB: serial: ti_usb_3410_5052: fix array underflow in completion
handler (bnc#1012628).
- usb: misc: uss720: Fix two sleep-in-atomic-context bugs
(bnc#1012628).
- USB: serial: io_ti: fix array underflow in completion handler
(bnc#1012628).
- USB: net2280: Fix erroneous synchronization change
(bnc#1012628).
- usb: gadget: udc: renesas_usb3: fix maxpacket size of ep0
(bnc#1012628).
- USB: add quirk for WORLDE Controller KS49 or Prodipe MIDI 49C
USB controller (bnc#1012628).
- usb: host: u132-hcd: Fix a sleep-in-atomic-context bug in
u132_get_frame() (bnc#1012628).
- usb: Avoid use-after-free by flushing endpoints early in
usb_set_interface() (bnc#1012628).
- usb: uas: add support for more quirk flags (bnc#1012628).
- USB: Add quirk to support DJI CineSSD (bnc#1012628).
- dm verity: fix crash on bufio buffer that was allocated with
vmalloc (bnc#1012628).
- mei: bus: need to unlink client before freeing (bnc#1012628).
- mei: bus: fix hw module get/put balance (bnc#1012628).
- mei: ignore not found client in the enumeration (bnc#1012628).
- usb: mtu3: fix error of xhci port id when enable U3 dual role
(bnc#1012628).
- usb: xhci: fix interrupt transfer error happened on MTK
platforms (bnc#1012628).
- usb: Don't die twice if PCI xhci host is not responding in
resume (bnc#1012628).
- xhci: Fix use after free for URB cancellation on a reallocated
endpoint (bnc#1012628).
- misc: hmc6352: fix potential Spectre v1 (bnc#1012628).
- misc: ibmvsm: Fix wrong assignment of return code (bnc#1012628).
- Tools: hv: Fix a bug in the key delete code (bnc#1012628).
- vmbus: don't return values for uninitalized channels
(bnc#1012628).
- ovl: fix oopses in ovl_fill_super() failure paths (bnc#1012628).
- ipmi: Fix I2C client removal in the SSIF driver (bnc#1012628).
- ipmi: Move BT capabilities detection to the detect call
(bnc#1012628).
- ipmi: Rework SMI registration failure (bnc#1012628).
- mmc: omap_hsmmc: fix wakeirq handling on removal (bnc#1012628).
- s390/crypto: Fix return code checking in cbc_paes_crypt()
(bnc#1012628).
- IB/ipoib: Avoid a race condition between start_xmit and
cm_rep_handler (bnc#1012628).
- xen/netfront: fix waiting for xenbus state change (bnc#1012628).
- pstore: Fix incorrect persistent ram buffer mapping
(bnc#1012628).
- RDMA/cma: Protect cma dev list with lock (bnc#1012628).
- xen-netfront: fix warn message as irq device name has '/'
(bnc#1012628).
- PCI/AER: Honor "pcie_ports=native" even if HEST sets
FIRMWARE_FIRST (bnc#1012628).
- x86/mm/pti: Add an overflow check to pti_clone_pmds()
(bnc#1012628).
- x86/pti: Check the return value of pti_user_pagetable_walk_pmd()
(bnc#1012628).
- x86/pti: Check the return value of pti_user_pagetable_walk_p4d()
(bnc#1012628).
- crypto: sharah - Unregister correct algorithms for SAHARA 3
(bnc#1012628).
- dmaengine: mv_xor_v2: kill the tasklets upon exit (bnc#1012628).
- iommu/ipmmu-vmsa: IMUCTRn.TTSEL needs a special usage on R-Car
Gen3 (bnc#1012628).
- regulator: qcom_spmi: Fix warning Bad of_node_put()
(bnc#1012628).
- regulator: qcom_spmi: Use correct regmap when checking for error
(bnc#1012628).
- drm/amd/pp: Set Max clock level to display by default
(bnc#1012628).
- i2c: aspeed: Fix initial values of master and slave state
(bnc#1012628).
- drivers/base: stop new probing during shutdown (bnc#1012628).
- KVM: arm/arm64: Fix vgic init race (bnc#1012628).
- platform/x86: toshiba_acpi: Fix defined but not used build
warnings (bnc#1012628).
- s390/qeth: reset layer2 attribute on layer switch (bnc#1012628).
- s390/qeth: fix race in used-buffer accounting (bnc#1012628).
- soc: qcom: smem: Correct check for global partition
(bnc#1012628).
- ARM: dts: qcom: msm8974-hammerhead: increase load on l20 for
sdhci (bnc#1012628).
- arm64: dts: qcom: db410c: Fix Bluetooth LED trigger
(bnc#1012628).
- xen-netfront: fix queue name setting (bnc#1012628).
- nfp: avoid buffer leak when FW communication fails
(bnc#1012628).
- ALSA: usb-audio: Generic DSD detection for Thesycon-based
implementations (bnc#1012628).
- efi/arm: preserve early mapping of UEFI memory map longer for
BGRT (bnc#1012628).
- reset: imx7: Fix always writing bits as 0 (bnc#1012628).
- arm64: fix possible spectre-v1 write in ptrace_hbp_set_event()
(bnc#1012628).
- wan/fsl_ucc_hdlc: use IS_ERR_VALUE() to check return value of
qe_muram_alloc (bnc#1012628).
- Smack: Fix handling of IPv4 traffic received by PF_INET6 sockets
(bnc#1012628).
- mac80211: restrict delayed tailroom needed decrement
(bnc#1012628).
- MIPS: jz4740: Bump zload address (bnc#1012628).
- ASoC: rt5514: Fix the issue of the delay volume applied
(bnc#1012628).
- staging: bcm2835-camera: handle wait_for_completion_timeout
return properly (bnc#1012628).
- staging: bcm2835-camera: fix timeout handling in
wait_for_completion_timeout (bnc#1012628).
- perf script: Show correct offsets for DWARF-based unwinding
(bnc#1012628).
- powerpc/powernv: opal_put_chars partial write fix (bnc#1012628).
- KVM: arm/arm64: vgic: Fix possible spectre-v1 write in
vgic_mmio_write_apr() (bnc#1012628).
- nvme-rdma: unquiesce queues when deleting the controller
(bnc#1012628).
- nvmet: fix file discard return status (bnc#1012628).
- perf powerpc: Fix callchain ip filtering (bnc#1012628).
- ARM: exynos: Clear global variable on init error path
(bnc#1012628).
- omapfb: rename omap2 module to omap2fb.ko (bnc#1012628).
- fbdev: Distinguish between interlaced and progressive modes
(bnc#1012628).
- video: fbdev: pxafb: clear allocated memory for video modes
(bnc#1012628).
- perf powerpc: Fix callchain ip filtering when return address
is in a register (bnc#1012628).
- fbdev/via: fix defined but not used warning (bnc#1012628).
- video: goldfishfb: fix memory leak on driver remove
(bnc#1012628).
- perf tools: Fix struct comm_str removal crash (bnc#1012628).
- fbdev: omapfb: off by one in omapfb_register_client()
(bnc#1012628).
- perf tests: Fix record+probe_libc_inet_pton.sh to ensure
cleanups (bnc#1012628).
- perf tests: Fix record+probe_libc_inet_pton.sh when event exists
(bnc#1012628).
- perf tests: Fix record+probe_libc_inet_pton.sh for powerpc64
(bnc#1012628).
- perf tools: Synthesize GROUP_DESC feature in pipe mode
(bnc#1012628).
- gfs2: Don't reject a supposedly full bitmap if we have blocks
reserved (bnc#1012628).
- perf test: Fix subtest number when showing results
(bnc#1012628).
- media: ov5645: Supported external clock is 24MHz (bnc#1012628).
- mtd/maps: fix solutionengine.c printk format warnings
(bnc#1012628).
- IB/ipoib: Fix error return code in ipoib_dev_init()
(bnc#1012628).
- block: allow max_discard_segments to be stacked (bnc#1012628).
- IB/rxe: Drop QP0 silently (bnc#1012628).
- media: videobuf2-core: check for q->error in vb2_core_qbuf()
(bnc#1012628).
- MIPS: ath79: fix system restart (bnc#1012628).
- dmaengine: pl330: fix irq race with terminate_all (bnc#1012628).
- media: tw686x: Fix oops on buffer alloc failure (bnc#1012628).
- kbuild: do not update config when running install targets
(bnc#1012628).
- kbuild: add .DELETE_ON_ERROR special target (bnc#1012628).
- clk: clk-fixed-factor: Clear OF_POPULATED flag in case of
failure (bnc#1012628).
- clk: core: Potentially free connection id (bnc#1012628).
- Input: pxrc - fix freeing URB on device teardown (bnc#1012628).
- clk: mvebu: armada-37xx-periph: Fix wrong return value in
get_parent (bnc#1012628).
- clk: imx6sll: fix missing of_node_put() (bnc#1012628).
- clk: imx6ul: fix missing of_node_put() (bnc#1012628).
- gfs2: Special-case rindex for gfs2_grow (bnc#1012628).
- iwlwifi: cancel the injective function between hw pointers to
tfd entry index (bnc#1012628).
- nfp: don't fail probe on pci_sriov_set_totalvfs() errors
(bnc#1012628).
- amd-xgbe: use dma_mapping_error to check map errors
(bnc#1012628).
- xfrm: fix 'passing zero to ERR_PTR()' warning (bnc#1012628).
- ALSA: usb-audio: Fix multiple definitions in AU0828_DEVICE()
macro (bnc#1012628).
- ALSA: usb-audio: Add support for Encore mDSD USB DAC
(bnc#1012628).
- ALSA: msnd: Fix the default sample sizes (bnc#1012628).
- iommu/io-pgtable-arm: Fix pgtable allocation in selftest
(bnc#1012628).
- iommu/io-pgtable-arm-v7s: Abort allocation when table address
overflows the PTE (bnc#1012628).
- iommu/arm-smmu-v3: sync the OVACKFLG to PRIQ consumer register
(bnc#1012628).
- usb: dwc3: change stream event enable bit back to 13
(bnc#1012628).
- net/mlx5: Use u16 for Work Queue buffer fragment size
(bnc#1012628).
- net/mlx5: Fix possible deadlock from lockdep when adding fte
to fg (bnc#1012628).
- net/mlx5: Fix not releasing read lock when adding flow rules
(bnc#1012628).
- tcp: really ignore MSG_ZEROCOPY if no SO_ZEROCOPY (bnc#1012628).
- erspan: return PACKET_REJECT when the appropriate tunnel is
not found (bnc#1012628).
- erspan: fix error handling for erspan tunnel (bnc#1012628).
- net/mlx5: Fix debugfs cleanup in the device init/remove flow
(bnc#1012628).
- net/mlx5: Check for error in mlx5_attach_interface
(bnc#1012628).
- net/tls: Set count of SG entries if sk_alloc_sg returns -ENOSPC
(bnc#1012628).
- net/mlx5: E-Switch, Fix memory leak when creating switchdev
mode FDB tables (bnc#1012628).
- tipc: orphan sock in tipc_release() (bnc#1012628).
- rds: fix two RCU related problems (bnc#1012628).
- net: qca_spi: Fix race condition in spi transfers (bnc#1012628).
- net/mlx5: Fix use-after-free in self-healing flow (bnc#1012628).
- be2net: Fix memory leak in be_cmd_get_profile_config()
(bnc#1012628).
- commit 3aeb311
-------------------------------------------------------------------
Mon Sep 24 07:22:45 CEST 2018 - mkubecek@suse.cz
- Update to 4.19-rc5
- commit b44d7bc
-------------------------------------------------------------------
Thu Sep 20 08:37:04 CEST 2018 - jslaby@suse.cz
- Linux 4.18.9 (bnc#1012628).
- i2c: xiic: Make the start and the byte count write atomic
(bnc#1012628).
- i2c: i801: fix DNV's SMBCTRL register offset (bnc#1012628).
- HID: multitouch: fix Elan panels with 2 input modes declaration
(bnc#1012628).
- HID: core: fix grouping by application (bnc#1012628).
- HID: i2c-hid: Fix flooded incomplete report after S3 on Rayd
touchscreen (bnc#1012628).
- HID: input: fix leaking custom input node name (bnc#1012628).
- mm/hugetlb: filter out hugetlb pages if HUGEPAGE migration is
not supported (bnc#1012628).
- memory_hotplug: fix kernel_panic on offline page processing
(bnc#1012628).
- mac80211: don't update the PM state of a peer upon a multicast
frame (bnc#1012628).
- scsi: lpfc: Correct MDS diag and nvmet configuration
(bnc#1012628).
- nbd: don't allow invalid blocksize settings (bnc#1012628).
- block: don't warn when doing fsync on read-only devices
(bnc#1012628).
- block: bfq: swap puts in bfqg_and_blkg_put (bnc#1012628).
- android: binder: fix the race mmap and alloc_new_buf_locked
(bnc#1012628).
- MIPS: VDSO: Match data page cache colouring when D$ aliases
(bnc#1012628).
- SMB3: Backup intent flag missing for directory opens with
backupuid mounts (bnc#1012628).
- smb3: check for and properly advertise directory lease support
(bnc#1012628).
- cifs: connect to servername instead of IP for IPC$ share
(bnc#1012628).
- btrfs: fix qgroup_free wrong num_bytes in
btrfs_subvolume_reserve_metadata (bnc#1012628).
- Btrfs: fix data corruption when deduplicating between different
files (bnc#1012628).
- KVM: arm/arm64: Clean dcache to PoC when changing PTE due to
CoW (bnc#1012628).
- KVM: PPC: Book3S HV: Use correct pagesize in kvm_unmap_radix()
(bnc#1012628).
- KVM: s390: vsie: copy wrapping keys to right place
(bnc#1012628).
- KVM: x86: SVM: Set EMULTYPE_NO_REEXECUTE for RSM emulation
(bnc#1012628).
- KVM: VMX: Do not allow reexecute_instruction() when skipping
MMIO instr (bnc#1012628).
- KVM: x86: Invert emulation re-execute behavior to make it opt-in
(bnc#1012628).
- KVM: x86: Merge EMULTYPE_RETRY and EMULTYPE_ALLOW_REEXECUTE
(bnc#1012628).
- KVM: x86: Default to not allowing emulation retry in
kvm_mmu_page_fault (bnc#1012628).
- KVM: x86: Do not re-{try,execute} after failed emulation in L2
(bnc#1012628).
- ARC: [plat-axs*/plat-hsdk]: Allow U-Boot to pass MAC-address
to the kernel (bnc#1012628).
- ACPI / LPSS: Force LPSS quirks on boot (bnc#1012628).
- memory: ti-aemif: fix a potential NULL-pointer dereference
(bnc#1012628).
- ALSA: hda - Fix cancel_work_sync() stall from jackpoll work
(bnc#1012628).
- cpu/hotplug: Adjust misplaced smb() in cpuhp_thread_fun()
(bnc#1012628).
- cpu/hotplug: Prevent state corruption on error rollback
(bnc#1012628).
- x86/microcode: Make sure boot_cpu_data.microcode is up-to-date
(bnc#1012628).
- x86/microcode: Update the new microcode revision unconditionally
(bnc#1012628).
- x86/process: Don't mix user/kernel regs in 64bit __show_regs()
(bnc#1012628).
- x86/apic/vector: Make error return value negative (bnc#1012628).
- switchtec: Fix Spectre v1 vulnerability (bnc#1012628).
- ARC: [plat-axs*]: Enable SWAP (bnc#1012628).
- tc-testing: flush gact actions on test teardown (bnc#1012628).
- tc-testing: remove duplicate spaces in connmark match patterns
(bnc#1012628).
- misc: mic: SCIF Fix scif_get_new_port() error handling
(bnc#1012628).
- ALSA: hda/realtek - Add mute LED quirk for HP Spectre x360
(bnc#1012628).
- ethtool: Remove trailing semicolon for static inline
(bnc#1012628).
- i2c: aspeed: Add an explicit type casting for *get_clk_reg_val
(bnc#1012628).
- Bluetooth: h5: Fix missing dependency on BT_HCIUART_SERDEV
(bnc#1012628).
- pinctrl: berlin: fix 'pctrl->functions' allocation in
berlin_pinctrl_build_state (bnc#1012628).
- gpio: tegra: Move driver registration to subsys_init level
(bnc#1012628).
- powerpc/powernv: Fix concurrency issue with npu->mmio_atsd_usage
(bnc#1012628).
- powerpc/4xx: Fix error return path in ppc4xx_msi_probe()
(bnc#1012628).
- selftests/bpf: fix a typo in map in map test (bnc#1012628).
- media: davinci: vpif_display: Mix memory leak on probe error
path (bnc#1012628).
- media: dw2102: Fix memleak on sequence of probes (bnc#1012628).
- net: phy: Fix the register offsets in Broadcom iProc mdio mux
driver (bnc#1012628).
- scsi: qla2xxx: Fix unintended Logout (bnc#1012628).
- scsi: qla2xxx: Fix session state stuck in Get Port DB
(bnc#1012628).
- scsi: qla2xxx: Silent erroneous message (bnc#1012628).
- clk: scmi: Fix the rounding of clock rate (bnc#1012628).
- blk-mq: fix updating tags depth (bnc#1012628).
- scsi: lpfc: Fix driver crash when re-registering NVME rports
(bnc#1012628).
- scsi: target: fix __transport_register_session locking
(bnc#1012628).
- md/raid5: fix data corruption of replacements after originals
dropped (bnc#1012628).
- timers: Clear timer_base::must_forward_clk with timer_base::lock
held (bnc#1012628).
- media: camss: csid: Configure data type and decode format
properly (bnc#1012628).
- gpu: ipu-v3: default to id 0 on missing OF alias (bnc#1012628).
- misc: ti-st: Fix memory leak in the error path of probe()
(bnc#1012628).
- uio: potential double frees if __uio_register_device() fails
(bnc#1012628).
- firmware: vpd: Fix section enabled flag on vpd_section_destroy
(bnc#1012628).
- Drivers: hv: vmbus: Cleanup synic memory free path
(bnc#1012628).
- tty: rocket: Fix possible buffer overwrite on register_PCI
(bnc#1012628).
- uio: fix possible circular locking dependency (bnc#1012628).
- iwlwifi: pcie: don't access periphery registers when not
available (bnc#1012628).
- IB/IPoIB: Set ah valid flag in multicast send flow
(bnc#1012628).
- f2fs: fix to active page in lru list for read path
(bnc#1012628).
- f2fs: do not set free of current section (bnc#1012628).
- f2fs: Keep alloc_valid_block_count in sync (bnc#1012628).
- f2fs: issue discard align to section in LFS mode (bnc#1012628).
- f2fs: fix defined but not used build warnings (bnc#1012628).
- f2fs: fix to detect looped node chain correctly (bnc#1012628).
- ASoC: soc-pcm: Use delay set in component pointer function
(bnc#1012628).
- perf tools: Allow overriding MAX_NR_CPUS at compile time
(bnc#1012628).
- device-dax: avoid hang on error before devm_memremap_pages()
(bnc#1012628).
- NFSv4.0 fix client reference leak in callback (bnc#1012628).
- perf c2c report: Fix crash for empty browser (bnc#1012628).
- perf evlist: Fix error out while applying initial delay and LBR
(bnc#1012628).
- powerpc/pseries: fix EEH recovery of some IOV devices
(bnc#1012628).
- macintosh/via-pmu: Add missing mmio accessors (bnc#1012628).
- perf build: Fix installation directory for eBPF (bnc#1012628).
- ath9k: report tx status on EOSP (bnc#1012628).
- ath9k_hw: fix channel maximum power level test (bnc#1012628).
- ath10k: prevent active scans on potential unusable channels
(bnc#1012628).
- wlcore: Set rx_status boottime_ns field on rx (bnc#1012628).
- rpmsg: core: add support to power domains for devices
(bnc#1012628).
- mtd: rawnand: make subop helpers return unsigned values
(bnc#1012628).
- scsi: tcmu: do not set max_blocks if data_bitmap has been setup
(bnc#1012628).
- MIPS: Fix ISA virt/bus conversion for non-zero PHYS_OFFSET
(bnc#1012628).
- ata: libahci: Allow reconfigure of DEVSLP register
(bnc#1012628).
- ata: libahci: Correct setting of DEVSLP register (bnc#1012628).
- nfs: Referrals not inheriting proto setting from parent
(bnc#1012628).
- scsi: 3ware: fix return 0 on the error path of probe
(bnc#1012628).
- tools/testing/nvdimm: kaddr and pfn can be NULL to
->direct_access() (bnc#1012628).
- ath10k: disable bundle mgmt tx completion event support
(bnc#1012628).
- media: em28xx: explicitly disable TS packet filter
(bnc#1012628).
- PCI: mobiveil: Add missing ../pci.h include (bnc#1012628).
- PCI: mobiveil: Fix struct mobiveil_pcie.pcie_reg_base address
type (bnc#1012628).
- powerpc/mm: Don't report PUDs as memory leaks when using
kmemleak (bnc#1012628).
- Bluetooth: hidp: Fix handling of strncpy for hid->name
information (bnc#1012628).
- x86/mm: Remove in_nmi() warning from vmalloc_fault()
(bnc#1012628).
- regulator: tps65217: Fix NULL pointer dereference on probe
(bnc#1012628).
- pinctrl: imx: off by one in imx_pinconf_group_dbg_show()
(bnc#1012628).
- gpio: pxa: disable pinctrl calls for PXA3xx (bnc#1012628).
- gpio: ml-ioh: Fix buffer underwrite on probe error path
(bnc#1012628).
- pinctrl/amd: only handle irq if it is pending and unmasked
(bnc#1012628).
- net: mvneta: fix mtu change on port without link (bnc#1012628).
- f2fs: try grabbing node page lock aggressively in sync scenario
(bnc#1012628).
- pktcdvd: Fix possible Spectre-v1 for pkt_devs (bnc#1012628).
- f2fs: fix to skip GC if type in SSA and SIT is inconsistent
(bnc#1012628).
- tpm_tis_spi: Pass the SPI IRQ down to the driver (bnc#1012628).
- tpm/tpm_i2c_infineon: switch to i2c_lock_bus(...,
I2C_LOCK_SEGMENT) (bnc#1012628).
- f2fs: fix to do sanity check with reserved blkaddr of inline
inode (bnc#1012628).
- MIPS: Octeon: add missing of_node_put() (bnc#1012628).
- MIPS: generic: fix missing of_node_put() (bnc#1012628).
- thermal: rcar_thermal: avoid NULL dereference in absence of
IRQ resources (bnc#1012628).
- thermal_hwmon: Sanitize attribute name passed to hwmon
(bnc#1012628).
- net: dcb: For wild-card lookups, use priority -1, not 0
(bnc#1012628).
- dm cache: only allow a single io_mode cache feature to be
requested (bnc#1012628).
- Input: atmel_mxt_ts - only use first T9 instance (bnc#1012628).
- media: s5p-mfc: Fix buffer look up in s5p_mfc_handle_frame_{new,
copy_time} functions (bnc#1012628).
- partitions/aix: append null character to print data from disk
(bnc#1012628).
- partitions/aix: fix usage of uninitialized lv_info and lvname
structures (bnc#1012628).
- media: rcar-csi2: update stream start for V3M (bnc#1012628).
- media: helene: fix xtal frequency setting at power on
(bnc#1012628).
- drm/amd/display: Prevent PSR from being enabled if
initialization fails (bnc#1012628).
- media: em28xx: Fix dual transport stream operation
(bnc#1012628).
- iommu/arm-smmu-v3: Abort all transactions if SMMU is enabled
in kdump kernel (bnc#1012628).
- f2fs: fix to wait on page writeback before updating page
(bnc#1012628).
- f2fs: Fix uninitialized return in f2fs_ioc_shutdown()
(bnc#1012628).
- media: em28xx: Fix DualHD disconnect oops (bnc#1012628).
- f2fs: avoid potential deadlock in f2fs_sbi_store (bnc#1012628).
- f2fs: fix to do sanity check with secs_per_zone (bnc#1012628).
- mfd: rave-sp: Initialize flow control and parity of the port
(bnc#1012628).
- iommu/ipmmu-vmsa: Fix allocation in atomic context
(bnc#1012628).
- mfd: ti_am335x_tscadc: Fix struct clk memory leak (bnc#1012628).
- f2fs: fix to do sanity check with {sit,nat}_ver_bitmap_bytesize
(bnc#1012628).
- f2fs: fix to propagate return value of scan_nat_page()
(bnc#1012628).
- f2fs: fix to do sanity check with extra_attr feature
(bnc#1012628).
- RDMA/hns: Add illegal hop_num judgement (bnc#1012628).
- NFSv4.1: Fix a potential layoutget/layoutrecall deadlock
(bnc#1012628).
- RDMA/hns: Update the data type of immediate data (bnc#1012628).
- MIPS: WARN_ON invalid DMA cache maintenance, not BUG_ON
(bnc#1012628).
- MIPS: mscc: ocelot: fix length of memory address space for MIIM
(bnc#1012628).
- RDMA/cma: Do not ignore net namespace for unbound cm_id
(bnc#1012628).
- clocksource: Revert "Remove kthread" (bnc#1012628).
- autofs: fix autofs_sbi() does not check super block type
(bnc#1012628).
- mm: get rid of vmacache_flush_all() entirely (bnc#1012628).
- commit 67901ec
-------------------------------------------------------------------
Mon Sep 17 01:27:54 CEST 2018 - mkubecek@suse.cz
- Update to 4.19-rc4
- Eliminated 1 patch
- Config changes:
- Filesystems:
- EROFS_FS=m
- EROFS_FS_DEBUG=n
- EROFS_FS_XATTR=y
- EROFS_FS_POSIX_ACL=y
- EROFS_FS_SECURITY=y
- EROFS_FS_USE_VM_MAP_RAM=n
- EROFS_FAULT_INJECTION=n
- EROFS_FS_ZIP=n
- commit 625b101
-------------------------------------------------------------------
Sat Sep 15 16:09:24 CEST 2018 - jslaby@suse.cz
- Linux 4.18.8 (bnc#1012628).
- ASoC: wm8994: Fix missing break in switch (bnc#1012628).
- HID: redragon: fix num lock and caps lock LEDs (bnc#1012628).
- x86: kvm: avoid unused variable warning (bnc#1012628).
- kvm: x86: Set highest physical address bits in
non-present/reserved SPTEs (bnc#1012628).
- kbuild: make missing $DEPMOD a Warning instead of an Error
(bnc#1012628).
- x86/xen: don't write ptes directly in 32-bit PV guests
(bnc#1012628).
- x86/pae: use 64 bit atomic xchg function in
native_ptep_get_and_clear (bnc#1012628).
- debugobjects: Make stack check warning more informative
(bnc#1012628).
- drm/amdgpu: Don't warn on destroying a pinned BO (bnc#1012628).
- drm/amdgpu: Warn and update pin_size values when destroying
a pinned BO (bnc#1012628).
- drm/amdgpu: Make pin_size values atomic (bnc#1012628).
- drm/amdgpu: Keep track of amount of pinned CPU visible VRAM
(bnc#1012628).
- x86/tsc: Prevent result truncation on 32bit (bnc#1012628).
- drm/i915: set DP Main Stream Attribute for color range on DDI
platforms (bnc#1012628).
- mm: respect arch_dup_mmap() return value (bnc#1012628).
- uapi/linux/keyctl.h: don't use C++ reserved keyword as a struct
member name (bnc#1012628).
- drm/i915: Re-apply "Perform link quality check, unconditionally
during long pulse" (bnc#1012628).
- drm/amdgpu: fix incorrect use of drm_file->pid (bnc#1012628).
- drm/amdgpu: fix incorrect use of fcheck (bnc#1012628).
- drm/amdgpu:add VCN booting with firmware loaded by PSP
(bnc#1012628).
- drm/amdgpu:add VCN support in PSP driver (bnc#1012628).
- drm/amdgpu:add new firmware id for VCN (bnc#1012628).
- drm/amdgpu:add tmr mc address into amdgpu_firmware_info
(bnc#1012628).
- drm/amdgpu: update tmr mc address (bnc#1012628).
- drm/amd/display: Check if clock source in use before disabling
(bnc#1012628).
- drm/amd/display: Pass connector id when executing VBIOS CT
(bnc#1012628).
- drm/rockchip: vop: fix irq disabled after vop driver probed
(bnc#1012628).
- drm/rockchip: vop: split out core clock enablement into separate
functions (bnc#1012628).
- drm/rockchip: lvds: add missing of_node_put (bnc#1012628).
- drm/amd/display: Report non-DP display as disconnected without
EDID (bnc#1012628).
- drm/amd/display: Use requested HDMI aspect ratio (bnc#1012628).
- drm/amd/display: update clk for various HDMI color depths
(bnc#1012628).
- drm/amd/display: Don't share clk source between DP and HDMI
(bnc#1012628).
- drm/amd/display: fix type of variable (bnc#1012628).
- drm/edid: Add 6 bpc quirk for SDC panel in Lenovo B50-80
(bnc#1012628).
- drm/edid: Quirk Vive Pro VR headset non-desktop (bnc#1012628).
- drm/amd/pp/Polaris12: Fix a chunk of registers missed to program
(bnc#1012628).
- drm/amd/powerplay: fixed uninitialized value (bnc#1012628).
- drm/amd/pp: Convert voltage unit in mV*4 to mV on CZ/ST
(bnc#1012628).
- drm/amdgpu: Fix RLC safe mode test in
gfx_v9_0_enter_rlc_safe_mode (bnc#1012628).
- drm/amdgpu: fix a reversed condition (bnc#1012628).
- drm/amdgpu: update uvd_v6_0_ring_vm_funcs to use new nop packet
(bnc#1012628).
- drm/i915: Free write_buf that we allocated with kzalloc
(bnc#1012628).
- drm/i915: Increase LSPCON timeout (bnc#1012628).
- drm/i915: Nuke the LVDS lid notifier (bnc#1012628).
- drm/i915/lpe: Mark LPE audio runtime pm as "no callbacks"
(bnc#1012628).
- btrfs: fix mount and ioctl device scan ioctl race (bnc#1012628).
- btrfs: reorder initialization before the mount locks uuid_mutex
(bnc#1012628).
- btrfs: lift uuid_mutex to callers of btrfs_parse_early_options
(bnc#1012628).
- btrfs: lift uuid_mutex to callers of btrfs_scan_one_device
(bnc#1012628).
- btrfs: use device_list_mutex when removing stale devices
(bnc#1012628).
- btrfs: rename local devices for fs_devices in
btrfs_free_stale_devices( (bnc#1012628).
- btrfs: extend locked section when adding a new device in
device_list_add (bnc#1012628).
- btrfs: do btrfs_free_stale_devices outside of device_list_add
(bnc#1012628).
- ARM: rockchip: Force CONFIG_PM on Rockchip systems
(bnc#1012628).
- arm64: rockchip: Force CONFIG_PM on Rockchip systems
(bnc#1012628).
- btrfs: Fix a C compliance issue (bnc#1012628).
- btrfs: Don't remove block group that still has pinned down bytes
(bnc#1012628).
- btrfs: lift uuid_mutex to callers of btrfs_open_devices
(bnc#1012628).
- btrfs: check-integrity: Fix NULL pointer dereference for
degraded mount (bnc#1012628).
- btrfs: tree-checker: Detect invalid and empty essential trees
(bnc#1012628).
- btrfs: relocation: Only remove reloc rb_trees if reloc control
has been initialized (bnc#1012628).
- btrfs: fix in-memory value of total_devices after seed device
deletion (bnc#1012628).
- btrfs: replace: Reset on-disk dev stats value after replace
(bnc#1012628).
- btrfs: Exit gracefully when chunk map cannot be inserted to
the tree (bnc#1012628).
- drm/etnaviv: fix crash in GPU suspend when init failed due to
buffer placement (bnc#1012628).
- kvm: nVMX: Fix fault vector for VMX operation at CPL > 0
(bnc#1012628).
- KVM: vmx: track host_state.loaded using a loaded_vmcs pointer
(bnc#1012628).
- drm/amd/display: Read back max backlight value at boot
(bnc#1012628).
- clk: rockchip: Add pclk_rkpwm_pmu to PMU critical clocks in
rk3399 (bnc#1012628).
- powerpc/pseries: Avoid using the size greater than
RTAS_ERROR_LOG_MAX (bnc#1012628).
- um: fix parallel building with O= option (bnc#1012628).
- powerpc/64s: Make rfi_flush_fallback a little more robust
(bnc#1012628).
- powerpc/platforms/85xx: fix t1042rdb_diu.c build errors &
warning (bnc#1012628).
- smb3: if server does not support posix do not allow posix
mount option (bnc#1012628).
- SMB3: Number of requests sent should be displayed for SMB3
not just CIFS (bnc#1012628).
- CIFS: fix memory leak and remove dead code (bnc#1012628).
- smb3: fix reset of bytes read and written stats (bnc#1012628).
- cfq: Suppress compiler warnings about comparisons (bnc#1012628).
- RDS: IB: fix 'passing zero to ERR_PTR()' warning (bnc#1012628).
- selinux: cleanup dentry and inodes on error in selinuxfs
(bnc#1012628).
- selftests/powerpc: Kill child processes on SIGINT (bnc#1012628).
- iommu/omap: Fix cache flushes on L2 table entries (bnc#1012628).
- ASoC: rt5677: Fix initialization of rt5677_of_match.data
(bnc#1012628).
- staging: comedi: ni_mio_common: fix subdevice flags for PFI
subdevice (bnc#1012628).
- dm kcopyd: avoid softlockup in run_complete_job (bnc#1012628).
- PCI: mvebu: Fix I/O space end address calculation (bnc#1012628).
- xen/balloon: fix balloon initialization for PVH Dom0
(bnc#1012628).
- Input: do not use WARN() in input_alloc_absinfo() (bnc#1012628).
- NFSv4: Fix error handling in nfs4_sp4_select_mode()
(bnc#1012628).
- scsi: aic94xx: fix an error code in aic94xx_init()
(bnc#1012628).
- blk-mq: count the hctx as active before allocating tag
(bnc#1012628).
- ACPI / scan: Initialize status to ACPI_STA_DEFAULT
(bnc#1012628).
- s390/dasd: fix panic for failed online processing (bnc#1012628).
- s390/dasd: fix hanging offline processing due to canceled worker
(bnc#1012628).
- brcmfmac: fix brcmf_wiphy_wowl_params() NULL pointer dereference
(bnc#1012628).
- block: bvec_nr_vecs() returns value for wrong slab
(bnc#1012628).
- perf probe powerpc: Fix trace event post-processing
(bnc#1012628).
- powerpc: Fix size calculation using resource_size()
(bnc#1012628).
- powerpc/uaccess: Enable get_user(u64, *p) on 32-bit
(bnc#1012628).
- bpf: fix bpffs non-array map seq_show issue (bnc#1012628).
- pinctrl: axp209: Fix NULL pointer dereference after allocation
(bnc#1012628).
- f2fs: fix to clear PG_checked flag in set_page_dirty()
(bnc#1012628).
- net/9p: fix error path of p9_virtio_probe (bnc#1012628).
- net/9p/trans_fd.c: fix race by holding the lock (bnc#1012628).
- irqchip/bcm7038-l1: Hide cpu offline callback when building
for !SMP (bnc#1012628).
- irqchip/stm32: Fix init error handling (bnc#1012628).
- RISC-V: Use KBUILD_CFLAGS instead of KCFLAGS when building
the vDSO (bnc#1012628).
- f2fs: fix avoid race between truncate and background GC
(bnc#1012628).
- f2fs: avoid race between zero_range and background GC
(bnc#1012628).
- perf tools: Check for null when copying nsinfo (bnc#1012628).
- coccicheck: return proper error code on fail (bnc#1012628).
- drm/amd/display: Guard against null crtc in CRC IRQ
(bnc#1012628).
- PCI: Match Root Port's MPS to endpoint's MPSS as necessary
(bnc#1012628).
- net: hns3: Fix for phy link issue when using marvell phy driver
(bnc#1012628).
- block: don't warn for flush on read-only device (bnc#1012628).
- net: hns3: Fix for command format parsing error in
hclge_is_all_function_id_zero (bnc#1012628).
- perf arm spe: Fix uninitialized record error variable
(bnc#1012628).
- ACPICA: ACPICA: add status check for acpi_hw_read before
assigning return value (bnc#1012628).
- RDMA/hns: Fix usage of bitmap allocation functions return values
(bnc#1012628).
- ubi: Initialize Fastmap checkmapping correctly (bnc#1012628).
- tcp, ulp: add alias for all ulp modules (bnc#1012628).
- netfilter: fix memory leaks on netlink_dump_start error
(bnc#1012628).
- platform/x86: asus-nb-wmi: Add keymap entry for lid flip action
on UX360 (bnc#1012628).
- netfilter: x_tables: do not fail xt_alloc_table_info too easilly
(bnc#1012628).
- mfd: sm501: Set coherent_dma_mask when creating subdevices
(bnc#1012628).
- ipvs: fix race between ip_vs_conn_new() and ip_vs_del_dest()
(bnc#1012628).
- s390/kdump: Fix memleak in nt_vmcoreinfo (bnc#1012628).
- netfilter: ip6t_rpfilter: set F_IFACE for linklocal addresses
(bnc#1012628).
- samples/bpf: all XDP samples should unload xdp/bpf prog on
SIGTERM (bnc#1012628).
- bpf, sockmap: fix leakage of smap_psock_map_entry (bnc#1012628).
- net/xdp: Fix suspicious RCU usage warning (bnc#1012628).
- bpf, sockmap: fix sock_map_ctx_update_elem race with
exist/noexist (bnc#1012628).
- tcp, ulp: fix leftover icsk_ulp_ops preventing sock from
reattach (bnc#1012628).
- bpf, sockmap: fix map elem deletion race with smap_stop_sock
(bnc#1012628).
- platform/x86: intel_punit_ipc: fix build errors (bnc#1012628).
- fs/dcache.c: fix kmemcheck splat at take_dentry_name_snapshot()
(bnc#1012628).
- mm: make DEFERRED_STRUCT_PAGE_INIT explicitly depend on
SPARSEMEM (bnc#1012628).
- mm/fadvise.c: fix signed overflow UBSAN complaint (bnc#1012628).
- powerpc/topology: Get topology for shared processors at boot
(bnc#1012628).
- pwm: meson: Fix mux clock names (bnc#1012628).
- IB/hfi1: Invalid NUMA node information can cause a divide by
zero (bnc#1012628).
- i2c: core: ACPI: Make acpi_gsb_i2c_read_bytes() check
i2c_transfer return value (bnc#1012628).
- x86/mce: Add notifier_block forward declaration (bnc#1012628).
- virtio: pci-legacy: Validate queue pfn (bnc#1012628).
- apparmor: fix an error code in __aa_create_ns() (bnc#1012628).
- scripts: modpost: check memory allocation results (bnc#1012628).
- workqueue: re-add lockdep dependencies for flushing
(bnc#1012628).
- workqueue: skip lockdep wq dependency in cancel_work_sync()
(bnc#1012628).
- fat: validate ->i_start before using (bnc#1012628).
- fs/proc/kcore.c: use __pa_symbol() for KCORE_TEXT list entries
(bnc#1012628).
- iommu/rockchip: Move irq request past pm_runtime_enable
(bnc#1012628).
- hfsplus: fix NULL dereference in hfsplus_lookup() (bnc#1012628).
- iommu/rockchip: Handle errors returned from PM framework
(bnc#1012628).
- reiserfs: change j_timestamp type to time64_t (bnc#1012628).
- fs/proc/vmcore.c: hide vmcoredd_mmap_dumps() for nommu builds
(bnc#1012628).
- fork: don't copy inconsistent signal handler state to child
(bnc#1012628).
- sunrpc: Don't use stack buffer with scatterlist (bnc#1012628).
- hfs: prevent crash on exit from failed search (bnc#1012628).
- hfsplus: don't return 0 when fill_super() failed (bnc#1012628).
- cifs: check if SMB2 PDU size has been padded and suppress the
warning (bnc#1012628).
- hv_netvsc: ignore devices that are not PCI (bnc#1012628).
- vhost: correctly check the iova range when waking virtqueue
(bnc#1012628).
- mlxsw: spectrum_switchdev: Do not leak RIFs when removing bridge
(bnc#1012628).
- sctp: hold transport before accessing its asoc in
sctp_transport_get_next (bnc#1012628).
- nfp: wait for posted reconfigs when disabling the device
(bnc#1012628).
- ip6_vti: fix a null pointer deference when destroy vti6 tunnel
(bnc#1012628).
- ip6_vti: fix creating fallback tunnel device for vti6
(bnc#1012628).
- Revert "net: stmmac: Do not keep rearming the coalesce timer
in stmmac_xmit" (bnc#1012628).
- r8169: set RxConfig after tx/rx is enabled for RTL8169sb/8110sb
devices (bnc#1012628).
- net/mlx5: Fix SQ offset in QPs with small RQ (bnc#1012628).
- net/ipv6: Put lwtstate when destroying fib6_info (bnc#1012628).
- net/ipv6: Only update MTU metric if it set (bnc#1012628).
- net/ipv6: init ip6 anycast rt->dst.input as ip6_input
(bnc#1012628).
- ipv6: don't get lwtstate twice in ip6_rt_copy_init()
(bnc#1012628).
- net: macb: Fix regression breaking non-MDIO fixed-link PHYs
(bnc#1012628).
- erspan: set erspan_ver to 1 by default when adding an erspan
dev (bnc#1012628).
- sctp: remove useless start_fail from sctp_ht_iter in proc
(bnc#1012628).
- tipc: fix the big/little endian issue in tipc_dest
(bnc#1012628).
- hv_netvsc: Fix a deadlock by getting rtnl lock earlier in
netvsc_probe() (bnc#1012628).
- tipc: fix a missing rhashtable_walk_exit() (bnc#1012628).
- net/sched: act_pedit: fix dump of extended layered op
(bnc#1012628).
- bnxt_en: Do not adjust max_cp_rings by the ones used by RDMA
(bnc#1012628).
- bnxt_en: Clean up unused functions (bnc#1012628).
- net: sched: action_ife: take reference to meta module
(bnc#1012628).
- act_ife: fix a potential deadlock (bnc#1012628).
- act_ife: move tcfa_lock down to where necessary (bnc#1012628).
- vti6: remove !skb->ignore_df check from vti6_xmit()
(bnc#1012628).
- tcp: do not restart timewait timer on rst reception
(bnc#1012628).
- r8169: add support for NCube 8168 network card (bnc#1012628).
- r8152: disable RX aggregation on new Dell TB16 dock
(bnc#1012628).
- qlge: Fix netdev features configuration (bnc#1012628).
- net: sched: Fix memory exposure from short TCA_U32_SEL
(bnc#1012628).
- net: macb: do not disable MDIO bus at open/close time
(bnc#1012628).
- net: bcmgenet: use MAC link status for fixed phy (bnc#1012628).
- ipv4: tcp: send zero IPID for RST and ACK sent in SYN-RECV
and TIME-WAIT state (bnc#1012628).
- act_ife: fix a potential use-after-free (bnc#1012628).
- commit f486469
-------------------------------------------------------------------
Fri Sep 14 21:00:16 CEST 2018 - mkubecek@suse.cz
- ip: frags: fix crash in ip_do_fragment() (bsc#1108533).
- commit ba41502
-------------------------------------------------------------------
Fri Sep 14 15:20:23 CEST 2018 - msuchanek@suse.de
- doc/README.SUSE: Remove mentions of cloneconfig (bsc#1103636).
- commit 3371adc
-------------------------------------------------------------------
Thu Sep 13 09:29:45 CEST 2018 - jbohac@suse.cz
- Delete patches.suse/x86_64-hpet-64bit-timer.patch.
We removed the patch from SLE15 in commit
20efbd0c034fea7c97243120a025587d0dbac1c2
and we don't need it in future versions of SLE.
- commit aa4eb67
-------------------------------------------------------------------
Mon Sep 10 23:20:30 CEST 2018 - msuchanek@suse.de
- macros.kernel-source: pass -b properly in kernel module package
(bsc#1107870).
- commit 66709cd
-------------------------------------------------------------------
Mon Sep 10 07:16:33 CEST 2018 - mkubecek@suse.cz
- Update to 4.19-rc3
- refresh configs
- commit d3995d7
-------------------------------------------------------------------
Sun Sep 9 12:26:20 CEST 2018 - jslaby@suse.cz
- Linux 4.18.7 (bnc#1012628).
- rcu: Make expedited GPs handle CPU 0 being offline
(bnc#1012628).
- net: 6lowpan: fix reserved space for single frames
(bnc#1012628).
- net: mac802154: tx: expand tailroom if necessary (bnc#1012628).
- 9p/net: Fix zero-copy path in the 9p virtio transport
(bnc#1012628).
- spi: davinci: fix a NULL pointer dereference (bnc#1012628).
- spi: pxa2xx: Add support for Intel Ice Lake (bnc#1012628).
- spi: spi-fsl-dspi: Fix imprecise abort on VF500 during probe
(bnc#1012628).
- spi: cadence: Change usleep_range() to udelay(), for atomic
context (bnc#1012628).
- mmc: block: Fix unsupported parallel dispatch of requests
(bnc#1012628).
- mmc: renesas_sdhi_internal_dmac: mask DMAC interrupts
(bnc#1012628).
- mmc: renesas_sdhi_internal_dmac: fix #define RST_RESERVED_BITS
(bnc#1012628).
- readahead: stricter check for bdi io_pages (bnc#1012628).
- block: fix infinite loop if the device loses discard capability
(bnc#1012628).
- block: blk_init_allocated_queue() set q->fq as NULL in the
fail case (bnc#1012628).
- block: really disable runtime-pm for blk-mq (bnc#1012628).
- blkcg: Introduce blkg_root_lookup() (bnc#1012628).
- block: Introduce blk_exit_queue() (bnc#1012628).
- block: Ensure that a request queue is dissociated from the
cgroup controller (bnc#1012628).
- apparmor: fix bad debug check in apparmor_secid_to_secctx()
(bnc#1012628).
- dma-buf: Move BUG_ON from _add_shared_fence to
_add_shared_inplace (bnc#1012628).
- libertas: fix suspend and resume for SDIO connected cards
(bnc#1012628).
- media: Revert "[media] tvp5150: fix pad format frame height"
(bnc#1012628).
- mailbox: xgene-slimpro: Fix potential NULL pointer dereference
(bnc#1012628).
- Replace magic for trusting the secondary keyring with #define
(bnc#1012628).
- Fix kexec forbidding kernels signed with keys in the secondary
keyring to boot (bnc#1012628).
- powerpc/fadump: handle crash memory ranges array index overflow
(bnc#1012628).
- powerpc/64s: Fix page table fragment refcount race vs
speculative references (bnc#1012628).
- powerpc/pseries: Fix endianness while restoring of r3 in MCE
handler (bnc#1012628).
- powerpc/pkeys: Give all threads control of their key permissions
(bnc#1012628).
- powerpc/pkeys: Deny read/write/execute by default (bnc#1012628).
- powerpc/pkeys: key allocation/deallocation must not change
pkey registers (bnc#1012628).
- powerpc/pkeys: Save the pkey registers before fork
(bnc#1012628).
- powerpc/pkeys: Fix calculation of total pkeys (bnc#1012628).
- powerpc/pkeys: Preallocate execute-only key (bnc#1012628).
- powerpc/nohash: fix pte_access_permitted() (bnc#1012628).
- powerpc64/ftrace: Include ftrace.h needed for enable/disable
calls (bnc#1012628).
- powerpc/powernv/pci: Work around races in PCI bridge enabling
(bnc#1012628).
- cxl: Fix wrong comparison in cxl_adapter_context_get()
(bnc#1012628).
- ocxl: Fix page fault handler in case of fault on dying process
(bnc#1012628).
- IB/mlx5: Honor cnt_set_id_valid flag instead of set_id
(bnc#1012628).
- IB/mlx5: Fix leaking stack memory to userspace (bnc#1012628).
- IB/srpt: Fix srpt_cm_req_recv() error path (1/2) (bnc#1012628).
- IB/srpt: Fix srpt_cm_req_recv() error path (2/2) (bnc#1012628).
- IB/srpt: Support HCAs with more than two ports (bnc#1012628).
- overflow.h: Add arithmetic shift helper (bnc#1012628).
- RDMA/mlx5: Fix shift overflow in mlx5_ib_create_wq
(bnc#1012628).
- ib_srpt: Fix a use-after-free in srpt_close_ch() (bnc#1012628).
- ib_srpt: Fix a use-after-free in __srpt_close_all_ch()
(bnc#1012628).
- RDMA/rxe: Set wqe->status correctly if an unexpected response
is received (bnc#1012628).
- 9p: fix multiple NULL-pointer-dereferences (bnc#1012628).
- fs/9p/xattr.c: catch the error of p9_client_clunk when setting
xattr failed (bnc#1012628).
- 9p/virtio: fix off-by-one error in sg list bounds check
(bnc#1012628).
- net/9p/client.c: version pointer uninitialized (bnc#1012628).
- net/9p/trans_fd.c: fix race-condition by flushing workqueue
before the kfree() (bnc#1012628).
- dm integrity: change 'suspending' variable from bool to int
(bnc#1012628).
- dm thin: stop no_space_timeout worker when switching to
write-mode (bnc#1012628).
- dm cache metadata: save in-core policy_hint_size to on-disk
superblock (bnc#1012628).
- dm cache metadata: set dirty on all cache blocks after a crash
(bnc#1012628).
- dm crypt: don't decrease device limits (bnc#1012628).
- dm writecache: fix a crash due to reading past end of
dirty_bitmap (bnc#1012628).
- uart: fix race between uart_put_char() and uart_shutdown()
(bnc#1012628).
- Drivers: hv: vmbus: Fix the offer_in_progress in
vmbus_process_offer() (bnc#1012628).
- Drivers: hv: vmbus: Reset the channel callback in
vmbus_onoffer_rescind() (bnc#1012628).
- iio: sca3000: Fix missing return in switch (bnc#1012628).
- iio: ad9523: Fix displayed phase (bnc#1012628).
- iio: ad9523: Fix return value for ad952x_store() (bnc#1012628).
- extcon: Release locking when sending the notification of
connector state (bnc#1012628).
- eventpoll.h: wrap casts in () properly (bnc#1012628).
- vmw_balloon: fix inflation of 64-bit GFNs (bnc#1012628).
- vmw_balloon: do not use 2MB without batching (bnc#1012628).
- vmw_balloon: VMCI_DOORBELL_SET does not check status
(bnc#1012628).
- vmw_balloon: fix VMCI use when balloon built into kernel
(bnc#1012628).
- rtc: omap: fix resource leak in registration error path
(bnc#1012628).
- rtc: omap: fix potential crash on power off (bnc#1012628).
- tracing: Do not call start/stop() functions when tracing_on
does not change (bnc#1012628).
- tracing/blktrace: Fix to allow setting same value (bnc#1012628).
- printk/tracing: Do not trace printk_nmi_enter() (bnc#1012628).
- livepatch: Validate module/old func name length (bnc#1012628).
- uprobes: Use synchronize_rcu() not synchronize_sched()
(bnc#1012628).
- mfd: hi655x: Fix regmap area declared size for hi655x
(bnc#1012628).
- ovl: fix wrong use of impure dir cache in ovl_iterate()
(bnc#1012628).
- ACPICA: AML Parser: skip opcodes that open a scope upon parse
failure (bnc#1012628).
- drivers/block/zram/zram_drv.c: fix bug storing backing_dev
(bnc#1012628).
- sched: idle: Avoid retaining the tick when it has been stopped
(bnc#1012628).
- cpuidle: menu: Handle stopped tick more aggressively
(bnc#1012628).
- cpufreq: governor: Avoid accessing invalid governor_data
(bnc#1012628).
- PM / sleep: wakeup: Fix build error caused by missing SRCU
support (bnc#1012628).
- ALSA: ac97: fix device initialization in the compat layer
(bnc#1012628).
- ALSA: ac97: fix check of pm_runtime_get_sync failure
(bnc#1012628).
- ALSA: ac97: fix unbalanced pm_runtime_enable (bnc#1012628).
- i2c: designware: Re-init controllers with pm_disabled set on
resume (bnc#1012628).
- KVM: VMX: fixes for vmentry_l1d_flush module parameter
(bnc#1012628).
- KVM: PPC: Book3S: Fix guest DMA when guest partially backed
by THP pages (bnc#1012628).
- xtensa: limit offsets in __loop_cache_{all,page} (bnc#1012628).
- xtensa: increase ranges in ___invalidate_{i,d}cache_all
(bnc#1012628).
- block, bfq: return nbytes and not zero from struct cftype
.write() method (bnc#1012628).
- pnfs/blocklayout: off by one in bl_map_stripe() (bnc#1012628).
- nfsd: fix leaked file lock with nfs exported overlayfs
(bnc#1012628).
- NFSv4 client live hangs after live data migration recovery
(bnc#1012628).
- NFSv4: Fix locking in pnfs_generic_recover_commit_reqs
(bnc#1012628).
- NFSv4: Fix a sleep in atomic context in nfs4_callback_sequence()
(bnc#1012628).
- ARM: tegra: Fix Tegra30 Cardhu PCA954x reset (bnc#1012628).
- ARM: dts: am57xx-idk: Enable dual role for USB2 port
(bnc#1012628).
- pwm: omap-dmtimer: Return -EPROBE_DEFER if no dmtimer platform
data (bnc#1012628).
- mm/tlb: Remove tlb_remove_table() non-concurrent condition
(bnc#1012628).
- iommu/ipmmu-vmsa: Don't register as BUS IOMMU if machine
doesn't have IPMMU-VMSA (bnc#1012628).
- iommu/vt-d: Add definitions for PFSID (bnc#1012628).
- iommu/vt-d: Fix dev iotlb pfsid use (bnc#1012628).
- sys: don't hold uts_sem while accessing userspace memory
(bnc#1012628).
- userns: move user access out of the mutex (bnc#1012628).
- ubifs: Fix memory leak in lprobs self-check (bnc#1012628).
- Revert "UBIFS: Fix potential integer overflow in allocation"
(bnc#1012628).
- ubifs: Check data node size before truncate (bnc#1012628).
- ubifs: xattr: Don't operate on deleted inodes (bnc#1012628).
- ubifs: Fix directory size calculation for symlinks
(bnc#1012628).
- ubifs: Fix synced_i_size calculation for xattr inodes
(bnc#1012628).
- pwm: tiehrpwm: Don't use emulation mode bits to control PWM
output (bnc#1012628).
- pwm: tiehrpwm: Fix disabling of output of PWMs (bnc#1012628).
- fb: fix lost console when the user unplugs a USB adapter
(bnc#1012628).
- udlfb: fix semaphore value leak (bnc#1012628).
- udlfb: fix display corruption of the last line (bnc#1012628).
- udlfb: don't switch if we are switching to the same videomode
(bnc#1012628).
- udlfb: set optimal write delay (bnc#1012628).
- udlfb: make a local copy of fb_ops (bnc#1012628).
- udlfb: handle allocation failure (bnc#1012628).
- udlfb: set line_length in dlfb_ops_set_par (bnc#1012628).
- getxattr: use correct xattr length (bnc#1012628).
- libnvdimm: Use max contiguous area for namespace size
(bnc#1012628).
- libnvdimm: fix ars_status output length calculation
(bnc#1012628).
- bcache: release dc->writeback_lock properly in
bch_writeback_thread() (bnc#1012628).
- kconfig: fix "Can't open ..." in parallel build (bnc#1012628).
- cap_inode_getsecurity: use d_find_any_alias() instead of
d_find_alias() (bnc#1012628).
- mm, dev_pagemap: Do not clear ->mapping on final put
(bnc#1012628).
- perf auxtrace: Fix queue resize (bnc#1012628).
- crypto: vmx - Fix sleep-in-atomic bugs (bnc#1012628).
- crypto: aesni - Use unaligned loads from gcm_context_data
(bnc#1012628).
- crypto: arm64/sm4-ce - check for the right CPU feature bit
(bnc#1012628).
- crypto: caam - fix DMA mapping direction for RSA forms 2 & 3
(bnc#1012628).
- crypto: caam/jr - fix descriptor DMA unmapping (bnc#1012628).
- crypto: caam/qi - fix error path in xts setkey (bnc#1012628).
- fs/quota: Fix spectre gadget in do_quotactl (bnc#1012628).
- udf: Fix mounting of Win7 created UDF filesystems (bnc#1012628).
- cpuidle: menu: Retain tick when shallow state is selected
(bnc#1012628).
- arm64: mm: always enable CONFIG_HOLES_IN_ZONE (bnc#1012628).
- commit 952d850
-------------------------------------------------------------------
Fri Sep 7 22:15:23 CEST 2018 - jeffm@suse.com
- config: disable HFS_FS
It has no maintainer and has been a source of fuzzer bugs. hfsplus handles
the HFS+ file system that became the default on MacOS in 1998.
- commit 07fd1d6
-------------------------------------------------------------------
Fri Sep 7 11:17:10 CEST 2018 - tiwai@suse.de
- supported.conf: Add bpfilter to kernel-default-base (bsc#1106751)
- commit 8f1f1b6
-------------------------------------------------------------------
Wed Sep 5 20:28:02 CEST 2018 - jslaby@suse.cz
- Linux 4.18.6 (bnc#1012628).
- PATCH scripts/kernel-doc (bnc#1012628).
- scripts/kernel-doc: Escape all literal braces in regexes
(bnc#1012628).
- scsi: libsas: dynamically allocate and free ata host
(bnc#1012628).
- xprtrdma: Fix disconnect regression (bnc#1012628).
- mei: don't update offset in write (bnc#1012628).
- cifs: add missing support for ACLs in SMB 3.11 (bnc#1012628).
- CIFS: fix uninitialized ptr deref in smb2 signing (bnc#1012628).
- cifs: add missing debug entries for kconfig options
(bnc#1012628).
- cifs: use a refcount to protect open/closing the cached file
handle (bnc#1012628).
- cifs: check kmalloc before use (bnc#1012628).
- smb3: enumerating snapshots was leaving part of the data off
end (bnc#1012628).
- smb3: Do not send SMB3 SET_INFO if nothing changed
(bnc#1012628).
- smb3: don't request leases in symlink creation and query
(bnc#1012628).
- smb3: fill in statfs fsid and correct namelen (bnc#1012628).
- btrfs: use correct compare function of dirty_metadata_bytes
(bnc#1012628).
- btrfs: don't leak ret from do_chunk_alloc (bnc#1012628).
- Btrfs: fix mount failure after fsync due to hard link recreation
(bnc#1012628).
- Btrfs: fix btrfs_write_inode vs delayed iput deadlock
(bnc#1012628).
- Btrfs: fix send failure when root has deleted files still open
(bnc#1012628).
- Btrfs: send, fix incorrect file layout after hole punching
beyond eof (bnc#1012628).
- hwmon: (k10temp) 27C Offset needed for Threadripper2
(bnc#1012628).
- bpf, arm32: fix stack var offset in jit (bnc#1012628).
- regulator: arizona-ldo1: Use correct device to get enable GPIO
(bnc#1012628).
- iommu/arm-smmu: Error out only if not enough context interrupts
(bnc#1012628).
- printk: Split the code for storing a message into the log buffer
(bnc#1012628).
- printk: Create helper function to queue deferred console
handling (bnc#1012628).
- printk/nmi: Prevent deadlock when accessing the main log buffer
in NMI (bnc#1012628).
- kprobes/arm64: Fix %p uses in error messages (bnc#1012628).
- arm64: Fix mismatched cache line size detection (bnc#1012628).
- arm64: Handle mismatched cache type (bnc#1012628).
- arm64: mm: check for upper PAGE_SHIFT bits in pfn_valid()
(bnc#1012628).
- arm64: dts: rockchip: corrected uart1 clock-names for rk3328
(bnc#1012628).
- KVM: arm/arm64: Fix potential loss of ptimer interrupts
(bnc#1012628).
- KVM: arm/arm64: Fix lost IRQs from emulated physcial timer
when blocked (bnc#1012628).
- KVM: arm/arm64: Skip updating PMD entry if no change
(bnc#1012628).
- KVM: arm/arm64: Skip updating PTE entry if no change
(bnc#1012628).
- s390/kvm: fix deadlock when killed by oom (bnc#1012628).
- perf kvm: Fix subcommands on s390 (bnc#1012628).
- stop_machine: Reflow cpu_stop_queue_two_works() (bnc#1012628).
- stop_machine: Atomically queue and wake stopper threads
(bnc#1012628).
- ext4: check for NUL characters in extended attribute's name
(bnc#1012628).
- ext4: use ext4_warning() for sb_getblk failure (bnc#1012628).
- ext4: sysfs: print ext4_super_block fields as little-endian
(bnc#1012628).
- ext4: reset error code in ext4_find_entry in fallback
(bnc#1012628).
- ext4: fix race when setting the bitmap corrupted flag
(bnc#1012628).
- nvme-pci: add a memory barrier to
nvme_dbbuf_update_and_check_event (bnc#1012628).
- x86/gpu: reserve ICL's graphics stolen memory (bnc#1012628).
- platform/x86: wmi: Do not mix pages and kmalloc (bnc#1012628).
- mm: move tlb_table_flush to tlb_flush_mmu_free (bnc#1012628).
- mm/tlb, x86/mm: Support invalidating TLB caches for
RCU_TABLE_FREE (bnc#1012628).
- x86/vdso: Fix vDSO build if a retpoline is emitted
(bnc#1012628).
- x86/process: Re-export start_thread() (bnc#1012628).
- KVM: x86: ensure all MSRs can always be KVM_GET/SET_MSR'd
(bnc#1012628).
- KVM: x86: SVM: Call x86_spec_ctrl_set_guest/host() with
interrupts disabled (bnc#1012628).
- fuse: Don't access pipe->buffers without pipe_lock()
(bnc#1012628).
- fuse: fix initial parallel dirops (bnc#1012628).
- fuse: fix double request_end() (bnc#1012628).
- fuse: fix unlocked access to processing queue (bnc#1012628).
- fuse: umount should wait for all requests (bnc#1012628).
- fuse: Fix oops at process_init_reply() (bnc#1012628).
- fuse: Add missed unlock_page() to fuse_readpages_fill()
(bnc#1012628).
- lib/vsprintf: Do not handle %pO[^F] as %px (bnc#1012628).
- udl-kms: change down_interruptible to down (bnc#1012628).
- udl-kms: handle allocation failure (bnc#1012628).
- udl-kms: fix crash due to uninitialized memory (bnc#1012628).
- udl-kms: avoid division (bnc#1012628).
- b43legacy/leds: Ensure NUL-termination of LED name string
(bnc#1012628).
- b43/leds: Ensure NUL-termination of LED name string
(bnc#1012628).
- ASoC: dpcm: don't merge format from invalid codec dai
(bnc#1012628).
- ASoC: zte: Fix incorrect PCM format bit usages (bnc#1012628).
- ASoC: sirf: Fix potential NULL pointer dereference
(bnc#1012628).
- ASoC: wm_adsp: Correct DSP pointer for preloader control
(bnc#1012628).
- soc: qcom: rmtfs-mem: fix memleak in probe error paths
(bnc#1012628).
- pinctrl: freescale: off by one in imx1_pinconf_group_dbg_show()
(bnc#1012628).
- scsi: qla2xxx: Fix stalled relogin (bnc#1012628).
- x86/vdso: Fix lsl operand order (bnc#1012628).
- x86/nmi: Fix NMI uaccess race against CR3 switching
(bnc#1012628).
- x86/irqflags: Mark native_restore_fl extern inline
(bnc#1012628).
- x86/spectre: Add missing family 6 check to microcode check
(bnc#1012628).
- x86/speculation/l1tf: Increase l1tf memory limit for Nehalem+
(bnc#1012628).
- hwmon: (nct6775) Fix potential Spectre v1 (bnc#1012628).
- x86/entry/64: Wipe KASAN stack shadow before
rewind_stack_do_exit() (bnc#1012628).
- x86: Allow generating user-space headers without a compiler
(bnc#1012628).
- s390/mm: fix addressing exception after suspend/resume
(bnc#1012628).
- s390/lib: use expoline for all bcr instructions (bnc#1012628).
- s390: fix br_r1_trampoline for machines without exrl
(bnc#1012628).
- s390/qdio: reset old sbal_state flags (bnc#1012628).
- s390/numa: move initial setup of node_to_cpumask_map
(bnc#1012628).
- s390/pci: fix out of bounds access during irq setup
(bnc#1012628).
- s390/purgatory: Fix crash with expoline enabled (bnc#1012628).
- s390/purgatory: Add missing FORCE to Makefile targets
(bnc#1012628).
- kprobes: Show blacklist addresses as same as kallsyms does
(bnc#1012628).
- kprobes: Replace %p with other pointer types (bnc#1012628).
- kprobes/arm: Fix %p uses in error messages (bnc#1012628).
- kprobes: Make list and blacklist root user read only
(bnc#1012628).
- MIPS: Correct the 64-bit DSP accumulator register size
(bnc#1012628).
- MIPS: memset.S: Fix byte_fixup for MIPSr6 (bnc#1012628).
- MIPS: Always use -march=<arch>, not -<arch> shortcuts
(bnc#1012628).
- MIPS: Change definition of cpu_relax() for Loongson-3
(bnc#1012628).
- MIPS: lib: Provide MIPS64r6 __multi3() for GCC < 7
(bnc#1012628).
- tpm: Return the actual size when receiving an unsupported
command (bnc#1012628).
- tpm: separate cmd_ready/go_idle from runtime_pm (bnc#1012628).
- scsi: mpt3sas: Fix calltrace observed while running IO & reset
(bnc#1012628).
- scsi: mpt3sas: Fix _transport_smp_handler() error path
(bnc#1012628).
- scsi: sysfs: Introduce sysfs_{un,}break_active_protection()
(bnc#1012628).
- scsi: core: Avoid that SCSI device removal through sysfs
triggers a deadlock (bnc#1012628).
- iscsi target: fix session creation failure handling
(bnc#1012628).
- mtd: rawnand: hynix: Use ->exec_op() in
hynix_nand_reg_write_op() (bnc#1012628).
- mtd: rawnand: fsmc: Stop using chip->read_buf() (bnc#1012628).
- mtd: rawnand: marvell: add suspend and resume hooks
(bnc#1012628).
- mtd: rawnand: qcom: wait for desc completion in all BAM channels
(bnc#1012628).
- clk: rockchip: fix clk_i2sout parent selection bits on rk3399
(bnc#1012628).
- clk: npcm7xx: fix memory allocation (bnc#1012628).
- PM / clk: signedness bug in of_pm_clk_add_clks() (bnc#1012628).
- power: generic-adc-battery: fix out-of-bounds write when
copying channel properties (bnc#1012628).
- power: generic-adc-battery: check for duplicate properties
copied from iio channels (bnc#1012628).
- watchdog: Mark watchdog touch functions as notrace
(bnc#1012628).
- cdrom: Fix info leak/OOB read in cdrom_ioctl_drive_status
(bnc#1012628).
- x86/dumpstack: Don't dump kernel memory based on usermode RIP
(bnc#1012628).
- Refresh
patches.suse/0006-x86-stacktrace-Enable-HAVE_RELIABLE_STACKTRACE-for-t.patch.
- Update config files.
- commit 70ab8ae
-------------------------------------------------------------------
Mon Sep 3 08:44:37 CEST 2018 - jslaby@suse.cz
- rpm/kernel-binary.spec.in: fix call of split-modules
split-modules is called with some parameters depending on config
options. But since we do not use backslash consistelny, the call to
split-modules might be evaluated so that also the following cat
command is appended. Avoid this behaviour by using backslashes
everywhere and add %nil to the end.
This perhaps never happens, but stay on the safe side.
- commit 32df888
-------------------------------------------------------------------
Mon Sep 3 07:31:05 CEST 2018 - mkubecek@suse.cz
- Update to 4.19-rc2
- refresh configs
- commit a9462db
-------------------------------------------------------------------
Sun Sep 2 09:18:16 CEST 2018 - agraf@suse.de
- arm64: KVM: Only force FPEXC32_EL2.EN if trapping FPSIMD
(bsc#1106841).
- commit dd0155c
-------------------------------------------------------------------
Sat Sep 1 20:52:02 CEST 2018 - afaerber@suse.de
- config: arm64: Increase SERIAL_8250_RUNTIME_UARTS to 32 (boo#1073193)
(cherry picked from commit 0dbc49ba128ef0931ca04cf22ec5c638534f5b23)
- config: arm64: Increase SERIAL_8250_RUNTIME_UARTS to 32 (boo#1073193)
- commit 6aae50e
-------------------------------------------------------------------
Sat Sep 1 19:44:18 CEST 2018 - afaerber@suse.de
- config: Enable SERIAL_SC16IS7XX_SPI on arm and x86 (bsc#1105672, fate#326668)
(cherry picked from commit cdc9eced6d892ff77abbeef5f0d5eb38c114602c)
- config: Enable SERIAL_SC16IS7XX_SPI on arm and x86 (bsc#1105672, fate#326668)
- commit 22a4d36
-------------------------------------------------------------------
Sat Sep 1 19:25:40 CEST 2018 - afaerber@suse.de
- config: Consistently increase SERIAL_8250_NR_UARTS to 32 (boo#1073193)
(cherry picked from commit acb36abf212a3a7428d958798d678d82351f4658)
- config: Consistently increase SERIAL_8250_NR_UARTS to 32 (boo#1073193)
- commit 090b553
-------------------------------------------------------------------
Sat Sep 1 17:27:29 CEST 2018 - afaerber@suse.de
- config: armv7hl: Update to 4.19-rc1
- commit d1f42dc
-------------------------------------------------------------------
Sat Sep 1 17:01:26 CEST 2018 - afaerber@suse.de
- config: armv7hl: Update to 4.18.5 (bsc#1012628)
(cherry picked from commit fa0ebc5508eedec2c9108cafdf854a8c53a28a83)
[AF: Don't re-enable the configs yet]
- config: armv7hl: Update to 4.18.5 (bsc#1012628)
- commit f2722e4
-------------------------------------------------------------------
Sat Sep 1 14:40:57 CEST 2018 - afaerber@suse.de
- config: armv6hl: Update to 4.19-rc1
- commit 2f56919
-------------------------------------------------------------------
Fri Aug 31 23:38:59 CEST 2018 - afaerber@suse.de
- config: armv6hl: Update to 4.18.5 (bsc#1012628)
(cherry picked from commit e9071067714392290f6b0d525c77c8abfa8cf075)
[AF: Don't re-enable configs yet]
- config: armv6hl: Update to 4.18.5 (bsc#1012628)
- commit d01db43
-------------------------------------------------------------------
Fri Aug 31 21:42:45 CEST 2018 - afaerber@suse.de
- config: arm64: Update to 4.19-rc1
- commit a6a88d1
-------------------------------------------------------------------
Wed Aug 29 21:06:19 CEST 2018 - mkubecek@suse.cz
- powerpc/boot: Fix missing crc32poly.h when building with
KERNEL_XZ.
- Delete
patches.rpmify/Revert-lib-Use-existing-define-with-polynomial.patch.
- commit cba84f7
-------------------------------------------------------------------
Wed Aug 29 20:56:44 CEST 2018 - mkubecek@suse.cz
- kernel-binary: check also bzImage on s390/s390x
Starting with 4.19-rc1, uncompressed image is no longer built on s390x.
If file "image" is not found in arch/s390/boot after the build, try bzImage
instead.
For now, install bzImage under the name image-* until we know grub2 and our
grub2 scripts can handle correct name.
- commit d7ccf81
-------------------------------------------------------------------
Tue Aug 28 16:19:05 CEST 2018 - jbeulich@suse.com
- Refresh patches.suse/supported-flag after upstream commit b2c5cdcfd4.
- Refresh
patches.suse/kernel-add-product-identifying-information-to-kernel-build.patch.
- commit 8b0e2e5
-------------------------------------------------------------------
Mon Aug 27 13:07:01 CEST 2018 - mkubecek@suse.cz
- Revert "lib: Use existing define with polynomial".
- commit 1dc6366
-------------------------------------------------------------------
Mon Aug 27 10:44:52 CEST 2018 - mkubecek@suse.cz
- Update to 4.19-rc1
- Eliminated 179 patches (167 stable, 12 other)
- ARM configs need updating
- Config changes:
- Block:
- BLK_CGROUP_IOLATENCY=y
- Networking:
- XFRM_INTERFACE=m
- NETFILTER_NETLINK_OSF=m
- NFT_TUNNEL=m
- NFT_OSF=m
- NFT_TPROXY=m
- NET_SCH_ETF=m
- NET_SCH_SKBPRIO=m
- NET_SCH_CAKE=m
- CAN_UCAN=m
- BT_HCIUART_RTL=y
- BT_MTKUART=m
- BCMGENET=m
- SYSTEMPORT=m
- BNXT_HWMON=y
- NET_VENDOR_CADENCE=y (rename)
- BE2NET_BE2=y
- BE2NET_BE3=y
- BE2NET_LANCER=y
- BE2NET_SKYHAWK=y
- MLX5_EN_ARFS=y
- MLX5_EN_RXNFC=y
- NET_VENDOR_NETERION=y
- NET_VENDOR_PACKET_ENGINES=y
- MT76x0U=m
- MT76x2U=m
- IEEE802154_HWSIM=m
- File systems:
- OVERLAY_FS_METACOPY=n
- UBIFS_FS_XATTR=y
- PSTORE_ZSTD_COMPRESS=y
- CIFS_ALLOW_INSECURE_LEGACY=y
- Security:
- RANDOM_TRUST_CPU=n
- IMA_APPRAISE_BUILD_POLICY=n
- Hacking:
- CONSOLE_LOGLEVEL_QUIET=4
- KPROBE_EVENTS_ON_NOTRACE=n
- TEST_BITFIELD=n
- TEST_IDA=n
- Library:
- CRC64=m
- Graphics:
- VIDEO_CROS_EC_CEC=m
- DRM_DP_CEC=y
- DRM_VKMS=m
- TINYDRM_ILI9341=n
- FRAMEBUFFER_CONSOLE_DEFERRED_TAKEOVER=y
- Sound:
- SND_SOC_INTEL_GLK_RT5682_MAX98357A_MACH=m
- SND_SOC_ES7241=n
- SND_SOC_SIMPLE_AMPLIFIER=n
- Input:
- TOUCHSCREEN_ADC=m
- TOUCHSCREEN_BU21029=m
- HID_COUGAR=m
- USB:
- TYPEC_DP_ALTMODE=m
- Multifunction:
- MFD_MADERA=m
- MFD_MADERA_I2C=m
- MFD_MADERA_SPI=m
- GPIO_MADERA=m
- MFD_CS47L35=y
- MFD_CS47L85=y
- MFD_CS47L90=y
- IIO:
- BME680=m
- AD5758=n
- SI1133=n
- ISL29501=m
- FPGA:
- FPGA_DFL=m
- FPGA_DFL_FME=m
- FPGA_DFL_FME_MGR=m
- FPGA_DFL_FME_BRIDGE=m
- FPGA_DFL_FME_REGION=m
- FPGA_DFL_AFU=m
- FPGA_DFL_PCI=m
- XILINX_PR_DECOUPLER=m
- Power management:
- IDLE_INJECT=y
- CHARGER_ADP5061=m
- CHARGER_CROS_USBPD=m
- SENSORS_MLXREG_FAN=m
- SENSORS_NPCM7XX=m
- Misc drivers:
- GNSS=m
- GNSS_SERIAL=m
- GNSS_SIRF_SERIAL=m
- GNSS_UBX_SERIAL=m
- MTD_SPI_NAND=m
- NVM_PBLK_DEBUG=n
- PINCTRL_ICELAKE=m
- COMMON_CLK_MAX9485=n
- IOMMU_DEFAULT_PASSTHROUGH=n
- XEN:
- XEN_GRANT_DMA_ALLOC=y
- XEN_GNTDEV_DMABUF=y
- x86:
- STAGING_GASKET_FRAMEWORK=m
- STAGING_APEX_DRIVER=m
- XIL_AXIS_FIFO=m
- TOUCHSCREEN_DMI=y
- I2C_MULTI_INSTANTIATE=m
- CROS_EC_I2C=m
- CROS_EC_SPI=m
- MLXREG_IO=m
- i386:
- PCIE_XILINX=y
- MFD_ROHM_BD718XX=n
- DRM_PANEL_ILITEK_ILI9881C=n
- MMC_SDHCI_OF_DWCMSHC=n
- PAGE_TABLE_ISOLATION=y
- PowerPC:
- I2C_MUX_PINCTRL=m
- I2C_DEMUX_PINCTRL=m
- I2C_FSI=m
- DEBUG_PINCTRL=n
- PINCTRL_AMD=m
- PINCTRL_MCP23S08=n
- PINCTRL_SINGLE=n
- PINCTRL_SX150X=n
- LEDS_AAT1290=n
- FSI_NEW_DEV_NODE=n
- FSI_SBEFIFO=m
- S/390:
- KERNEL_GZIP=y
- S2IO=m
- VXGE=m
- VXGE_DEBUG_TRACE_ALL=n
- HAMACHI=m
- YELLOWFIN=m
- MDIO_BCM_UNIMAC=m
- ISM=m
- */debug:
- NVM_PBLK_DEBUG=y
- IOMMU_DEBUGFS=y
- PREEMPTIRQ_DELAY_TEST=m
- commit a3b9cac
-------------------------------------------------------------------
Fri Aug 24 14:18:39 CEST 2018 - jslaby@suse.cz
- Linux 4.18.5 (bnc#1012628).
- reiserfs: fix broken xattr handling (heap corruption, bad
retval) (bnc#1012628).
- i2c: imx: Fix race condition in dma read (bnc#1012628).
- i2c: core: ACPI: Properly set status byte to 0 for multi-byte
writes (bnc#1012628).
- PCI: pciehp: Fix unprotected list iteration in IRQ handler
(bnc#1012628).
- PCI: pciehp: Fix use-after-free on unplug (bnc#1012628).
- PCI: Skip MPS logic for Virtual Functions (VFs) (bnc#1012628).
- PCI: aardvark: Size bridges before resources allocation
(bnc#1012628).
- PCI: hotplug: Don't leak pci_slot on registration failure
(bnc#1012628).
- PCI / ACPI / PM: Resume all bridges on suspend-to-RAM
(bnc#1012628).
- PCI: Restore resized BAR state on resume (bnc#1012628).
- parisc: Remove ordered stores from syscall.S (bnc#1012628).
- parisc: Remove unnecessary barriers from spinlock.h
(bnc#1012628).
- drm/amdgpu/pm: Fix potential Spectre v1 (bnc#1012628).
- drm/i915/kvmgt: Fix potential Spectre v1 (bnc#1012628).
- ext4: fix spectre gadget in ext4_mb_regular_allocator()
(bnc#1012628).
- powerpc64s: Show ori31 availability in spectre_v1 sysfs file
not v2 (bnc#1012628).
- x86/mm/init: Remove freed kernel image areas from alias mapping
(bnc#1012628).
- x86/mm/init: Add helper for freeing kernel image pages
(bnc#1012628).
- x86/mm/init: Pass unconverted symbol addresses to
free_init_pages() (bnc#1012628).
- mm: Allow non-direct-map arguments to free_reserved_area()
(bnc#1012628).
- pty: fix O_CLOEXEC for TIOCGPTPEER (bnc#1012628).
- EDAC: Add missing MEM_LRDDR4 entry in edac_mem_types[]
(bnc#1012628).
- commit d918293
-------------------------------------------------------------------
Fri Aug 24 11:28:38 CEST 2018 - vbabka@suse.cz
- x86/speculation/l1tf: Suggest what to do on systems with too
much RAM (bsc#1105536).
- x86/speculation/l1tf: Fix off-by-one error when warning that
system has too much RAM (bsc#1105536).
- x86/speculation/l1tf: Fix overflow in l1tf_pfn_limit() on 32bit
(OBS failures reported on IRC).
- commit f3b24ad
-------------------------------------------------------------------
Fri Aug 24 09:37:42 CEST 2018 - jslaby@suse.cz
- Update config files.
- Delete
patches.suse/revert-mm-relax-deferred-struct-page-requirements.patch.
We actually do not this non-upstream revert. We only need the config
change. That is: leave DEFERRED_STRUCT_PAGE_INIT=n for i386 as it was
before 4.16.
- commit 161b8ee
-------------------------------------------------------------------
Wed Aug 22 08:55:52 CEST 2018 - jslaby@suse.cz
- Linux 4.18.4 (bnc#1012628).
- l2tp: use sk_dst_check() to avoid race on sk->sk_dst_cache
(bnc#1012628).
- net_sched: fix NULL pointer dereference when delete tcindex
filter (bnc#1012628).
- net_sched: Fix missing res info when create new tc_index filter
(bnc#1012628).
- r8169: don't use MSI-X on RTL8168g (bnc#1012628).
- ALSA: hda - Sleep for 10ms after entering D3 on Conexant codecs
(bnc#1012628).
- ALSA: hda - Turn CX8200 into D3 as well upon reboot
(bnc#1012628).
- ALSA: vx222: Fix invalid endian conversions (bnc#1012628).
- ALSA: virmidi: Fix too long output trigger loop (bnc#1012628).
- ALSA: cs5535audio: Fix invalid endian conversion (bnc#1012628).
- ALSA: dice: fix wrong copy to rx parameters for Alesis iO26
(bnc#1012628).
- ALSA: hda: Correct Asrock B85M-ITX power_save blacklist entry
(bnc#1012628).
- ALSA: memalloc: Don't exceed over the requested size
(bnc#1012628).
- ALSA: vxpocket: Fix invalid endian conversions (bnc#1012628).
- ALSA: seq: Fix poll() error return (bnc#1012628).
- media: gl861: fix probe of dvb_usb_gl861 (bnc#1012628).
- USB: serial: sierra: fix potential deadlock at close
(bnc#1012628).
- USB: serial: pl2303: add a new device id for ATEN (bnc#1012628).
- USB: option: add support for DW5821e (bnc#1012628).
- ACPI / PM: save NVS memory for ASUS 1025C laptop (bnc#1012628).
- tty: serial: 8250: Revert NXP SC16C2552 workaround
(bnc#1012628).
- serial: 8250_exar: Read INT0 from slave device, too
(bnc#1012628).
- serial: 8250_dw: always set baud rate in dw8250_set_termios
(bnc#1012628).
- serial: 8250_dw: Add ACPI support for uart on Broadcom SoC
(bnc#1012628).
- uio: fix wrong return value from uio_mmap() (bnc#1012628).
- misc: sram: fix resource leaks in probe error path
(bnc#1012628).
- Revert "uio: use request_threaded_irq instead" (bnc#1012628).
- Bluetooth: avoid killing an already killed socket (bnc#1012628).
- isdn: Disable IIOCDBGVAR (bnc#1012628).
- net: sock_diag: Fix spectre v1 gadget in __sock_diag_cmd()
(bnc#1012628).
- r8169: don't use MSI-X on RTL8106e (bnc#1012628).
- ip_vti: fix a null pointer deferrence when create vti fallback
tunnel (bnc#1012628).
- net: ethernet: mvneta: Fix napi structure mixup on armada 3700
(bnc#1012628).
- net: mvneta: fix mvneta_config_rss on armada 3700 (bnc#1012628).
- cls_matchall: fix tcf_unbind_filter missing (bnc#1012628).
- commit a7b92e4
-------------------------------------------------------------------
Wed Aug 22 08:55:41 CEST 2018 - jslaby@suse.cz
- Linux 4.18.3 (bnc#1012628).
- x86/speculation/l1tf: Exempt zeroed PTEs from inversion
(bnc#1012628).
- commit a14f6a3
-------------------------------------------------------------------
Wed Aug 22 08:55:22 CEST 2018 - jslaby@suse.cz
- Linux 4.18.2 (bnc#1012628).
- x86/mm: Add TLB purge to free pmd/pte page interfaces
(bnc#1012628).
- ioremap: Update pgtable free interfaces with addr (bnc#1012628).
- Bluetooth: hidp: buffer overflow in hidp_process_report
(bnc#1012628).
- crypto: skcipher - fix crash flushing dcache in error path
(bnc#1012628).
- crypto: skcipher - fix aligning block size in skcipher_copy_iv()
(bnc#1012628).
- crypto: ablkcipher - fix crash flushing dcache in error path
(bnc#1012628).
- crypto: blkcipher - fix crash flushing dcache in error path
(bnc#1012628).
- crypto: vmac - separate tfm and request context (bnc#1012628).
- crypto: vmac - require a block cipher with 128-bit block size
(bnc#1012628).
- crypto: x86/sha256-mb - fix digest copy in
sha256_mb_mgr_get_comp_job_avx2() (bnc#1012628).
- crypto: ccp - Fix command completion detection race
(bnc#1012628).
- crypto: ccp - Check for NULL PSP pointer at module unload
(bnc#1012628).
- crypto: ccree - fix iv handling (bnc#1012628).
- crypto: ccree - fix finup (bnc#1012628).
- kbuild: verify that $DEPMOD is installed (bnc#1012628).
- x86/mm: Disable ioremap free page handling on x86-PAE
(bnc#1012628).
- xen/pv: Call get_cpu_address_sizes to set x86_virt/phys_bits
(bnc#1012628).
- x86/mm/pti: Clear Global bit more aggressively (bnc#1012628).
- x86/platform/UV: Mark memblock related init code and data
correctly (bnc#1012628).
- x86/hyper-v: Check for VP_INVAL in hyperv_flush_tlb_others()
(bnc#1012628).
- x86: i8259: Add missing include file (bnc#1012628).
- x86/l1tf: Fix build error seen if CONFIG_KVM_INTEL is disabled
(bnc#1012628).
- commit 51ef786
-------------------------------------------------------------------
Wed Aug 22 08:55:04 CEST 2018 - jslaby@suse.cz
- Linux 4.18.1 (bnc#1012628).
- x86/init: fix build with CONFIG_SWAP=n (bnc#1012628).
- cpu/hotplug: Non-SMP machines do not make use of booted_once
(bnc#1012628).
- x86/smp: fix non-SMP broken build due to redefinition of
apic_id_is_primary_thread (bnc#1012628).
- commit 7e40689
-------------------------------------------------------------------
Wed Aug 22 08:45:26 CEST 2018 - jslaby@suse.cz
- Linux 4.18.4 (bnc#1012628).
- l2tp: use sk_dst_check() to avoid race on sk->sk_dst_cache
(bnc#1012628).
- net_sched: fix NULL pointer dereference when delete tcindex
filter (bnc#1012628).
- net_sched: Fix missing res info when create new tc_index filter
(bnc#1012628).
- r8169: don't use MSI-X on RTL8168g (bnc#1012628).
- ALSA: hda - Sleep for 10ms after entering D3 on Conexant codecs
(bnc#1012628).
- ALSA: hda - Turn CX8200 into D3 as well upon reboot
(bnc#1012628).
- ALSA: vx222: Fix invalid endian conversions (bnc#1012628).
- ALSA: virmidi: Fix too long output trigger loop (bnc#1012628).
- ALSA: cs5535audio: Fix invalid endian conversion (bnc#1012628).
- ALSA: dice: fix wrong copy to rx parameters for Alesis iO26
(bnc#1012628).
- ALSA: hda: Correct Asrock B85M-ITX power_save blacklist entry
(bnc#1012628).
- ALSA: memalloc: Don't exceed over the requested size
(bnc#1012628).
- ALSA: vxpocket: Fix invalid endian conversions (bnc#1012628).
- ALSA: seq: Fix poll() error return (bnc#1012628).
- media: gl861: fix probe of dvb_usb_gl861 (bnc#1012628).
- USB: serial: sierra: fix potential deadlock at close
(bnc#1012628).
- USB: serial: pl2303: add a new device id for ATEN (bnc#1012628).
- USB: option: add support for DW5821e (bnc#1012628).
- ACPI / PM: save NVS memory for ASUS 1025C laptop (bnc#1012628).
- tty: serial: 8250: Revert NXP SC16C2552 workaround
(bnc#1012628).
- serial: 8250_exar: Read INT0 from slave device, too
(bnc#1012628).
- serial: 8250_dw: always set baud rate in dw8250_set_termios
(bnc#1012628).
- serial: 8250_dw: Add ACPI support for uart on Broadcom SoC
(bnc#1012628).
- uio: fix wrong return value from uio_mmap() (bnc#1012628).
- misc: sram: fix resource leaks in probe error path
(bnc#1012628).
- Revert "uio: use request_threaded_irq instead" (bnc#1012628).
- Bluetooth: avoid killing an already killed socket (bnc#1012628).
- isdn: Disable IIOCDBGVAR (bnc#1012628).
- net: sock_diag: Fix spectre v1 gadget in __sock_diag_cmd()
(bnc#1012628).
- r8169: don't use MSI-X on RTL8106e (bnc#1012628).
- ip_vti: fix a null pointer deferrence when create vti fallback
tunnel (bnc#1012628).
- net: ethernet: mvneta: Fix napi structure mixup on armada 3700
(bnc#1012628).
- net: mvneta: fix mvneta_config_rss on armada 3700 (bnc#1012628).
- cls_matchall: fix tcf_unbind_filter missing (bnc#1012628).
- commit 06f7c50
-------------------------------------------------------------------
Tue Aug 21 16:38:32 CEST 2018 - msuchanek@suse.de
- ACPICA: Clear status of all events when entering sleep states
(boo#1104529).
- commit 5d7a9a7
-------------------------------------------------------------------
Tue Aug 21 16:37:17 CEST 2018 - msuchanek@suse.de
- Delete patches.suse/Revert-ACPICA-Events-Stop-unconditionally-clearing-A.patch.
- commit fb1dc2b
-------------------------------------------------------------------
Mon Aug 20 09:51:52 CEST 2018 - jslaby@suse.cz
- Linux 4.18.3 (bnc#1012628).
- x86/speculation/l1tf: Exempt zeroed PTEs from inversion
(bnc#1012628).
- commit 3914d9b
-------------------------------------------------------------------
Mon Aug 20 09:51:05 CEST 2018 - jslaby@suse.cz
- Linux 4.18.2 (bnc#1012628).
- x86/mm: Add TLB purge to free pmd/pte page interfaces
(bnc#1012628).
- ioremap: Update pgtable free interfaces with addr (bnc#1012628).
- Bluetooth: hidp: buffer overflow in hidp_process_report
(bnc#1012628).
- crypto: skcipher - fix crash flushing dcache in error path
(bnc#1012628).
- crypto: skcipher - fix aligning block size in skcipher_copy_iv()
(bnc#1012628).
- crypto: ablkcipher - fix crash flushing dcache in error path
(bnc#1012628).
- crypto: blkcipher - fix crash flushing dcache in error path
(bnc#1012628).
- crypto: vmac - separate tfm and request context (bnc#1012628).
- crypto: vmac - require a block cipher with 128-bit block size
(bnc#1012628).
- crypto: x86/sha256-mb - fix digest copy in
sha256_mb_mgr_get_comp_job_avx2() (bnc#1012628).
- crypto: ccp - Fix command completion detection race
(bnc#1012628).
- crypto: ccp - Check for NULL PSP pointer at module unload
(bnc#1012628).
- crypto: ccree - fix iv handling (bnc#1012628).
- crypto: ccree - fix finup (bnc#1012628).
- kbuild: verify that $DEPMOD is installed (bnc#1012628).
- x86/mm: Disable ioremap free page handling on x86-PAE
(bnc#1012628).
- xen/pv: Call get_cpu_address_sizes to set x86_virt/phys_bits
(bnc#1012628).
- x86/mm/pti: Clear Global bit more aggressively (bnc#1012628).
- x86/platform/UV: Mark memblock related init code and data
correctly (bnc#1012628).
- x86/hyper-v: Check for VP_INVAL in hyperv_flush_tlb_others()
(bnc#1012628).
- x86: i8259: Add missing include file (bnc#1012628).
- x86/l1tf: Fix build error seen if CONFIG_KVM_INTEL is disabled
(bnc#1012628).
- commit 403355b
-------------------------------------------------------------------
Fri Aug 17 11:05:01 CEST 2018 - mkubecek@suse.cz
- rpm/constraints.in: raise memory constraints
Build statistics show that most architectures already need more than 2 GB.
Require 4 GB except s390x where the memory usage is much lower and we might
have trouble finding any compliant worker.
- commit 494fb32
-------------------------------------------------------------------
Thu Aug 16 20:47:54 CEST 2018 - msuchanek@suse.de
- Revert "ACPICA: Events: Stop unconditionally clearing ACPI
IRQs during suspend/resume" (boo#1104529, bko#196249).
- commit 5dac824
-------------------------------------------------------------------
Thu Aug 16 12:24:28 CEST 2018 - jslaby@suse.cz
- Linux 4.18.1 (bnc#1012628).
- x86/init: fix build with CONFIG_SWAP=n (bnc#1012628).
- cpu/hotplug: Non-SMP machines do not make use of booted_once
(bnc#1012628).
- x86/smp: fix non-SMP broken build due to redefinition of
apic_id_is_primary_thread (bnc#1012628).
- commit 2f1304f
-------------------------------------------------------------------
Wed Aug 15 11:05:17 CEST 2018 - mkubecek@suse.cz
- Update config files.
- commit 07db4aa
-------------------------------------------------------------------
Wed Aug 15 08:47:25 CEST 2018 - jslaby@suse.cz
- Linux 4.18.1-rc1
It contains the L1TF fixes, so push the rc1 for now.
- x86/paravirt: Fix spectre-v2 mitigations for paravirt guests
(bnc#1012628).
- x86/speculation: Protect against userspace-userspace spectreRSB
(bnc#1012628).
- kprobes/x86: Fix %p uses in error messages (bnc#1012628).
- x86/irqflags: Provide a declaration for native_save_fl
(bnc#1012628).
- x86/speculation/l1tf: Increase 32bit PAE __PHYSICAL_PAGE_SHIFT
(bnc#1012628).
- x86/speculation/l1tf: Change order of offset/type in swap entry
(bnc#1012628).
- x86/speculation/l1tf: Protect swap entries against L1TF
(bnc#1012628).
- x86/speculation/l1tf: Protect PROT_NONE PTEs against speculation
(bnc#1012628).
- x86/speculation/l1tf: Make sure the first page is always
reserved (bnc#1012628).
- x86/speculation/l1tf: Add sysfs reporting for l1tf
(bnc#1012628).
- x86/speculation/l1tf: Disallow non privileged high MMIO
PROT_NONE mappings (bnc#1012628).
- x86/speculation/l1tf: Limit swap file size to MAX_PA/2
(bnc#1012628).
- x86/bugs: Move the l1tf function and define pr_fmt properly
(bnc#1012628).
- sched/smt: Update sched_smt_present at runtime (bnc#1012628).
- x86/smp: Provide topology_is_primary_thread() (bnc#1012628).
- x86/topology: Provide topology_smt_supported() (bnc#1012628).
- cpu/hotplug: Make bringup/teardown of smp threads symmetric
(bnc#1012628).
- cpu/hotplug: Split do_cpu_down() (bnc#1012628).
- cpu/hotplug: Provide knobs to control SMT (bnc#1012628).
- x86/cpu: Remove the pointless CPU printout (bnc#1012628).
- x86/cpu/AMD: Remove the pointless detect_ht() call
(bnc#1012628).
- x86/cpu/common: Provide detect_ht_early() (bnc#1012628).
- x86/cpu/topology: Provide detect_extended_topology_early()
(bnc#1012628).
- x86/cpu/intel: Evaluate smp_num_siblings early (bnc#1012628).
- x86/CPU/AMD: Do not check CPUID max ext level before parsing
SMP info (bnc#1012628).
- x86/cpu/AMD: Evaluate smp_num_siblings early (bnc#1012628).
- x86/apic: Ignore secondary threads if nosmt=force (bnc#1012628).
- x86/speculation/l1tf: Extend 64bit swap file size limit
(bnc#1012628).
- x86/cpufeatures: Add detection of L1D cache flush support
(bnc#1012628).
- x86/CPU/AMD: Move TOPOEXT reenablement before reading
smp_num_siblings (bnc#1012628).
- x86/speculation/l1tf: Protect PAE swap entries against L1TF
(bnc#1012628).
- x86/speculation/l1tf: Fix up pte->pfn conversion for PAE
(bnc#1012628).
- Revert "x86/apic: Ignore secondary threads if nosmt=force"
(bnc#1012628).
- cpu/hotplug: Boot HT siblings at least once (bnc#1012628).
- x86/KVM: Warn user if KVM is loaded SMT and L1TF CPU bug being
present (bnc#1012628).
- x86/KVM/VMX: Add module argument for L1TF mitigation
(bnc#1012628).
- x86/KVM/VMX: Add L1D flush algorithm (bnc#1012628).
- x86/KVM/VMX: Add L1D MSR based flush (bnc#1012628).
- x86/KVM/VMX: Add L1D flush logic (bnc#1012628).
- x86/KVM/VMX: Split the VMX MSR LOAD structures to have an
host/guest numbers (bnc#1012628).
- x86/KVM/VMX: Add find_msr() helper function (bnc#1012628).
- x86/KVM/VMX: Separate the VMX AUTOLOAD guest/host number
accounting (bnc#1012628).
- x86/KVM/VMX: Extend add_atomic_switch_msr() to allow VMENTER
only MSRs (bnc#1012628).
- x86/KVM/VMX: Use MSR save list for IA32_FLUSH_CMD if required
(bnc#1012628).
- cpu/hotplug: Online siblings when SMT control is turned on
(bnc#1012628).
- x86/litf: Introduce vmx status variable (bnc#1012628).
- x86/kvm: Drop L1TF MSR list approach (bnc#1012628).
- x86/l1tf: Handle EPT disabled state proper (bnc#1012628).
- x86/kvm: Move l1tf setup function (bnc#1012628).
- x86/kvm: Add static key for flush always (bnc#1012628).
- x86/kvm: Serialize L1D flush parameter setter (bnc#1012628).
- x86/kvm: Allow runtime control of L1D flush (bnc#1012628).
- cpu/hotplug: Expose SMT control init function (bnc#1012628).
- cpu/hotplug: Set CPU_SMT_NOT_SUPPORTED early (bnc#1012628).
- x86/bugs, kvm: Introduce boot-time control of L1TF mitigations
(bnc#1012628).
- Documentation: Add section about CPU vulnerabilities
(bnc#1012628).
- x86/speculation/l1tf: Unbreak !__HAVE_ARCH_PFN_MODIFY_ALLOWED
architectures (bnc#1012628).
- x86/KVM/VMX: Initialize the vmx_l1d_flush_pages' content
(bnc#1012628).
- Documentation/l1tf: Fix typos (bnc#1012628).
- cpu/hotplug: detect SMT disabled by BIOS (bnc#1012628).
- x86/KVM/VMX: Don't set l1tf_flush_l1d to true from
vmx_l1d_flush() (bnc#1012628).
- x86/KVM/VMX: Replace 'vmx_l1d_flush_always' with
'vmx_l1d_flush_cond' (bnc#1012628).
- x86/KVM/VMX: Move the l1tf_flush_l1d test to vmx_l1d_flush()
(bnc#1012628).
- x86/irq: Demote irq_cpustat_t::__softirq_pending to u16
(bnc#1012628).
- x86/KVM/VMX: Introduce per-host-cpu analogue of l1tf_flush_l1d
(bnc#1012628).
- x86: Don't include linux/irq.h from asm/hardirq.h (bnc#1012628).
- x86/irq: Let interrupt handlers set kvm_cpu_l1tf_flush_l1d
(bnc#1012628).
- x86/KVM/VMX: Don't set l1tf_flush_l1d from
vmx_handle_external_intr() (bnc#1012628).
- Documentation/l1tf: Remove Yonah processors from not vulnerable
list (bnc#1012628).
- x86/speculation: Simplify sysfs report of VMX L1TF vulnerability
(bnc#1012628).
- x86/speculation: Use ARCH_CAPABILITIES to skip L1D flush on
vmentry (bnc#1012628).
- KVM: VMX: Tell the nested hypervisor to skip L1D flush on
vmentry (bnc#1012628).
- cpu/hotplug: Fix SMT supported evaluation (bnc#1012628).
- x86/speculation/l1tf: Invert all not present mappings
(bnc#1012628).
- x86/speculation/l1tf: Make pmd/pud_mknotpresent() invert
(bnc#1012628).
- x86/mm/pat: Make set_memory_np() L1TF safe (bnc#1012628).
- x86/mm/kmmio: Make the tracer robust against L1TF (bnc#1012628).
- tools headers: Synchronise x86 cpufeatures.h for L1TF additions
(bnc#1012628).
- x86/microcode: Allow late microcode loading with SMT disabled
(bnc#1012628).
- Refresh
patches.suse/0001-x86-speculation-Add-basic-IBRS-support-infrastructur.patch.
- Update config files.
- commit a23e15c
-------------------------------------------------------------------
Tue Aug 14 21:43:31 CEST 2018 - mkubecek@suse.cz
- Update upstream reference:
patches.suse/hv-netvsc-Fix-NULL-dereference-at-single-queue-mode-.patch.
- commit 0425184
-------------------------------------------------------------------
Tue Aug 14 20:02:18 CEST 2018 - tiwai@suse.de
- hv/netvsc: Fix NULL dereference at single queue mode fallback
(bsc#1104708).
- commit a0cb9f6
-------------------------------------------------------------------
Mon Aug 13 08:39:28 CEST 2018 - jslaby@suse.cz
- Refresh
patches.suse/platform-x86-ideapad-laptop-Apply-no_hw_rfkill-to-Y2.
Update upstream info.
- commit 799dc2a
-------------------------------------------------------------------
Mon Aug 13 07:05:27 CEST 2018 - mkubecek@suse.cz
- Update to 4.18-final.
- Refresh configs
- commit 06ab9b3
-------------------------------------------------------------------
Thu Aug 9 14:44:18 CEST 2018 - jslaby@suse.cz
- Linux 4.17.14 (bnc#1012628).
- jfs: Fix inconsistency between memory allocation and
ea_buf->max_size (bnc#1012628).
- xfs: validate cached inodes are free when allocated
(bnc#1012628).
- xfs: don't call xfs_da_shrink_inode with NULL bp (bnc#1012628).
- Partially revert "block: fail op_is_write() requests to
read-only partitions" (bnc#1012628).
- Btrfs: fix file data corruption after cloning a range and fsync
(bnc#1012628).
- i2c: imx: Fix reinit_completion() use (bnc#1012628).
- ring_buffer: tracing: Inherit the tracing setting to next ring
buffer (bnc#1012628).
- netlink: Don't shift on 64 for ngroups (bnc#1012628).
- nohz: Fix missing tick reprogram when interrupting an inline
softirq (bnc#1012628).
- nohz: Fix local_timer_softirq_pending() (bnc#1012628).
- perf/x86/intel/uncore: Fix hardcoded index of Broadwell extra
PCI devices (bnc#1012628).
- genirq: Make force irq threading setup more robust
(bnc#1012628).
- jfs: Fix usercopy whitelist for inline inode data (bnc#1012628).
- scsi: qla2xxx: Return error when TMF returns (bnc#1012628).
- scsi: qla2xxx: Fix ISP recovery on unload (bnc#1012628).
- scsi: qla2xxx: Fix driver unload by shutting down chip
(bnc#1012628).
- scsi: qla2xxx: Fix NPIV deletion by calling
wait_for_sess_deletion (bnc#1012628).
- scsi: qla2xxx: Fix unintialized List head crash (bnc#1012628).
- commit dc49b43
-------------------------------------------------------------------
Tue Aug 7 20:41:46 CEST 2018 - mkubecek@suse.cz
- config: refresh s390x/vanilla
Add new option CONFIG_SYSTEM_DATA_VERIFICATION=n
- commit 3ee2023
-------------------------------------------------------------------
Mon Aug 6 23:06:47 CEST 2018 - jslaby@suse.cz
- Linux 4.17.13 (bnc#1012628).
- scsi: sg: fix minor memory leak in error path (bnc#1012628).
- drm/atomic: Initialize variables in
drm_atomic_helper_async_check() to make gcc happy (bnc#1012628).
- drm/atomic: Check old_plane_state->crtc in
drm_atomic_helper_async_check() (bnc#1012628).
- drm/vc4: Reset ->{x, y}_scaling[1] when dealing with uniplanar
formats (bnc#1012628).
- crypto: padlock-aes - Fix Nano workaround data corruption
(bnc#1012628).
- RDMA/uverbs: Expand primary and alt AV port checks
(bnc#1012628).
- brcmfmac: fix regression in parsing NVRAM for multiple devices
(bnc#1012628).
- iwlwifi: add more card IDs for 9000 series (bnc#1012628).
- userfaultfd: remove uffd flags from vma->vm_flags if
UFFD_EVENT_FORK fails (bnc#1012628).
- ipc/shm.c add ->pagesize function to shm_vm_ops (bnc#1012628).
- audit: fix potential null dereference 'context->module.name'
(bnc#1012628).
- kvm: x86: vmx: fix vpid leak (bnc#1012628).
- x86/entry/64: Remove %ebx handling from error_entry/exit
(bnc#1012628).
- x86/apic: Future-proof the TSC_DEADLINE quirk for SKX
(bnc#1012628).
- x86/efi: Access EFI MMIO data as unencrypted when SEV is active
(bnc#1012628).
- virtio_balloon: fix another race between migration and
ballooning (bnc#1012628).
- net: socket: Fix potential spectre v1 gadget in
sock_is_registered (bnc#1012628).
- net: socket: fix potential spectre v1 gadget in socketcall
(bnc#1012628).
- can: ems_usb: Fix memory leak on ems_usb_disconnect()
(bnc#1012628).
- squashfs: more metadata hardenings (bnc#1012628).
- squashfs: more metadata hardening (bnc#1012628).
- net/mlx5e: IPoIB, Set the netdevice sw mtu in ipoib enhanced
flow (bnc#1012628).
- net/mlx5e: Set port trust mode to PCP as default (bnc#1012628).
- net/mlx5e: E-Switch, Initialize eswitch only if eswitch manager
(bnc#1012628).
- rxrpc: Fix user call ID check in rxrpc_service_prealloc_one
(bnc#1012628).
- net: stmmac: Fix WoL for PCI-based setups (bnc#1012628).
- netlink: Fix spectre v1 gadget in netlink_create()
(bnc#1012628).
- net: dsa: Do not suspend/resume closed slave_dev (bnc#1012628).
- ipv4: frags: handle possible skb truesize change (bnc#1012628).
- inet: frag: enforce memory limits earlier (bnc#1012628).
- bonding: avoid lockdep confusion in bond_get_stats()
(bnc#1012628).
- commit 9079348
-------------------------------------------------------------------
Mon Aug 6 02:19:17 CEST 2018 - mkubecek@suse.cz
- Update to 4.18-rc8
- commit 9928e10
-------------------------------------------------------------------
Fri Aug 3 08:32:48 CEST 2018 - jslaby@suse.cz
- Linux 4.17.12 (bnc#1012628).
- ACPICA: AML Parser: ignore control method status in module-level
code (bnc#1012628).
- ACPI / LPSS: Avoid PM quirks on suspend and resume from
hibernation (bnc#1012628).
- tcp: ack immediately when a cwr packet arrives (bnc#1012628).
- tcp: add one more quick ack after after ECN events
(bnc#1012628).
- tcp: refactor tcp_ecn_check_ce to remove sk type cast
(bnc#1012628).
- tcp: do not aggressively quick ack after ECN events
(bnc#1012628).
- tcp: add max_quickacks param to tcp_incr_quickack and
tcp_enter_quickack_mode (bnc#1012628).
- tcp: do not force quickack when receiving out-of-order packets
(bnc#1012628).
- netlink: Don't shift with UB on nlk->ngroups (bnc#1012628).
- netlink: Do not subscribe to non-existent groups (bnc#1012628).
- net: rollback orig value on failure of
dev_qdisc_change_tx_queue_len (bnc#1012628).
- cxgb4: Added missing break in ndo_udp_tunnel_{add/del}
(bnc#1012628).
- xen-netfront: wait xenbus state change when load module manually
(bnc#1012628).
- virtio_net: Fix incosistent received bytes counter
(bnc#1012628).
- tcp_bbr: fix bw probing to raise in-flight data for very small
BDPs (bnc#1012628).
- RDS: RDMA: Fix the NULL-ptr deref in rds_ib_get_mr
(bnc#1012628).
- NET: stmmac: align DMA stuff to largest cache line length
(bnc#1012628).
- net: mdio-mux: bcm-iproc: fix wrong getter and setter pair
(bnc#1012628).
- net: lan78xx: fix rx handling before first packet is send
(bnc#1012628).
- net: fix amd-xgbe flow-control issue (bnc#1012628).
- net: ena: Fix use of uninitialized DMA address bits field
(bnc#1012628).
- netdevsim: don't leak devlink resources (bnc#1012628).
- ipv4: remove BUG_ON() from fib_compute_spec_dst (bnc#1012628).
- net: dsa: qca8k: Allow overwriting CPU port setting
(bnc#1012628).
- net: dsa: qca8k: Add QCA8334 binding documentation
(bnc#1012628).
- net: dsa: qca8k: Enable RXMAC when bringing up a port
(bnc#1012628).
- net: dsa: qca8k: Force CPU port to its highest bandwidth
(bnc#1012628).
- RDMA/uverbs: Protect from attempts to create flows on
unsupported QP (bnc#1012628).
- gpio: uniphier: set legitimate irq trigger type in .to_irq hook
(bnc#1012628).
- gpio: of: Handle fixed regulator flags properly (bnc#1012628).
- ext4: fix check to prevent initializing reserved inodes
(bnc#1012628).
- ext4: check for allocation block validity with block group
locked (bnc#1012628).
- ext4: fix inline data updates with checksums enabled
(bnc#1012628).
- ext4: fix false negatives *and* false positives in
ext4_check_descriptors() (bnc#1012628).
- squashfs: be more careful about metadata corruption
(bnc#1012628).
- random: mix rdrand with entropy sent in from userspace
(bnc#1012628).
- i2c: rcar: handle RXDMA HW behaviour on Gen3 (bnc#1012628).
- nvmet-fc: fix target sgl list on large transfers (bnc#1012628).
- block: reset bi_iter.bi_done after splitting bio (bnc#1012628).
- blkdev: __blkdev_direct_IO_simple: fix leak in error case
(bnc#1012628).
- block: bio_iov_iter_get_pages: fix size of last iovec
(bnc#1012628).
- drm/amdgpu: Avoid reclaim while holding locks taken in MMU
notifier (bnc#1012628).
- drm/dp/mst: Fix off-by-one typo when dump payload table
(bnc#1012628).
- drm/atomic-helper: Drop plane->fb references only for
drm_atomic_helper_shutdown() (bnc#1012628).
- drm: Add DP PSR2 sink enable bit (bnc#1012628).
- ARM: dts: imx6qdl-wandboard: Let the codec control MCLK pinctrl
(bnc#1012628).
- ASoC: topology: Add missing clock gating parameter when parsing
hw_configs (bnc#1012628).
- ASoC: topology: Fix bclk and fsync inversion in
set_link_hw_format() (bnc#1012628).
- net: socionext: reset hardware in ndo_stop (bnc#1012628).
- media: si470x: fix __be16 annotations (bnc#1012628).
- media: cec: fix smatch error (bnc#1012628).
- media: atomisp: compat32: fix __user annotations (bnc#1012628).
- PCI/ASPM: Disable ASPM L1.2 Substate if we don't have LTR
(bnc#1012628).
- scsi: cxlflash: Avoid clobbering context control register value
(bnc#1012628).
- scsi: cxlflash: Synchronize reset and remove ops (bnc#1012628).
- scsi: megaraid_sas: Increase timeout by 1 sec for non-RAID
fastpath IOs (bnc#1012628).
- scsi: scsi_dh: replace too broad "TP9" string with the exact
models (bnc#1012628).
- drm/stm: ltdc: fix warning in ltdc_crtc_update_clut()
(bnc#1012628).
- ath10k: search all IEs for variant before falling back
(bnc#1012628).
- regulator: Don't return or expect -errno from of_map_mode()
(bnc#1012628).
- media: omap3isp: fix unbalanced dma_iommu_mapping (bnc#1012628).
- media: rc: mce_kbd decoder: low timeout values cause double
keydowns (bnc#1012628).
- y2038: ipc: Use ktime_get_real_seconds consistently
(bnc#1012628).
- crypto: authenc - don't leak pointers to authenc keys
(bnc#1012628).
- crypto: authencesn - don't leak pointers to authenc keys
(bnc#1012628).
- usb: hub: Don't wait for connect state at resume for powered-off
ports (bnc#1012628).
- microblaze: Fix simpleImage format generation (bnc#1012628).
- soc: imx: gpcv2: Do not pass static memory as platform data
(bnc#1012628).
- serial: core: Make sure compiler barfs for 16-byte earlycon
names (bnc#1012628).
- staging: ks7010: fix error handling in ks7010_upload_firmware
(bnc#1012628).
- staging: lustre: ldlm: free resource when ldlm_lock_create()
fails (bnc#1012628).
- staging: lustre: llite: correct removexattr detection
(bnc#1012628).
- staging: vchiq_core: Fix missing semaphore release in error case
(bnc#1012628).
- platform/x86: dell-smbios: Match on www.dell.com in OEM strings
too (bnc#1012628).
- drm/rockchip: analogix_dp: Do not call Analogix code before bind
(bnc#1012628).
- audit: allow not equal op for audit by executable (bnc#1012628).
- rsi: fix nommu_map_sg overflow kernel panic (bnc#1012628).
- rsi: Fix 'invalid vdd' warning in mmc (bnc#1012628).
- ipconfig: Correctly initialise ic_nameservers (bnc#1012628).
- drm/gma500: fix psb_intel_lvds_mode_valid()'s return type
(bnc#1012628).
- qtnfmac: pearl: pcie: fix memory leak in qtnf_fw_work_handler
(bnc#1012628).
- igb: Fix queue selection on MAC filters on i210 (bnc#1012628).
- ASoC: compress: Only call free for components which have been
opened (bnc#1012628).
- arm64: defconfig: Enable Rockchip io-domain driver
(bnc#1012628).
- ASoC: fsl_ssi: Use u32 variable type when using regmap_read()
(bnc#1012628).
- nvme: lightnvm: add granby support (bnc#1012628).
- memory: tegra: Apply interrupts mask per SoC (bnc#1012628).
- memory: tegra: Do not handle spurious interrupts (bnc#1012628).
- ath10k: fix kernel panic while reading tpc_stats (bnc#1012628).
- delayacct: Use raw_spinlocks (bnc#1012628).
- stop_machine: Use raw spinlocks (bnc#1012628).
- backlight: pwm_bl: Don't use GPIOF_* with gpiod_get_direction
(bnc#1012628).
- mt76: add rcu locking around tx scheduling (bnc#1012628).
- i40e: avoid overflow in i40e_ptp_adjfreq() (bnc#1012628).
- i40e: Add advertising 10G LR mode (bnc#1012628).
- dt-bindings: net: meson-dwmac: new compatible name for AXG SoC
(bnc#1012628).
- net: hns3: Fixes the out of bounds access in hclge_map_tqp
(bnc#1012628).
- spi: meson-spicc: Fix error handling in meson_spicc_probe()
(bnc#1012628).
- dt-bindings: pinctrl: meson: add support for the Meson8m2 SoC
(bnc#1012628).
- mmc: pwrseq: Use kmalloc_array instead of stack VLA
(bnc#1012628).
- mmc: dw_mmc: update actual clock for mmc debugfs (bnc#1012628).
- ALSA: hda/ca0132: fix build failure when a local macro is
defined (bnc#1012628).
- mlxsw: spectrum_router: Return an error for non-default FIB
rules (bnc#1012628).
- f2fs: check cap_resource only for data blocks (bnc#1012628).
- mmc: sdhci-omap: Fix when capabilities are obtained from
SDHCI_CAPABILITIES reg (bnc#1012628).
- drm/atomic: Handling the case when setting old crtc for plane
(bnc#1012628).
- mt76x2: fix avg_rssi estimation (bnc#1012628).
- media: siano: get rid of __le32/__le16 cast warnings
(bnc#1012628).
- media: em28xx: fix a regression with HVR-950 (bnc#1012628).
- f2fs: avoid fsync() failure caused by EAGAIN in writepage()
(bnc#1012628).
- bpf: fix references to free_bpf_prog_info() in comments
(bnc#1012628).
- regulator: add dummy function of_find_regulator_by_node
(bnc#1012628).
- thermal: exynos: fix setting rising_threshold for Exynos5433
(bnc#1012628).
- staging: lustre: o2iblnd: Fix FastReg map/unmap for MLX5
(bnc#1012628).
- staging: lustre: o2iblnd: fix race at kiblnd_connect_peer
(bnc#1012628).
- dma-direct: try reallocation with GFP_DMA32 if possible
(bnc#1012628).
- scsi: qedf: Set the UNLOADING flag when removing a vport
(bnc#1012628).
- soc/tegra: pmc: Don't allocate struct tegra_powergate on stack
(bnc#1012628).
- scsi: hisi_sas: config ATA de-reset as an constrained command
for v3 hw (bnc#1012628).
- scsi: megaraid: silence a static checker bug (bnc#1012628).
- scsi: 3w-xxxx: fix a missing-check bug (bnc#1012628).
- scsi: 3w-9xxx: fix a missing-check bug (bnc#1012628).
- staging: most: cdev: fix chrdev_region leak (bnc#1012628).
- mm, powerpc, x86: define VM_PKEY_BITx bits if
CONFIG_ARCH_HAS_PKEYS is enabled (bnc#1012628).
- bnxt_en: Always forward VF MAC address to the PF (bnc#1012628).
- bnxt_en: Check unsupported speeds in bnxt_update_link() on PF
only (bnc#1012628).
- net: phy: sfp: handle cases where neither BR, min nor BR,
max is given (bnc#1012628).
- perf: fix invalid bit in diagnostic entry (bnc#1012628).
- s390/cpum_sf: Add data entry sizes to sampling trailer entry
(bnc#1012628).
- brcmfmac: Add support for bcm43364 wireless chipset
(bnc#1012628).
- mtd: rawnand: fsl_ifc: fix FSL NAND driver to read all ONFI
parameter pages (bnc#1012628).
- media: em28xx: Fix DualHD broken second tuner (bnc#1012628).
- media: renesas-ceu: Set mbus_fmt on subdev operations
(bnc#1012628).
- media: saa7164: Fix driver name in debug output (bnc#1012628).
- media: media-device: fix ioctl function types (bnc#1012628).
- ACPI / LPSS: Only call pwm_add_table() for Bay Trail PWM if
PMIC HRV is 2 (bnc#1012628).
- libata: Fix command retry decision (bnc#1012628).
- media: rcar_jpu: Add missing clk_disable_unprepare() on error
in jpu_open() (bnc#1012628).
- net: phy: phylink: Release link GPIO (bnc#1012628).
- dma-iommu: Fix compilation when !CONFIG_IOMMU_DMA (bnc#1012628).
- tty: Fix data race in tty_insert_flip_string_fixed_flag
(bnc#1012628).
- i40e: free the skb after clearing the bitlock (bnc#1012628).
- ARM: dts: imx53: Fix LDB OF graph warning (bnc#1012628).
- nvmem: properly handle returned value nvmem_reg_read
(bnc#1012628).
- ARM: dts: sh73a0: Add missing interrupt-affinity to PMU node
(bnc#1012628).
- ARM: dts: emev2: Add missing interrupt-affinity to PMU node
(bnc#1012628).
- ARM: dts: stih407-pinctrl: Fix complain about IRQ_TYPE_NONE
usage (bnc#1012628).
- ARM: dts: stih410: Fix complain about IRQ_TYPE_NONE usage
(bnc#1012628).
- rsi: Add null check for virtual interfaces in wowlan config
(bnc#1012628).
- EDAC, altera: Fix ARM64 build warning (bnc#1012628).
- HID: i2c-hid: check if device is there before really probing
(bnc#1012628).
- powerpc/embedded6xx/hlwd-pic: Prevent interrupts from being
handled by Starlet (bnc#1012628).
- IB: Fix RDMA_RXE and INFINIBAND_RDMAVT dependencies for
DMA_VIRT_OPS (bnc#1012628).
- drm/amd/display: Fix dim display on DCE11 (bnc#1012628).
- drm/amdgpu: Remove VRAM from shared bo domains (bnc#1012628).
- drm/radeon: fix mode_valid's return type (bnc#1012628).
- drm/amd/display: remove need of modeset flag for overlay planes
(V2) (bnc#1012628).
- arm64: dts: renesas: salvator-common: use audio-graph-card
for Sound (bnc#1012628).
- HID: hid-plantronics: Re-resend Update to map button for PTT
products (bnc#1012628).
- arm64: cmpwait: Clear event register before arming exclusive
monitor (bnc#1012628).
- media: staging: atomisp: Comment out several unused sensor
resolutions (bnc#1012628).
- media: atomisp: ov2680: don't declare unused vars (bnc#1012628).
- net: hns3: Fix for fiber link up problem (bnc#1012628).
- ALSA: usb-audio: Apply rate limit to warning messages in URB
complete callback (bnc#1012628).
- net: ethernet: ti: cpsw-phy-sel: check bus_find_device()
ret value (bnc#1012628).
- clocksource: Move inline keyword to the beginning of function
declarations (bnc#1012628).
- PCI/DPC: Clear interrupt status in interrupt handler top half
(bnc#1012628).
- media: smiapp: fix timeout checking in smiapp_read_nvm
(bnc#1012628).
- gpu: host1x: Acquire a reference to the IOVA cache
(bnc#1012628).
- ixgbevf: fix MAC address changes through ixgbevf_set_mac()
(bnc#1012628).
- md: fix NULL dereference of mddev->pers in
remove_and_add_spares() (bnc#1012628).
- md/raid1: add error handling of read error from FailFast device
(bnc#1012628).
- regulator: pfuze100: add .is_enable() for
pfuze100_swb_regulator_ops (bnc#1012628).
- ALSA: emu10k1: Rate-limit error messages about page errors
(bnc#1012628).
- rtc: tps65910: fix possible race condition (bnc#1012628).
- rtc: vr41xx: fix possible race condition (bnc#1012628).
- rtc: tps6586x: fix possible race condition (bnc#1012628).
- Bluetooth: btusb: add ID for LiteOn 04ca:301a (bnc#1012628).
- drm/nouveau/fifo/gk104-: poll for runlist update completion
(bnc#1012628).
- drm/nouveau/gem: lookup VMAs for buffers referenced by pushbuf
ioctl (bnc#1012628).
- drm/nouveau: remove fence wait code from deferred client work
handler (bnc#1012628).
- scsi: zfcp: assert that the ERP lock is held when tracing a
recovery trigger (bnc#1012628).
- scsi: ufs: fix exception event handling (bnc#1012628).
- scsi: ufs: ufshcd: fix possible unclocked register access
(bnc#1012628).
- net: mvpp2: Add missing VLAN tag detection (bnc#1012628).
- fscrypt: use unbound workqueue for decryption (bnc#1012628).
- net: hns3: Fix for hns3 module is loaded multiple times problem
(bnc#1012628).
- net: hns3: Fix the missing client list node initialization
(bnc#1012628).
- net: hns3: Fix for CMDQ and Misc. interrupt init order problem
(bnc#1012628).
- spi: Add missing pm_runtime_put_noidle() after failed get
(bnc#1012628).
- drivers/perf: arm-ccn: don't log to dmesg in event_init
(bnc#1012628).
- watchdog: renesas-wdt: Add support for the R8A77965 WDT
(bnc#1012628).
- ima: based on policy verify firmware signatures (pre-allocated
buffer) (bnc#1012628).
- mt76x2: apply coverage class on slot time too (bnc#1012628).
- mwifiex: correct histogram data with appropriate index
(bnc#1012628).
- net: dsa: qca8k: Add support for QCA8334 switch (bnc#1012628).
- PCI: pciehp: Request control of native hotplug only if supported
(bnc#1012628).
- bpf: powerpc64: pad function address loads with NOPs
(bnc#1012628).
- bpf: fix multi-function JITed dump obtained via syscall
(bnc#1012628).
- pinctrl: msm: fix gpio-hog related boot issues (bnc#1012628).
- pinctrl: at91-pio4: add missing of_node_put (bnc#1012628).
- powerpc/8xx: fix invalid register expression in head_8xx.S
(bnc#1012628).
- spi: sh-msiof: Fix setting SIRMDR1.SYNCAC to match
SITMDR1.SYNCAC (bnc#1012628).
- KVM: x86: prevent integer overflows in
KVM_MEMORY_ENCRYPT_REG_REGION (bnc#1012628).
- powerpc: Add __printf verification to prom_printf (bnc#1012628).
- powerpc/powermac: Mark variable x as unused (bnc#1012628).
- powerpc/powermac: Add missing prototype for note_bootable_part()
(bnc#1012628).
- powerpc/chrp/time: Make some functions static, add missing
header include (bnc#1012628).
- powerpc/32: Add a missing include header (bnc#1012628).
- sched/cpufreq: Modify aggregate utilization to always include
blocked FAIR utilization (bnc#1012628).
- ath: Add regulatory mapping for Bahamas (bnc#1012628).
- ath: Add regulatory mapping for Bermuda (bnc#1012628).
- ath: Add regulatory mapping for Serbia (bnc#1012628).
- ath: Add regulatory mapping for Tanzania (bnc#1012628).
- ath: Add regulatory mapping for Uganda (bnc#1012628).
- ath: Add regulatory mapping for APL2_FCCA (bnc#1012628).
- ath: Add regulatory mapping for APL13_WORLD (bnc#1012628).
- ath: Add regulatory mapping for ETSI8_WORLD (bnc#1012628).
- ath: Add regulatory mapping for FCC3_ETSIC (bnc#1012628).
- nvme-pci: Fix AER reset handling (bnc#1012628).
- nvme-rdma: stop admin queue before freeing it (bnc#1012628).
- soc: qcom: smem: byte swap values properly (bnc#1012628).
- soc: qcom: smem: fix qcom_smem_set_global_partition()
(bnc#1012628).
- soc: qcom: qmi: fix a buffer sizing bug (bnc#1012628).
- PCI: Prevent sysfs disable of device while driver is attached
(bnc#1012628).
- PM / wakeup: Make s2idle_lock a RAW_SPINLOCK (bnc#1012628).
- x86/microcode: Make the late update update_lock a raw lock
for RT (bnc#1012628).
- btrfs: qgroup: Finish rescan when hit the last leaf of extent
tree (bnc#1012628).
- btrfs: add barriers to btrfs_sync_log before log_commit_wait
wakeups (bnc#1012628).
- Btrfs: don't BUG_ON() in btrfs_truncate_inode_items()
(bnc#1012628).
- Btrfs: don't return ino to ino cache if inode item removal fails
(bnc#1012628).
- media: videobuf2-core: don't call memop 'finish' when queueing
(bnc#1012628).
- media: cec-pin-error-inj: avoid a false-positive Spectre
detection (bnc#1012628).
- media: tw686x: Fix incorrect vb2_mem_ops GFP flags
(bnc#1012628).
- net: hns3: Fixes the init of the VALID BD info in the descriptor
(bnc#1012628).
- net: hns3: Fixes initalization of RoCE handle and makes it
conditional (bnc#1012628).
- wlcore: sdio: check for valid platform device data before
suspend (bnc#1012628).
- mwifiex: handle race during mwifiex_usb_disconnect
(bnc#1012628).
- mfd: cros_ec: Fail early if we cannot identify the EC
(bnc#1012628).
- ASoC: dpcm: fix BE dai not hw_free and shutdown (bnc#1012628).
- Bluetooth: btusb: Add a new Realtek 8723DE ID 2ff8:b011
(bnc#1012628).
- drivers/bus: arm-cci: fix build warnings (bnc#1012628).
- drm/amd/display: Do not program interrupt status on disabled
crtc (bnc#1012628).
- Bluetooth: hci_qca: Fix "Sleep inside atomic section" warning
(bnc#1012628).
- iwlwifi: mvm: open BA session only when sta is authorized
(bnc#1012628).
- iwlwifi: pcie: fix race in Rx buffer allocator (bnc#1012628).
- btrfs: balance dirty metadata pages in btrfs_finish_ordered_io
(bnc#1012628).
- PCI: Fix devm_pci_alloc_host_bridge() memory leak (bnc#1012628).
- qtnfmac: fix invalid STA state on EAPOL failure (bnc#1012628).
- selftests/filesystems: devpts_pts included wrong header
(bnc#1012628).
- selftests: filesystems: return Kselftest Skip code for skipped
tests (bnc#1012628).
- selftests: intel_pstate: return Kselftest Skip code for skipped
tests (bnc#1012628).
- selftests: kvm: return Kselftest Skip code for skipped tests
(bnc#1012628).
- selftests: memfd: return Kselftest Skip code for skipped tests
(bnc#1012628).
- selftests/intel_pstate: Improve test, minor fixes (bnc#1012628).
- perf/x86/intel/uncore: Correct fixed counter index check for
NHM (bnc#1012628).
- perf/x86/intel/uncore: Correct fixed counter index check in
generic code (bnc#1012628).
- usbip: dynamically allocate idev by nports found in sysfs
(bnc#1012628).
- usbip: usbip_detach: Fix memory, udev context and udev leak
(bnc#1012628).
- block, bfq: remove wrong lock in bfq_requests_merged
(bnc#1012628).
- f2fs: fix race in between GC and atomic open (bnc#1012628).
- f2fs: fix to detect failure of dquot_initialize (bnc#1012628).
- f2fs: fix missing clear FI_NO_PREALLOC in some error case
(bnc#1012628).
- f2fs: Fix deadlock in shutdown ioctl (bnc#1012628).
- f2fs: fix to wait page writeback during revoking atomic write
(bnc#1012628).
- f2fs: fix to don't trigger writeback during recovery
(bnc#1012628).
- f2fs: don't drop dentry pages after fs shutdown (bnc#1012628).
- f2fs: fix error path of move_data_page (bnc#1012628).
- disable loading f2fs module on PAGE_SIZE > 4KB (bnc#1012628).
- NFS: Fix up nfs_post_op_update_inode() to force ctime updates
(bnc#1012628).
- pnfs: Don't release the sequence slot until we've processed
layoutget on open (bnc#1012628).
- netfilter: nf_tables: check msg_type before nft_trans_set(trans)
(bnc#1012628).
- lightnvm: pblk: warn in case of corrupted write buffer
(bnc#1012628).
- lightnvm: proper error handling for pblk_bio_add_pages
(bnc#1012628).
- lightnvm: fix partial read error path (bnc#1012628).
- RDMA/mad: Convert BUG_ONs to error flows (bnc#1012628).
- net: hns3: Fix for service_task not running problem after
resetting (bnc#1012628).
- net: hns3: Fix for phy not link up problem after resetting
(bnc#1012628).
- clk: ingenic: jz4770: Modify C1CLK clock to disable CPU clock
stop on idle (bnc#1012628).
- clk-si544: Properly round requested frequency to nearest match
(bnc#1012628).
- powerpc/64s: Fix compiler store ordering to SLB shadow area
(bnc#1012628).
- hvc_opal: don't set tb_ticks_per_usec in udbg_init_opal_common()
(bnc#1012628).
- powerpc/eeh: Fix use-after-release of EEH driver (bnc#1012628).
- powerpc/64s: Add barrier_nospec (bnc#1012628).
- powerpc/lib: Adjust .balign inside string functions for PPC32
(bnc#1012628).
- infiniband: fix a possible use-after-free bug (bnc#1012628).
- e1000e: Ignore TSYNCRXCTL when getting I219 clock attributes
(bnc#1012628).
- ceph: fix use-after-free in ceph_statfs() (bnc#1012628).
- ceph: fix alignment of rasize (bnc#1012628).
- bpf, arm32: fix inconsistent naming about emit_a32_lsr_{r64,i64}
(bnc#1012628).
- printk: drop in_nmi check from printk_safe_flush_on_panic()
(bnc#1012628).
- media: arch: sh: migor: Fix TW9910 PDN gpio (bnc#1012628).
- watchdog: da9063: Fix updating timeout value (bnc#1012628).
- irqchip/ls-scfg-msi: Map MSIs in the iommu (bnc#1012628).
- netfilter: ipset: List timing out entries with "timeout 1"
instead of zero (bnc#1012628).
- netfilter: ipset: forbid family for hash:mac sets (bnc#1012628).
- perf tools: Fix pmu events parsing rule (bnc#1012628).
- net: hns3: Fix for VF mailbox cannot receiving PF response
(bnc#1012628).
- rxrpc: Fix terminal retransmission connection ID to include
the channel (bnc#1012628).
- rtc: ensure rtc_set_alarm fails when alarms are not supported
(bnc#1012628).
- mm/slub.c: add __printf verification to slab_err()
(bnc#1012628).
- mm: vmalloc: avoid racy handling of debugobjects in vunmap
(bnc#1012628).
- mm: /proc/pid/pagemap: hide swap entries from unprivileged users
(bnc#1012628).
- mem_cgroup: make sure moving_account, move_lock_task and
stat_cpu in the same cacheline (bnc#1012628).
- kernel/hung_task.c: show all hung tasks before panic
(bnc#1012628).
- vfio/type1: Fix task tracking for QEMU vCPU hotplug
(bnc#1012628).
- vfio/mdev: Check globally for duplicate devices (bnc#1012628).
- vfio: platform: Fix reset module leak in error path
(bnc#1012628).
- nfsd: fix potential use-after-free in nfsd4_decode_getdeviceinfo
(bnc#1012628).
- nfsd: fix error handling in nfs4_set_delegation() (bnc#1012628).
- NFSv4.1: Fix the client behaviour on NFS4ERR_SEQ_FALSE_RETRY
(bnc#1012628).
- ALSA: fm801: add error handling for snd_ctl_add (bnc#1012628).
- ALSA: emu10k1: add error handling for snd_ctl_add (bnc#1012628).
- ixgbe: Fix setting of TC configuration for macvlan case
(bnc#1012628).
- skip LAYOUTRETURN if layout is invalid (bnc#1012628).
- hv_netvsc: fix network namespace issues with VF support
(bnc#1012628).
- xen/netfront: raise max number of slots in
xennet_get_responses() (bnc#1012628).
- drm/amd/powerplay: Set higher SCLK&MCLK frequency than dpm7
in OD (v2) (bnc#1012628).
- mm: check for SIGKILL inside dup_mmap() loop (bnc#1012628).
- kcov: ensure irq code sees a valid area (bnc#1012628).
- mlxsw: spectrum_switchdev: Fix port_vlan refcounting
(bnc#1012628).
- drm/i915/glk: Add Quirk for GLK NUC HDMI port issues
(bnc#1012628).
- arm64: fix vmemmap BUILD_BUG_ON() triggering on !vmemmap setups
(bnc#1012628).
- tracing: Quiet gcc warning about maybe unused link variable
(bnc#1012628).
- tracing/kprobes: Fix trace_probe flags on enable_trace_kprobe()
failure (bnc#1012628).
- kthread, tracing: Don't expose half-written comm when creating
kthreads (bnc#1012628).
- tracing: Fix possible double free in event_enable_trigger_func()
(bnc#1012628).
- tracing: Fix double free of event_trigger_data (bnc#1012628).
- delayacct: fix crash in delayacct_blkio_end() after delayacct
init failure (bnc#1012628).
- kvm, mm: account shadow page tables to kmemcg (bnc#1012628).
- mm: disallow mappings that conflict for devm_memremap_pages()
(bnc#1012628).
- Input: elan_i2c - add another ACPI ID for Lenovo Ideapad
330-15AST (bnc#1012628).
- Input: i8042 - add Lenovo LaVie Z to the i8042 reset list
(bnc#1012628).
- Input: elan_i2c - add ACPI ID for lenovo ideapad 330
(bnc#1012628).
- spi: spi-s3c64xx: Fix system resume support (bnc#1012628).
- commit ef4920c
-------------------------------------------------------------------
Mon Jul 30 08:41:14 CEST 2018 - jslaby@suse.cz
- Update config files.
Run oldconfig on s390x's vanilla.
- commit 6676306
-------------------------------------------------------------------
Mon Jul 30 06:49:41 CEST 2018 - mkubecek@suse.cz
- Update to 4.18-rc7
- commit 68c9705
-------------------------------------------------------------------
Sun Jul 29 09:58:56 CEST 2018 - jslaby@suse.cz
- Linux 4.17.11 (bnc#1012628).
- can: m_can.c: fix setup of CCCR register: clear CCCR NISO bit
before checking can.ctrlmode (bnc#1012628).
- can: m_can: Fix runtime resume call (bnc#1012628).
- can: peak_canfd: fix firmware < v3.3.0: limit allocation to
32-bit DMA addr only (bnc#1012628).
- can: xilinx_can: fix RX overflow interrupt not being enabled
(bnc#1012628).
- can: xilinx_can: fix incorrect clear of non-processed interrupts
(bnc#1012628).
- can: xilinx_can: keep only 1-2 frames in TX FIFO to fix TX
accounting (bnc#1012628).
- can: xilinx_can: fix device dropping off bus on RX overrun
(bnc#1012628).
- can: xilinx_can: fix recovery from error states not being
propagated (bnc#1012628).
- can: xilinx_can: fix power management handling (bnc#1012628).
- can: xilinx_can: fix RX loop if RXNEMP is asserted without RXOK
(bnc#1012628).
- driver core: Partially revert "driver core: correct device's
shutdown order" (bnc#1012628).
- ACPICA: AML Parser: ignore dispatcher error status during
table load (bnc#1012628).
- usb: gadget: f_fs: Only return delayed status when len is 0
(bnc#1012628).
- usb: gadget: Fix OS descriptors support (bnc#1012628).
- usb: xhci: Fix memory leak in xhci_endpoint_reset()
(bnc#1012628).
- usb: dwc2: Fix DMA alignment to start at allocated boundary
(bnc#1012628).
- usb: core: handle hub C_PORT_OVER_CURRENT condition
(bnc#1012628).
- usb: cdc_acm: Add quirk for Castles VEGA3000 (bnc#1012628).
- staging: speakup: fix wraparound in uaccess length check
(bnc#1012628).
- Revert "staging:r8188eu: Use lib80211 to support TKIP"
(bnc#1012628).
- tcp: add tcp_ooo_try_coalesce() helper (bnc#1012628).
- tcp: call tcp_drop() from tcp_data_queue_ofo() (bnc#1012628).
- tcp: detect malicious patterns in tcp_collapse_ofo_queue()
(bnc#1012628).
- tcp: avoid collapses in tcp_prune_queue() if possible
(bnc#1012628).
- tcp: free batches of packets in tcp_prune_ofo_queue()
(bnc#1012628).
- vxlan: fix default fdb entry netlink notify ordering during
netdev create (bnc#1012628).
- vxlan: make netlink notify in vxlan_fdb_destroy optional
(bnc#1012628).
- vxlan: add new fdb alloc and create helpers (bnc#1012628).
- rtnetlink: add rtnl_link_state check in rtnl_configure_link
(bnc#1012628).
- net/mlx5: Adjust clock overflow work period (bnc#1012628).
- net/mlx5e: Fix quota counting in aRFS expire flow (bnc#1012628).
- net/mlx5e: Don't allow aRFS for encapsulated packets
(bnc#1012628).
- net/ipv6: Fix linklocal to global address with VRF
(bnc#1012628).
- multicast: do not restore deleted record source filter mode
to new one (bnc#1012628).
- net: phy: consider PHY_IGNORE_INTERRUPT in phy_start_aneg_priv
(bnc#1012628).
- sock: fix sg page frag coalescing in sk_alloc_sg (bnc#1012628).
- nfp: flower: ensure dead neighbour entries are not offloaded
(bnc#1012628).
- net/mlx5e: Refine ets validation function (bnc#1012628).
- net/mlx5e: Only allow offloading decap egress (egdev) flows
(bnc#1012628).
- net/mlx5e: Add ingress/egress indication for offloaded TC flows
(bnc#1012628).
- tls: check RCV_SHUTDOWN in tls_wait_data (bnc#1012628).
- r8169: restore previous behavior to accept BIOS WoL settings
(bnc#1012628).
- net/mlx5: E-Switch, UBSAN fix undefined behavior in
mlx5_eswitch_mode (bnc#1012628).
- tcp: do not delay ACK in DCTCP upon CE status change
(bnc#1012628).
- tcp: do not cancel delay-AcK on DCTCP special ACK (bnc#1012628).
- tcp: helpers to send special DCTCP ack (bnc#1012628).
- tcp: fix dctcp delayed ACK schedule (bnc#1012628).
- net: skb_segment() should not return NULL (bnc#1012628).
- net-next/hinic: fix a problem in hinic_xmit_frame()
(bnc#1012628).
- net/mlx4_core: Save the qpn from the input modifier in RST2INIT
wrapper (bnc#1012628).
- net: dsa: mv88e6xxx: fix races between lock and irq freeing
(bnc#1012628).
- ip: in cmsg IP(V6)_ORIGDSTADDR call pskb_may_pull (bnc#1012628).
- ip: hash fragments consistently (bnc#1012628).
- bonding: set default miimon value for non-arp modes if not set
(bnc#1012628).
- clk: meson-gxbb: set fclk_div2 as CLK_IS_CRITICAL (bnc#1012628).
- drm/nouveau: Set DRIVER_ATOMIC cap earlier to fix debugfs
(bnc#1012628).
- drm/nouveau/drm/nouveau: Fix runtime PM leak in
nv50_disp_atomic_commit() (bnc#1012628).
- KVM: PPC: Check if IOMMU page is contained in the pinned
physical page (bnc#1012628).
- xen/PVH: Set up GS segment for stack canary (bnc#1012628).
- clk: aspeed: Support HPLL strapping on ast2400 (bnc#1012628).
- clk: aspeed: Mark bclk (PCIe) and dclk (VGA) as critical
(bnc#1012628).
- clk: mvebu: armada-37xx-periph: Fix switching CPU rate from
300Mhz to 1.2GHz (bnc#1012628).
- MIPS: Fix off-by-one in pci_resource_to_user() (bnc#1012628).
- MIPS: ath79: fix register address in ath79_ddr_wb_flush()
(bnc#1012628).
- Revert "iommu/intel-iommu: Enable CONFIG_DMA_DIRECT_OPS=y and
clean up intel_{alloc,free}_coherent()" (bnc#1012628).
- KVM: VMX: support MSR_IA32_ARCH_CAPABILITIES as a feature MSR
(bnc#1012628).
- commit d626dd8
-------------------------------------------------------------------
Thu Jul 26 10:12:05 CEST 2018 - msuchanek@suse.de
- Revert "Revert "Pass x86 as architecture on x86_64 and i386 (bsc#1093118).""
This reverts commit f604b8a2baa6f01a438c2d495a34820ed722aef5.
- commit b5ab6f7
-------------------------------------------------------------------
Thu Jul 26 09:51:15 CEST 2018 - msuchanek@suse.de
- Update config files.
- commit c195a0c
-------------------------------------------------------------------
Thu Jul 26 07:30:20 CEST 2018 - jslaby@suse.cz
- Revert "Pass x86 as architecture on x86_64 and i386 (bsc#1093118)."
This reverts commit 5f24fb07e56ac11cd19527c51f5a547f1d0946d4. It
breaks all i586 builds.
- commit f604b8a
-------------------------------------------------------------------
Wed Jul 25 11:43:03 CEST 2018 - jslaby@suse.cz
- Linux 4.17.10 (bnc#1012628).
- xhci: Fix perceived dead host due to runtime suspend race with
event handler (bnc#1012628).
- cxl_getfile(): fix double-iput() on alloc_file() failures
(bnc#1012628).
- drm_mode_create_lease_ioctl(): fix open-coded filp_clone_open()
(bnc#1012628).
- alpha: fix osf_wait4() breakage (bnc#1012628).
- net: usb: asix: replace mii_nway_restart in resume path
(bnc#1012628).
- ipv6: make DAD fail with enhanced DAD when nonce length differs
(bnc#1012628).
- net: systemport: Fix CRC forwarding check for SYSTEMPORT Lite
(bnc#1012628).
- net/mlx4_en: Don't reuse RX page when XDP is set (bnc#1012628).
- net: aquantia: vlan unicast address list correct handling
(bnc#1012628).
- hv_netvsc: Fix napi reschedule while receive completion is busy
(bnc#1012628).
- sctp: fix the issue that pathmtu may be set lower than
MINSEGMENT (bnc#1012628).
- sctp: introduce sctp_dst_mtu (bnc#1012628).
- net: ip6_gre: get ipv6hdr after skb_cow_head() (bnc#1012628).
- tg3: Add higher cpu clock for 5762 (bnc#1012628).
- sch_fq_codel: zero q->flows_cnt when fq_codel_init fails
(bnc#1012628).
- rhashtable: add restart routine in rhashtable_free_and_destroy()
(bnc#1012628).
- qmi_wwan: add support for Quectel EG91 (bnc#1012628).
- ptp: fix missing break in switch (bnc#1012628).
- net: phy: fix flag masking in __set_phy_supported (bnc#1012628).
- net/ipv6: Do not allow device only routes via the multipath API
(bnc#1012628).
- net/ipv4: Set oif in fib_compute_spec_dst (bnc#1012628).
- skbuff: Unconditionally copy pfmemalloc in __skb_clone()
(bnc#1012628).
- net: Don't copy pfmemalloc flag in __copy_skb_header()
(bnc#1012628).
- net: diag: Don't double-free TCP_NEW_SYN_RECV sockets in
tcp_abort (bnc#1012628).
- lib/rhashtable: consider param->min_size when setting initial
table size (bnc#1012628).
- ipv6: ila: select CONFIG_DST_CACHE (bnc#1012628).
- ipv6: fix useless rol32 call on hash (bnc#1012628).
- ipv4: Return EINVAL when ping_group_range sysctl doesn't map
to user ns (bnc#1012628).
- gen_stats: Fix netlink stats dumping in the presence of padding
(bnc#1012628).
- drm/nouveau: Avoid looping through fake MST connectors
(bnc#1012628).
- drm/nouveau: Use drm_connector_list_iter_* for iterating
connectors (bnc#1012628).
- drm/nouveau: Remove bogus crtc check in pmops_runtime_idle
(bnc#1012628).
- Revert "drm/amd/display: Don't return ddc result and read_bytes
in same return value" (bnc#1012628).
- drm/i915: Fix hotplug irq ack on i965/g4x (bnc#1012628).
- drm/amdgpu: Reserve VM root shared fence slot for command
submission (v3) (bnc#1012628).
- powerpc/powernv: Fix save/restore of SPRG3 on entry/exit from
stop (idle) (bnc#1012628).
- stop_machine: Disable preemption when waking two stopper threads
(bnc#1012628).
- vfio/spapr: Use IOMMU pageshift rather than pagesize
(bnc#1012628).
- vfio/pci: Fix potential Spectre v1 (bnc#1012628).
- cpufreq: intel_pstate: Register when ACPI PCCH is present
(bnc#1012628).
- mm/huge_memory.c: fix data loss when splitting a file pmd
(bnc#1012628).
- mm: memcg: fix use after free in mem_cgroup_iter()
(bnc#1012628).
- ARC: mm: allow mprotect to make stack mappings executable
(bnc#1012628).
- ARC: configs: Remove CONFIG_INITRAMFS_SOURCE from defconfigs
(bnc#1012628).
- ARC: Fix CONFIG_SWAP (bnc#1012628).
- ARCv2: [plat-hsdk]: Save accl reg pair by default (bnc#1012628).
- ALSA: hda: add mute led support for HP ProBook 455 G5
(bnc#1012628).
- ALSA: hda/realtek - Yet another Clevo P950 quirk entry
(bnc#1012628).
- ALSA: hda/realtek - Add Panasonic CF-SZ6 headset jack quirk
(bnc#1012628).
- ALSA: rawmidi: Change resized buffers atomically (bnc#1012628).
- fat: fix memory allocation failure handling of match_strdup()
(bnc#1012628).
- x86/MCE: Remove min interval polling limitation (bnc#1012628).
- x86/events/intel/ds: Fix bts_interrupt_threshold alignment
(bnc#1012628).
- x86/apm: Don't access __preempt_count with zeroed fs
(bnc#1012628).
- x86/kvmclock: set pvti_cpu0_va after enabling kvmclock
(bnc#1012628).
- x86/kvm/vmx: don't read current->thread.{fs,gs}base of legacy
tasks (bnc#1012628).
- KVM: VMX: Mark VMXArea with revision_id of physical CPU even
when eVMCS enabled (bnc#1012628).
- KVM: irqfd: fix race between EPOLLHUP and
irq_bypass_register_consumer (bnc#1012628).
- KVM/Eventfd: Avoid crash when assign and deassign specific
eventfd in parallel (bnc#1012628).
- scsi: qla2xxx: Fix NULL pointer dereference for fcport search
(bnc#1012628).
- scsi: qla2xxx: Fix kernel crash due to late workqueue allocation
(bnc#1012628).
- scsi: qla2xxx: Fix inconsistent DMA mem alloc/free
(bnc#1012628).
- scsi: sd_zbc: Fix variable type and bogus comment (bnc#1012628).
- commit 0a7f415
-------------------------------------------------------------------
Tue Jul 24 09:22:40 CEST 2018 - dmueller@suse.com
- Reenable v8.1/v8.2 aarch64 extensions
These were enabled already in SLE15 and we want to default to the
upstream defaults, which enable these features.
Config changes:
- aarch64:
HW_AFDBM=y
PAN=y
LSE_ATOMICS=y
VHE=y
UAO=y
SVE=y
- commit 7fdc027
-------------------------------------------------------------------
Mon Jul 23 15:22:34 CEST 2018 - msuchanek@suse.de
- Update config files.
- commit 8efadc7
-------------------------------------------------------------------
Mon Jul 23 14:41:22 CEST 2018 - tiwai@suse.de
- rpm/kernel-source.spec.in: Add more stuff to Recommends
... and move bc to Recommends as well. All these packages are needed for
building a kernel manually from scratch with kernel-source files.
- commit 6fcec9a
-------------------------------------------------------------------
Mon Jul 23 11:24:45 CEST 2018 - jslaby@suse.cz
- Revert "rpm/kernel-source.spec.in: require bc for kernel-source"
This reverts commit 2fa4028b0f8fcb4b6a9f2bd2a401a71181e0cdcd. Why
would kernel-source need bc? The discussion is ongoing on
opensuse-kernel. See:
7230252.SMnhE4Fjuc@alaris
- commit 7144bb1
-------------------------------------------------------------------
Mon Jul 23 07:47:21 CEST 2018 - mkubecek@suse.cz
- Update to 4.18-rc6
- Config changes:
- NF_TABLES_SET=m (replaces NFT_SET_{RBTREE,HASH,BITMAP})
- commit 31ad2a8
-------------------------------------------------------------------
Sun Jul 22 21:05:34 CEST 2018 - jslaby@suse.cz
- Linux 4.17.9 (bnc#1012628).
- bpf: undo prog rejection on read-only lock failure
(bnc#1012628).
- bpf, arm32: fix to use bpf_jit_binary_lock_ro api (bnc#1012628).
- bpf: enforce correct alignment for instructions (bnc#1012628).
- arm64: KVM: Add ARCH_WORKAROUND_2 discovery through
ARCH_FEATURES_FUNC_ID (bnc#1012628).
- arm64: KVM: Handle guest's ARCH_WORKAROUND_2 requests
(bnc#1012628).
- arm64: KVM: Add ARCH_WORKAROUND_2 support for guests
(bnc#1012628).
- arm64: KVM: Add HYP per-cpu accessors (bnc#1012628).
- arm64: ssbd: Add prctl interface for per-thread mitigation
(bnc#1012628).
- arm64: ssbd: Introduce thread flag to control userspace
mitigation (bnc#1012628).
- arm64: ssbd: Restore mitigation status on CPU resume
(bnc#1012628).
- arm64: ssbd: Skip apply_ssbd if not using dynamic mitigation
(bnc#1012628).
- arm64: ssbd: Add global mitigation state accessor (bnc#1012628).
- arm64: Add 'ssbd' command-line option (bnc#1012628).
- arm64: Add ARCH_WORKAROUND_2 probing (bnc#1012628).
Update config files.
ARM64_SSBD=y
- arm64: Add per-cpu infrastructure to call ARCH_WORKAROUND_2
(bnc#1012628).
- arm64: Call ARCH_WORKAROUND_2 on transitions between EL0 and
EL1 (bnc#1012628).
- arm/arm64: smccc: Add SMCCC-specific return codes (bnc#1012628).
- ipvs: initialize tbl->entries in ip_vs_lblc_init_svc()
(bnc#1012628).
- ipvs: initialize tbl->entries after allocation (bnc#1012628).
- net/nfc: Avoid stalls when nfc_alloc_send_skb() returned NULL
(bnc#1012628).
- bpf: don't leave partial mangled prog in jit_subprogs error path
(bnc#1012628).
- bpf: sockmap, consume_skb in close path (bnc#1012628).
- bpf: sockmap, fix crash when ipv6 sock is added (bnc#1012628).
- block: don't use blocking queue entered for recursive bio
submits (bnc#1012628).
- rds: avoid unenecessary cong_update in loop transport
(bnc#1012628).
- bpf: reject any prog that failed read-only lock (bnc#1012628).
- bdi: Fix another oops in wb_workfn() (bnc#1012628).
- netfilter: ipv6: nf_defrag: drop skb dst before queueing
(bnc#1012628).
- nsh: set mac len based on inner packet (bnc#1012628).
- autofs: fix slab out of bounds read in getname_kernel()
(bnc#1012628).
- tls: Stricter error checking in zerocopy sendmsg path
(bnc#1012628).
- KEYS: DNS: fix parsing multiple options (bnc#1012628).
- reiserfs: fix buffer overflow with long warning messages
(bnc#1012628).
- netfilter: ebtables: reject non-bridge targets (bnc#1012628).
- PCI: hv: Disable/enable IRQs rather than BH in
hv_compose_msi_msg() (bnc#1012628).
- crypto: af_alg - Initialize sg_num_bytes in error code path
(bnc#1012628).
- net: lan78xx: Fix race in tx pending skb size calculation
(bnc#1012628).
- rtlwifi: rtl8821ae: fix firmware is not ready to run
(bnc#1012628).
- rtlwifi: Fix kernel Oops "Fw download fail!!" (bnc#1012628).
- net: cxgb3_main: fix potential Spectre v1 (bnc#1012628).
- x86/kvm/Kconfig: Ensure CRYPTO_DEV_CCP_DD state at minimum
matches KVM_AMD (bnc#1012628).
- virtio_net: split XDP_TX kick and XDP_REDIRECT map flushing
(bnc#1012628).
- sfc: correctly initialise filter rwsem for farch (bnc#1012628).
- s390/qeth: fix race when setting MAC address (bnc#1012628).
- s390/qeth: avoid using is_multicast_ether_addr_64bits on
(u8 *)[6] (bnc#1012628).
- Revert "s390/qeth: use Read device to query hypervisor for MAC"
(bnc#1012628).
- IB/mlx5: Avoid dealing with vport representors if not being
e-switch manager (bnc#1012628).
- i40e: split XDP_TX tail and XDP_REDIRECT map flushing
(bnc#1012628).
- enic: do not overwrite error code (bnc#1012628).
- xen-netfront: Update features after registering netdev
(bnc#1012628).
- xen-netfront: Fix mismatched rtnl_unlock (bnc#1012628).
- nfp: reject binding to shared blocks (bnc#1012628).
- net: use dev_change_tx_queue_len() for SIOCSIFTXQLEN
(bnc#1012628).
- net: macb: initialize bp->queues[0].bp for at91rm9200
(bnc#1012628).
- nfp: flower: fix mpls ether type detection (bnc#1012628).
- hinic: reset irq affinity before freeing irq (bnc#1012628).
- VSOCK: fix loopback on big-endian systems (bnc#1012628).
- vhost_net: validate sock before trying to put its fd
(bnc#1012628).
- tcp: prevent bogus FRTO undos with non-SACK flows (bnc#1012628).
- tcp: fix Fast Open key endianness (bnc#1012628).
- strparser: Remove early eaten to fix full tcp receive buffer
stall (bnc#1012628).
- stmmac: fix DMA channel hang in half-duplex mode (bnc#1012628).
- s390/qeth: don't clobber buffer on async TX completion
(bnc#1012628).
- r8152: napi hangup fix after disconnect (bnc#1012628).
- qmi_wwan: add support for the Dell Wireless 5821e module
(bnc#1012628).
- qed: Limit msix vectors in kdump kernel to the minimum required
count (bnc#1012628).
- qed: Fix use of incorrect size in memcpy call (bnc#1012628).
- qed: Fix setting of incorrect eswitch mode (bnc#1012628).
- qede: Adverstise software timestamp caps when PHC is not
available (bnc#1012628).
- net/tcp: Fix socket lookups with SO_BINDTODEVICE (bnc#1012628).
- net: sungem: fix rx checksum support (bnc#1012628).
- net_sched: blackhole: tell upper qdisc about dropped packets
(bnc#1012628).
- net/sched: act_ife: preserve the action control in case of error
(bnc#1012628).
- net/sched: act_ife: fix recursive lock and idr leak
(bnc#1012628).
- net/packet: fix use-after-free (bnc#1012628).
- net: mvneta: fix the Rx desc DMA address in the Rx path
(bnc#1012628).
- net/mlx5: Fix wrong size allocation for QoS ETC TC regitster
(bnc#1012628).
- net/mlx5: Fix required capability for manipulating MPFS
(bnc#1012628).
- net/mlx5: Fix incorrect raw command length parsing
(bnc#1012628).
- net/mlx5: Fix command interface race in polling mode
(bnc#1012628).
- net/mlx5: E-Switch, Avoid setup attempt if not being e-switch
manager (bnc#1012628).
- net/mlx5e: Don't attempt to dereference the ppriv struct if
not being eswitch manager (bnc#1012628).
- net/mlx5e: Avoid dealing with vport representors if not being
e-switch manager (bnc#1012628).
- net: macb: Fix ptp time adjustment for large negative delta
(bnc#1012628).
- net: fix use-after-free in GRO with ESP (bnc#1012628).
- net: dccp: switch rx_tstamp_last_feedback to monotonic clock
(bnc#1012628).
- net: dccp: avoid crash in ccid3_hc_rx_send_feedback()
(bnc#1012628).
- ixgbe: split XDP_TX tail and XDP_REDIRECT map flushing
(bnc#1012628).
- ipvlan: fix IFLA_MTU ignored on NEWLINK (bnc#1012628).
- ipv6: sr: fix passing wrong flags to crypto_alloc_shash()
(bnc#1012628).
- hv_netvsc: split sub-channel setup into async and sync
(bnc#1012628).
- atm: zatm: Fix potential Spectre v1 (bnc#1012628).
- atm: Preserve value of skb->truesize when accounting to vcc
(bnc#1012628).
- alx: take rtnl before calling __alx_open from resume
(bnc#1012628).
- pinctrl: mt7622: fix a kernel panic when gpio-hog is being
applied (bnc#1012628).
- pinctrl: mt7622: stop using the deprecated
pinctrl_add_gpio_range (bnc#1012628).
- pinctrl: mt7622: fix error path on failing at groups building
(bnc#1012628).
- pinctrl: sh-pfc: r8a77970: remove SH_PFC_PIN_CFG_DRIVE_STRENGTH
flag (bnc#1012628).
- x86/paravirt: Make native_save_fl() extern inline (bnc#1012628).
- x86/asm: Add _ASM_ARG* constants for argument registers to
<asm/asm.h> (bnc#1012628).
- compiler-gcc.h: Add __attribute__((gnu_inline)) to all inline
declarations (bnc#1012628).
- commit 059e5b8
-------------------------------------------------------------------
Sun Jul 22 11:15:44 CEST 2018 - tiwai@suse.de
- rpm/kernel-source.spec.in: require bc for kernel-source
This is needed for building include/generated/timeconst.h from
kernel/time/timeconst.bc.
- commit d725e3b
-------------------------------------------------------------------
Wed Jul 18 08:39:13 CEST 2018 - jslaby@suse.cz
- Linux 4.17.8 (bnc#1012628).
- commit 38e4cdc
-------------------------------------------------------------------
Tue Jul 17 15:14:48 CEST 2018 - tiwai@suse.de
- Update config files: enable CONFIG_I2C_PXA for arm64 (bsc#1101465)
- commit d02f285
-------------------------------------------------------------------
Tue Jul 17 13:43:24 CEST 2018 - jslaby@suse.cz
- mm: don't do zero_resv_unavail if memmap is not allocated
(stable fix).
- commit 9c2de41
-------------------------------------------------------------------
Tue Jul 17 12:59:03 CEST 2018 - jslaby@suse.cz
- Linux 4.17.7 (bnc#1012628).
- bpf: reject passing modified ctx to helper functions
(bnc#1012628).
- MIPS: Call dump_stack() from show_regs() (bnc#1012628).
- MIPS: Use async IPIs for arch_trigger_cpumask_backtrace()
(bnc#1012628).
- MIPS: Fix ioremap() RAM check (bnc#1012628).
- drm/etnaviv: Check for platform_device_register_simple()
failure (bnc#1012628).
- drm/etnaviv: Fix driver unregistering (bnc#1012628).
- drm/etnaviv: bring back progress check in job timeout handler
(bnc#1012628).
- mmc: sdhci-esdhc-imx: allow 1.8V modes without 100/200MHz
pinctrl states (bnc#1012628).
- mmc: dw_mmc: fix card threshold control configuration
(bnc#1012628).
- mmc: renesas_sdhi_internal_dmac: Cannot clear the RX_IN_USE
in abort (bnc#1012628).
- ibmasm: don't write out of bounds in read handler (bnc#1012628).
- staging: rtl8723bs: Prevent an underflow in
rtw_check_beacon_data() (bnc#1012628).
- staging: r8822be: Fix RTL8822be can't find any wireless AP
(bnc#1012628).
- ata: Fix ZBC_OUT command block check (bnc#1012628).
- ata: Fix ZBC_OUT all bit handling (bnc#1012628).
- mei: discard messages from not connected client during power
down (bnc#1012628).
- mtd: spi-nor: cadence-quadspi: Fix direct mode write timeouts
(bnc#1012628).
- tracing/kprobe: Release kprobe print_fmt properly (bnc#1012628).
- vmw_balloon: fix inflation with batching (bnc#1012628).
- ahci: Add Intel Ice Lake LP PCI ID (bnc#1012628).
- ahci: Disable LPM on Lenovo 50 series laptops with a too old
BIOS (bnc#1012628).
- thunderbolt: Notify userspace when boot_acl is changed
(bnc#1012628).
- USB: serial: ch341: fix type promotion bug in ch341_control_in()
(bnc#1012628).
- USB: serial: cp210x: add another USB ID for Qivicon ZigBee stick
(bnc#1012628).
- USB: serial: keyspan_pda: fix modem-status error handling
(bnc#1012628).
- USB: yurex: fix out-of-bounds uaccess in read handler
(bnc#1012628).
- USB: serial: mos7840: fix status-register error handling
(bnc#1012628).
- usb: quirks: add delay quirks for Corsair Strafe (bnc#1012628).
- xhci: xhci-mem: off by one in xhci_stream_id_to_ring()
(bnc#1012628).
- Fix up non-directory creation in SGID directories (bnc#1012628).
- mm: zero unavailable pages before memmap init (bnc#1012628).
- ALSA: hda/realtek - two more lenovo models need fixup of
MIC_LOCATION (bnc#1012628).
- ALSA: hda - Handle pm failure during hotplug (bnc#1012628).
- mm: do not drop unused pages when userfaultd is running
(bnc#1012628).
- fs/proc/task_mmu.c: fix Locked field in /proc/pid/smaps*
(bnc#1012628).
- x86/purgatory: add missing FORCE to Makefile target
(bnc#1012628).
- fs, elf: make sure to page align bss in load_elf_library
(bnc#1012628).
- mm: do not bug_on on incorrect length in __mm_populate()
(bnc#1012628).
- tracing: Reorder display of TGID to be after PID (bnc#1012628).
- kbuild: delete INSTALL_FW_PATH from kbuild documentation
(bnc#1012628).
- acpi, nfit: Fix scrub idle detection (bnc#1012628).
- arm64: neon: Fix function may_use_simd() return error status
(bnc#1012628).
- tools build: fix # escaping in .cmd files for future Make
(bnc#1012628).
- IB/hfi1: Fix incorrect mixing of ERR_PTR and NULL return values
(bnc#1012628).
- i2c: tegra: Fix NACK error handling (bnc#1012628).
- i2c: recovery: if possible send STOP with recovery pulses
(bnc#1012628).
- iw_cxgb4: correctly enforce the max reg_mr depth (bnc#1012628).
- xen: remove global bit from __default_kernel_pte_mask for pv
guests (bnc#1012628).
- xen: setup pv irq ops vector earlier (bnc#1012628).
- bsg: fix bogus EINVAL on non-data commands (bnc#1012628).
- crypto: x86/salsa20 - remove x86 salsa20 implementations
(bnc#1012628).
- uprobes/x86: Remove incorrect WARN_ON() in uprobe_init_insn()
(bnc#1012628).
- netfilter: nf_queue: augment nfqa_cfg_policy (bnc#1012628).
- crypto: don't optimize keccakf() (bnc#1012628).
- netfilter: x_tables: initialise match/target check parameter
struct (bnc#1012628).
- loop: add recursion validation to LOOP_CHANGE_FD (bnc#1012628).
- xfs: fix inobt magic number check (bnc#1012628).
- PM / hibernate: Fix oops at snapshot_write() (bnc#1012628).
- RDMA/ucm: Mark UCM interface as BROKEN (bnc#1012628).
- loop: remember whether sysfs_create_group() was done
(bnc#1012628).
- kvm: vmx: Nested VM-entry prereqs for event inj (bnc#1012628).
- f2fs: give message and set need_fsck given broken node id
(bnc#1012628).
- f2fs: avoid bug_on on corrupted inode (bnc#1012628).
- f2fs: sanity check on sit entry (bnc#1012628).
- f2fs: sanity check for total valid node blocks (bnc#1012628).
- ARM: dts: armada-38x: use the new thermal binding (bnc#1012628).
- commit 7a74fb0
-------------------------------------------------------------------
Mon Jul 16 19:41:11 CEST 2018 - rgoldwyn@suse.com
- apparmor: patch to provide compatibility with v2.x net rules (bsc#1100944).
- Delete patches.suse/0001-AppArmor-basic-networking-rules.patch.
- Delete
patches.suse/0002-apparmor-update-apparmor-basic-networking-rules-for-.patch.
- Delete
patches.suse/0003-apparmor-Fix-quieting-of-audit-messages-for-network-.patch.
- Delete patches.suse/apparmor-check-all-net-profiles.patch.
- commit 1947b35
-------------------------------------------------------------------
Mon Jul 16 13:05:50 CEST 2018 - msuchanek@suse.de
- Pass x86 as architecture on x86_64 and i386 (bsc#1093118).
- commit 5f24fb0
-------------------------------------------------------------------
Mon Jul 16 12:57:19 CEST 2018 - msuchanek@suse.de
- Revert "kconfig: only write '# CONFIG_FOO is not set' for
visible symbols" (bsc#1093118).
- commit 2b9e26a
-------------------------------------------------------------------
Mon Jul 16 09:06:22 CEST 2018 - mkubecek@suse.cz
- Update to 4.18-rc5
- commit b3f752f
-------------------------------------------------------------------
Wed Jul 11 22:01:45 CEST 2018 - jslaby@suse.cz
- Linux 4.17.6 (bnc#1012628).
- userfaultfd: hugetlbfs: fix userfaultfd_huge_must_wait()
pte access (bnc#1012628).
- mm: hugetlb: yield when prepping struct pages (bnc#1012628).
- mm: teach dump_page() to correctly output poisoned struct pages
(bnc#1012628).
- PCI / ACPI / PM: Resume bridges w/o drivers on suspend-to-RAM
(bnc#1012628).
- ACPICA: Drop leading newlines from error messages (bnc#1012628).
- ACPI / battery: Safe unregistering of hooks (bnc#1012628).
- drm/amdgpu: Make struct amdgpu_atif private to amdgpu_acpi.c
(bnc#1012628).
- tracing: Avoid string overflow (bnc#1012628).
- tracing: Fix missing return symbol in function_graph output
(bnc#1012628).
- scsi: sg: mitigate read/write abuse (bnc#1012628).
- scsi: aacraid: Fix PD performance regression over incorrect
qd being set (bnc#1012628).
- scsi: target: Fix truncated PR-in ReadKeys response
(bnc#1012628).
- drbd: fix access after free (bnc#1012628).
- vfio: Use get_user_pages_longterm correctly (bnc#1012628).
- ARM: dts: imx51-zii-rdu1: fix touchscreen pinctrl (bnc#1012628).
- ARM: dts: omap3: Fix am3517 mdio and emac clock references
(bnc#1012628).
- ARM: dts: dra7: Disable metastability workaround for USB2
(bnc#1012628).
- cifs: Fix use after free of a mid_q_entry (bnc#1012628).
- cifs: Fix memory leak in smb2_set_ea() (bnc#1012628).
- cifs: Fix slab-out-of-bounds in send_set_info() on SMB2 ACE
setting (bnc#1012628).
- cifs: Fix infinite loop when using hard mount option
(bnc#1012628).
- drm: Use kvzalloc for allocating blob property memory
(bnc#1012628).
- drm/udl: fix display corruption of the last line (bnc#1012628).
- drm/amdgpu: Add amdgpu_atpx_get_dhandle() (bnc#1012628).
- drm/amdgpu: Dynamically probe for ATIF handle (v2)
(bnc#1012628).
- jbd2: don't mark block as modified if the handle is out of
credits (bnc#1012628).
- ext4: add corruption check in ext4_xattr_set_entry()
(bnc#1012628).
- ext4: always verify the magic number in xattr blocks
(bnc#1012628).
- ext4: make sure bitmaps and the inode table don't overlap with
bg descriptors (bnc#1012628).
- ext4: always check block group bounds in
ext4_init_block_bitmap() (bnc#1012628).
- ext4: only look at the bg_flags field if it is valid
(bnc#1012628).
- ext4: verify the depth of extent tree in ext4_find_extent()
(bnc#1012628).
- ext4: include the illegal physical block in the bad map
ext4_error msg (bnc#1012628).
- ext4: clear i_data in ext4_inode_info when removing inline data
(bnc#1012628).
- ext4: never move the system.data xattr out of the inode body
(bnc#1012628).
- ext4: avoid running out of journal credits when appending to
an inline file (bnc#1012628).
- ext4: add more inode number paranoia checks (bnc#1012628).
- ext4: add more mount time checks of the superblock
(bnc#1012628).
- ext4: check superblock mapped prior to committing (bnc#1012628).
- HID: i2c-hid: Fix "incomplete report" noise (bnc#1012628).
- HID: hiddev: fix potential Spectre v1 (bnc#1012628).
- HID: debug: check length before copy_to_user() (bnc#1012628).
- HID: core: allow concurrent registration of drivers
(bnc#1012628).
- i2c: core: smbus: fix a potential missing-check bug
(bnc#1012628).
- i2c: smbus: kill memory leak on emulated and failed DMA SMBus
xfers (bnc#1012628).
- fs: allow per-device dax status checking for filesystems
(bnc#1012628).
- dax: change bdev_dax_supported() to support boolean returns
(bnc#1012628).
- dax: check for QUEUE_FLAG_DAX in bdev_dax_supported()
(bnc#1012628).
- dm: prevent DAX mounts if not supported (bnc#1012628).
- mtd: cfi_cmdset_0002: Change definition naming to retry write
operation (bnc#1012628).
- mtd: cfi_cmdset_0002: Change erase functions to retry for error
(bnc#1012628).
- mtd: cfi_cmdset_0002: Change erase functions to check chip
good only (bnc#1012628).
- netfilter: nf_log: don't hold nf_log_mutex during user access
(bnc#1012628).
- staging: comedi: quatech_daqp_cs: fix no-op loop
daqp_ao_insn_write() (bnc#1012628).
- Revert mm/vmstat.c: fix vmstat_update() preemption BUG
(bnc#1012628).
- commit 8a8b6ec
-------------------------------------------------------------------
Mon Jul 9 13:18:02 CEST 2018 - tiwai@suse.de
- alarmtimer: Prevent overflow for relative nanosleep
(CVE-2018-13053 bsc#1099924).
- commit e98ba10
-------------------------------------------------------------------
Mon Jul 9 09:37:17 CEST 2018 - mkubecek@suse.cz
- Update to 4.18-rc4
- Eliminated 1 patch
- Config changes:
- s390x:
- RSEQ=y
- DEBUG_REQ=n
- commit 36e98dd
-------------------------------------------------------------------
Mon Jul 9 09:28:48 CEST 2018 - jslaby@suse.cz
- Linux 4.17.5 (bnc#1012628).
- ARM: dts: imx6q: Use correct SDMA script for SPI5 core
(bnc#1012628).
- x86/mm: Don't free P4D table when it is folded at runtime
(bnc#1012628).
- ARM64: dts: meson-gxl-s905x-p212: Add phy-supply for usb0
(bnc#1012628).
- netfilter: nf_tables: use WARN_ON_ONCE instead of BUG_ON in
nft_do_chain() (bnc#1012628).
- netfilter: xt_connmark: fix list corruption on rmmod
(bnc#1012628).
- netfilter: ip6t_rpfilter: provide input interface for route
lookup (bnc#1012628).
- drm/i915: Enable provoking vertex fix on Gen9 systems
(bnc#1012628).
- drm/i915: Turn off g4x DP port in .post_disable() (bnc#1012628).
- drm/i915: Disallow interlaced modes on g4x DP outputs
(bnc#1012628).
- drm/i915: Fix PIPESTAT irq ack on i965/g4x (bnc#1012628).
- drm/i915: Allow DBLSCAN user modes with eDP/LVDS/DSI
(bnc#1012628).
- drm/amd/display: release spinlock before committing updates
to stream (bnc#1012628).
- drm/amdgpu: Count disabled CRTCs in commit tail earlier
(bnc#1012628).
- drm/amdgpu: GPU vs CPU page size fixes in
amdgpu_vm_bo_split_mapping (bnc#1012628).
- drm/amdgpu: Update pin_size values before unpinning BO
(bnc#1012628).
- drm/amdgpu: Make amdgpu_vram_mgr_bo_invisible_size always
accurate (bnc#1012628).
- drm/amdgpu: Refactor amdgpu_vram_mgr_bo_invisible_size helper
(bnc#1012628).
- drm/amdgpu: Use kvmalloc_array for allocating VRAM manager
nodes array (bnc#1012628).
- drm/amdgpu: Don't default to DC support for Kaveri and older
(bnc#1012628).
- Revert "drm/sun4i: Handle DRM_BUS_FLAG_PIXDATA_*EDGE"
(bnc#1012628).
- drm/atmel-hlcdc: check stride values in the first plane
(bnc#1012628).
- drm/qxl: Call qxl_bo_unref outside atomic context (bnc#1012628).
- drm/i915/dp: Send DPCD ON for MST before phy_up (bnc#1012628).
- drm/amd/display: Clear connector's edid pointer (bnc#1012628).
- drm/sti: Depend on OF rather than selecting it (bnc#1012628).
- drm/amdgpu: fix clear_all and replace handling in the VM (v2)
(bnc#1012628).
- drm/amdgpu: Grab/put runtime PM references in
atomic_commit_tail() (bnc#1012628).
- drm/amdgpu: fix the missed vcn fw version report (bnc#1012628).
- drm/amdgpu: Add APU support in vi_set_vce_clocks (bnc#1012628).
- drm/amdgpu: Add APU support in vi_set_uvd_clocks (bnc#1012628).
- vt: prevent leaking uninitialized data to userspace via
/dev/vcs* (bnc#1012628).
- serdev: fix memleak on module unload (bnc#1012628).
- serial: 8250_pci: Remove stalled entries in blacklist
(bnc#1012628).
- iio: mma8452: Fix ignoring MMA8452_INT_DRDY (bnc#1012628).
- staging: android: ion: Return an ERR_PTR in ion_map_kernel
(bnc#1012628).
- n_tty: Access echo_* variables carefully (bnc#1012628).
- n_tty: Fix stall at n_tty_receive_char_special() (bnc#1012628).
- xhci: Fix kernel oops in trace_xhci_free_virt_device
(bnc#1012628).
- usb: typec: ucsi: Fix for incorrect status data issue
(bnc#1012628).
- usb: typec: ucsi: acpi: Workaround for cache mode issue
(bnc#1012628).
- acpi: Add helper for deactivating memory region (bnc#1012628).
- usb: typec: tcpm: fix logbuffer index is wrong if _tcpm_log
is re-entered (bnc#1012628).
- usb: dwc2: fix the incorrect bitmaps for the ports of multi_tt
hub (bnc#1012628).
- USB: serial: cp210x: add Silicon Labs IDs for Windows Update
(bnc#1012628).
- USB: serial: cp210x: add CESINEL device ids (bnc#1012628).
- usb: cdc_acm: Add quirk for Uniden UBC125 scanner (bnc#1012628).
- commit 3ff6a16
-------------------------------------------------------------------
Mon Jul 9 09:27:16 CEST 2018 - tiwai@suse.de
- ACPICA: Clear status of all events when entering S5
(bsc#1099930).
- commit 0c3e49d
-------------------------------------------------------------------
Wed Jul 4 13:28:23 CEST 2018 - dsterba@suse.com
- Update patches.suse/btrfs-8447-serialize-subvolume-mounts-with-potentially-mi.patch (bsc#951844 bsc#1024015 bsc#1099745).
Refresh and update for Tumbleweed. No better patch version yet.
- commit dd5896f
-------------------------------------------------------------------
Tue Jul 3 13:31:47 CEST 2018 - jslaby@suse.cz
- Linux 4.17.4 (bnc#1012628).
- x86/spectre_v1: Disable compiler optimizations over
array_index_mask_nospec() (bnc#1012628).
- x86/xen: Add call of speculative_store_bypass_ht_init() to PV
paths (bnc#1012628).
- x86/platform/UV: Add adjustable set memory block size function
(bnc#1012628).
- x86/platform/UV: Use new set memory block size function
(bnc#1012628).
- x86/platform/UV: Add kernel parameter to set memory block size
(bnc#1012628).
- x86/mce: Improve error message when kernel cannot recover
(bnc#1012628).
- x86/mce: Check for alternate indication of machine check
recovery on Skylake (bnc#1012628).
- x86/mce: Fix incorrect "Machine check from unknown source"
message (bnc#1012628).
- x86/mce: Do not overwrite MCi_STATUS in mce_no_way_out()
(bnc#1012628).
- x86: Call fixup_exception() before notify_die() in math_error()
(bnc#1012628).
- m68k/mm: Adjust VM area to be unmapped by gap size for
__iounmap() (bnc#1012628).
- m68k/mac: Fix SWIM memory resource end address (bnc#1012628).
- platform/chrome: cros_ec_lpc: do not try DMI match when ACPI
device found (bnc#1012628).
- hwmon: (k10temp) Add support for Stoney Ridge and Bristol
Ridge CPUs (bnc#1012628).
- serial: sh-sci: Use spin_{try}lock_irqsave instead of open
coding version (bnc#1012628).
- signal/xtensa: Consistenly use SIGBUS in do_unaligned_user
(bnc#1012628).
- PM / Domains: Fix error path during attach in genpd
(bnc#1012628).
- PCI / PM: Do not clear state_saved for devices that remain
suspended (bnc#1012628).
- ACPI / LPSS: Avoid PM quirks on suspend and resume from S3
(bnc#1012628).
- PM / core: Fix supplier device runtime PM usage counter
imbalance (bnc#1012628).
- PM / OPP: Update voltage in case freq == old_freq (bnc#1012628).
- mmc: renesas_sdhi: really fix WP logic regressions
(bnc#1012628).
- usb: do not reset if a low-speed or full-speed device timed out
(bnc#1012628).
- 1wire: family module autoload fails because of upper/lower
case mismatch (bnc#1012628).
- ASoC: dapm: delete dapm_kcontrol_data paths list before freeing
it (bnc#1012628).
- ASoC: cs35l35: Add use_single_rw to regmap config (bnc#1012628).
- ASoC: mediatek: preallocate pages use platform device
(bnc#1012628).
- ASoC: cirrus: i2s: Fix LRCLK configuration (bnc#1012628).
- ASoC: cirrus: i2s: Fix {TX|RX}LinCtrlData setup (bnc#1012628).
- thermal: bcm2835: Stop using printk format %pCr (bnc#1012628).
- clk: renesas: cpg-mssr: Stop using printk format %pCr
(bnc#1012628).
- lib/vsprintf: Remove atomic-unsafe support for %pCr
(bnc#1012628).
- ftrace/selftest: Have the reset_trigger code be a bit more
careful (bnc#1012628).
- mips: ftrace: fix static function graph tracing (bnc#1012628).
- branch-check: fix long->int truncation when profiling branches
(bnc#1012628).
- ipmi:bt: Set the timeout before doing a capabilities check
(bnc#1012628).
- Bluetooth: hci_qca: Avoid missing rampatch failure with
userspace fw loader (bnc#1012628).
- printk: fix possible reuse of va_list variable (bnc#1012628).
- fuse: fix congested state leak on aborted connections
(bnc#1012628).
- fuse: atomic_o_trunc should truncate pagecache (bnc#1012628).
- fuse: don't keep dead fuse_conn at fuse_fill_super()
(bnc#1012628).
- fuse: fix control dir setup and teardown (bnc#1012628).
- powerpc/mm/hash: Add missing isync prior to kernel stack SLB
switch (bnc#1012628).
- powerpc/pkeys: Detach execute_only key on !PROT_EXEC
(bnc#1012628).
- powerpc/ptrace: Fix setting 512B aligned breakpoints with
PTRACE_SET_DEBUGREG (bnc#1012628).
- powerpc/perf: Fix memory allocation for core-imc based on
num_possible_cpus() (bnc#1012628).
- powerpc/ptrace: Fix enforcement of DAWR constraints
(bnc#1012628).
- powerpc/powernv/ioda2: Remove redundant free of TCE pages
(bnc#1012628).
- powerpc/powernv: copy/paste - Mask SO bit in CR (bnc#1012628).
- powerpc/powernv/cpuidle: Init all present cpus for deep states
(bnc#1012628).
- cpuidle: powernv: Fix promotion from snooze if next state
disabled (bnc#1012628).
- powerpc/fadump: Unregister fadump on kexec down path
(bnc#1012628).
- libnvdimm, pmem: Do not flush power-fail protected CPU caches
(bnc#1012628).
- soc: rockchip: power-domain: Fix wrong value when power up pd
with writemask (bnc#1012628).
- powerpc/64s/radix: Fix radix_kvm_prefetch_workaround paca
access of not possible CPU (bnc#1012628).
- powerpc/e500mc: Set assembler machine type to e500mc
(bnc#1012628).
- powerpc/64s: Fix DT CPU features Power9 DD2.1 logic
(bnc#1012628).
- cxl: Configure PSL to not use APC virtual machines
(bnc#1012628).
- cxl: Disable prefault_mode in Radix mode (bnc#1012628).
- ARM: 8764/1: kgdb: fix NUMREGBYTES so that gdb_regs[] is the
correct size (bnc#1012628).
- ARM: dts: sun8i: h3: fix ALL-H3-CC H3 ver VDD-CPUX voltage
(bnc#1012628).
- ARM: dts: sun8i: h3: fix ALL-H3-CC H3 ver VCC-1V2 regulator
voltage (bnc#1012628).
- ARM: dts: Fix SPI node for Arria10 (bnc#1012628).
- ARM: dts: socfpga: Fix NAND controller node compatible
(bnc#1012628).
- ARM: dts: socfpga: Fix NAND controller clock supply
(bnc#1012628).
- ARM: dts: socfpga: Fix NAND controller node compatible for
Arria10 (bnc#1012628).
- hwrng: core - Always drop the RNG in hwrng_unregister()
(bnc#1012628).
- softirq: Reorder trace_softirqs_on to prevent lockdep splat
(bnc#1012628).
- arm64: Fix syscall restarting around signal suppressed by tracer
(bnc#1012628).
- crypto: arm64/aes-blk - fix and move skcipher_walk_done out
of kernel_neon_begin, _end (bnc#1012628).
- arm64: kpti: Use early_param for kpti= command-line option
(bnc#1012628).
- arm64: mm: Ensure writes to swapper are ordered wrt subsequent
cache maintenance (bnc#1012628).
- arm64: dts: marvell: fix CP110 ICU node size (bnc#1012628).
- arm64: dts: stratix10: Fix SPI nodes for Stratix10
(bnc#1012628).
- ARM64: dts: meson: disable sd-uhs modes on the libretech-cc
(bnc#1012628).
- ARM64: dts: meson-gx: fix ATF reserved memory region
(bnc#1012628).
- of: overlay: validate offset from property fixups (bnc#1012628).
- of: unittest: for strings, account for trailing \0 in property
length field (bnc#1012628).
- of: platform: stop accessing invalid dev in
of_platform_device_destroy (bnc#1012628).
- tpm: fix use after free in tpm2_load_context() (bnc#1012628).
- tpm: fix race condition in tpm_common_write() (bnc#1012628).
- efi/libstub/tpm: Initialize efi_physical_addr_t vars to zero
for mixed mode (bnc#1012628).
- IB/qib: Fix DMA api warning with debug kernel (bnc#1012628).
- IB/{hfi1, qib}: Add handling of kernel restart (bnc#1012628).
- IB/mlx4: Mark user MR as writable if actual virtual memory is
writable (bnc#1012628).
- IB/core: Make testing MR flags for writability a static inline
function (bnc#1012628).
- IB/mlx5: Fetch soft WQE's on fatal error state (bnc#1012628).
- IB/isert: Fix for lib/dma_debug check_sync warning
(bnc#1012628).
- IB/isert: fix T10-pi check mask setting (bnc#1012628).
- IB/hfi1: Fix fault injection init/exit issues (bnc#1012628).
- IB/hfi1: Reorder incorrect send context disable (bnc#1012628).
- IB/hfi1: Optimize kthread pointer locking when queuing CQ
entries (bnc#1012628).
- IB/hfi1: Fix user context tail allocation for DMA_RTAIL
(bnc#1012628).
- IB/uverbs: Fix ordering of ucontext check in ib_uverbs_write
(bnc#1012628).
- RDMA/mlx4: Discard unknown SQP work requests (bnc#1012628).
- xprtrdma: Return -ENOBUFS when no pages are available
(bnc#1012628).
- RDMA/core: Save kernel caller name when creating CQ using
ib_create_cq() (bnc#1012628).
- mtd: rawnand: Do not check FAIL bit when executing a
SET_FEATURES op (bnc#1012628).
- mtd: cfi_cmdset_0002: Change write buffer to check correct value
(bnc#1012628).
- mtd: rawnand: denali_dt: set clk_x_rate to 200 MHz
unconditionally (bnc#1012628).
- mtd: rawnand: fix return value check for bad block status
(bnc#1012628).
- mtd: rawnand: mxc: set spare area size register explicitly
(bnc#1012628).
- mtd: rawnand: micron: add ONFI_FEATURE_ON_DIE_ECC to supported
features (bnc#1012628).
- mtd: rawnand: All AC chips have a broken GET_FEATURES(TIMINGS)
(bnc#1012628).
- mtd: cfi_cmdset_0002: Use right chip in do_ppb_xxlock()
(bnc#1012628).
- mtd: cfi_cmdset_0002: fix SEGV unlocking multiple chips
(bnc#1012628).
- mtd: cfi_cmdset_0002: Fix unlocking requests crossing a chip
boudary (bnc#1012628).
- mtd: cfi_cmdset_0002: Avoid walking all chips when unlocking
(bnc#1012628).
- MIPS: BCM47XX: Enable 74K Core ExternalSync for PCIe erratum
(bnc#1012628).
- clk:aspeed: Fix reset bits for PCI/VGA and PECI (bnc#1012628).
- PCI: hv: Make sure the bus domain is really unique
(bnc#1012628).
- PCI: Add ACS quirk for Intel 7th & 8th Gen mobile (bnc#1012628).
- PCI: Add ACS quirk for Intel 300 series (bnc#1012628).
- PCI: pciehp: Clear Presence Detect and Data Link Layer Status
Changed on resume (bnc#1012628).
- PCI: Account for all bridges on bus when distributing bus
numbers (bnc#1012628).
- auxdisplay: fix broken menu (bnc#1012628).
- pinctrl: armada-37xx: Fix spurious irq management (bnc#1012628).
- pinctrl: samsung: Correct EINTG banks order (bnc#1012628).
- pinctrl: devicetree: Fix pctldev pointer overwrite
(bnc#1012628).
- cpufreq: intel_pstate: Fix scaling max/min limits with Turbo
3.0 (bnc#1012628).
- MIPS: pb44: Fix i2c-gpio GPIO descriptor table (bnc#1012628).
- MIPS: io: Add barrier after register read in inX()
(bnc#1012628).
- time: Make sure jiffies_to_msecs() preserves non-zero time
periods (bnc#1012628).
- irqchip/gic-v3-its: Don't bind LPI to unavailable NUMA node
(bnc#1012628).
- locking/rwsem: Fix up_read_non_owner() warning with DEBUG_RWSEMS
(bnc#1012628).
- X.509: unpack RSA signatureValue field from BIT STRING
(bnc#1012628).
- Btrfs: fix return value on rename exchange failure
(bnc#1012628).
- iio: adc: ad7791: remove sample freq sysfs attributes
(bnc#1012628).
- iio: sca3000: Fix an error handling path in 'sca3000_probe()'
(bnc#1012628).
- mm: fix __gup_device_huge vs unmap (bnc#1012628).
- scsi: scsi_debug: Fix memory leak on module unload
(bnc#1012628).
- scsi: hpsa: disable device during shutdown (bnc#1012628).
- scsi: qla2xxx: Delete session for nport id change (bnc#1012628).
- scsi: qla2xxx: Fix setting lower transfer speed if GPSC fails
(bnc#1012628).
- scsi: qla2xxx: Mask off Scope bits in retry delay (bnc#1012628).
- scsi: qla2xxx: Spinlock recursion in qla_target (bnc#1012628).
- scsi: zfcp: fix missing SCSI trace for result of
eh_host_reset_handler (bnc#1012628).
- scsi: zfcp: fix missing SCSI trace for retry of abort /
scsi_eh TMF (bnc#1012628).
- scsi: zfcp: fix misleading REC trigger trace where erp_action
setup failed (bnc#1012628).
- scsi: zfcp: fix missing REC trigger trace on terminate_rport_io
early return (bnc#1012628).
- scsi: zfcp: fix missing REC trigger trace on terminate_rport_io
for ERP_FAILED (bnc#1012628).
- scsi: zfcp: fix missing REC trigger trace for all objects in
ERP_FAILED (bnc#1012628).
- scsi: zfcp: fix missing REC trigger trace on enqueue without
ERP thread (bnc#1012628).
- linvdimm, pmem: Preserve read-only setting for pmem devices
(bnc#1012628).
- libnvdimm, pmem: Unconditionally deep flush on *sync
(bnc#1012628).
- clk: meson: meson8b: mark fclk_div2 gate clocks as
CLK_IS_CRITICAL (bnc#1012628).
- clk: at91: PLL recalc_rate() now using cached MUL and DIV values
(bnc#1012628).
- rtc: sun6i: Fix bit_idx value for clk_register_gate
(bnc#1012628).
- md: fix two problems with setting the "re-add" device state
(bnc#1012628).
- rpmsg: smd: do not use mananged resources for endpoints and
channels (bnc#1012628).
- ubi: fastmap: Cancel work upon detach (bnc#1012628).
- ubi: fastmap: Correctly handle interrupted erasures in EBA
(bnc#1012628).
- UBIFS: Fix potential integer overflow in allocation
(bnc#1012628).
- backlight: as3711_bl: Fix Device Tree node lookup (bnc#1012628).
- backlight: max8925_bl: Fix Device Tree node lookup
(bnc#1012628).
- backlight: tps65217_bl: Fix Device Tree node lookup
(bnc#1012628).
- Revert "iommu/amd_iommu: Use CONFIG_DMA_DIRECT_OPS=y and
dma_direct_{alloc,free}()" (bnc#1012628).
- f2fs: don't use GFP_ZERO for page caches (bnc#1012628).
- um: Fix initialization of vector queues (bnc#1012628).
- um: Fix raw interface options (bnc#1012628).
- mfd: twl-core: Fix clock initialization (bnc#1012628).
- mfd: intel-lpss: Program REMAP register in PIO mode
(bnc#1012628).
- mfd: intel-lpss: Fix Intel Cannon Lake LPSS I2C input clock
(bnc#1012628).
- remoteproc: Prevent incorrect rproc state on xfer mem ownership
failure (bnc#1012628).
- arm: dts: mt7623: fix invalid memory node being generated
(bnc#1012628).
- perf tools: Fix symbol and object code resolution for vdso32
and vdsox32 (bnc#1012628).
- perf intel-pt: Fix sync_switch INTEL_PT_SS_NOT_TRACING
(bnc#1012628).
- perf intel-pt: Fix decoding to accept CBR between FUP and
corresponding TIP (bnc#1012628).
- perf intel-pt: Fix MTC timing after overflow (bnc#1012628).
- perf intel-pt: Fix "Unexpected indirect branch" error
(bnc#1012628).
- perf intel-pt: Fix packet decoding of CYC packets (bnc#1012628).
- media: vsp1: Release buffers for each video node (bnc#1012628).
- media: cx231xx: Ignore an i2c mux adapter (bnc#1012628).
- media: v4l2-compat-ioctl32: prevent go past max size
(bnc#1012628).
- media: cx231xx: Add support for AverMedia DVD EZMaker 7
(bnc#1012628).
- media: rc: mce_kbd decoder: fix stuck keys (bnc#1012628).
- media: dvb_frontend: fix locking issues at
dvb_frontend_get_event() (bnc#1012628).
- nfsd: restrict rd_maxcount to svc_max_payload in
nfsd_encode_readdir (bnc#1012628).
- NFSv4: Fix possible 1-byte stack overflow in
nfs_idmap_read_and_verify_message (bnc#1012628).
- NFSv4: Revert commit 5f83d86cf531d ("NFSv4.x: Fix wraparound
issues..") (bnc#1012628).
- NFSv4: Fix a typo in nfs41_sequence_process (bnc#1012628).
- video: uvesafb: Fix integer overflow in allocation
(bnc#1012628).
- ACPI / LPSS: Add missing prv_offset setting for byt/cht PWM
devices (bnc#1012628).
- Input: silead - add MSSL0002 ACPI HID (bnc#1012628).
- Input: elan_i2c - add ELAN0618 (Lenovo v330 15IKB) ACPI ID
(bnc#1012628).
- pwm: lpss: platform: Save/restore the ctrl register over a
suspend/resume (bnc#1012628).
- rbd: flush rbd_dev->watch_dwork after watch is unregistered
(bnc#1012628).
- mm/ksm.c: ignore STABLE_FLAG of rmap_item->address in
rmap_walk_ksm() (bnc#1012628).
- mm: fix devmem_is_allowed() for sub-page System RAM
intersections (bnc#1012628).
- xen: Remove unnecessary BUG_ON from __unbind_from_irq()
(bnc#1012628).
- net: ethernet: fix suspend/resume in davinci_emac (bnc#1012628).
- udf: Detect incorrect directory size (bnc#1012628).
- Input: xpad - fix GPD Win 2 controller name (bnc#1012628).
- Input: elan_i2c_smbus - fix more potential stack buffer
overflows (bnc#1012628).
- Input: elantech - enable middle button of touchpads on ThinkPad
P52 (bnc#1012628).
- Input: elantech - fix V4 report decoding for module with middle
key (bnc#1012628).
- ALSA: timer: Fix UBSAN warning at SNDRV_TIMER_IOCTL_NEXT_DEVICE
ioctl (bnc#1012628).
- ALSA: hda - Force to link down at runtime suspend on ATI/AMD
HDMI (bnc#1012628).
- ALSA: hda/realtek - Fix pop noise on Lenovo P50 & co
(bnc#1012628).
- ALSA: hda/realtek - Add a quirk for FSC ESPRIMO U9210
(bnc#1012628).
- ALSA: hda/realtek - Fix the problem of two front mics on more
machines (bnc#1012628).
- Revert "i2c: algo-bit: init the bus to a known state"
(bnc#1012628).
- i2c: gpio: initialize SCL to HIGH again (bnc#1012628).
- slub: fix failure when we delete and create a slab cache
(bnc#1012628).
- kasan: depend on CONFIG_SLUB_DEBUG (bnc#1012628).
- dm: use bio_split() when splitting out the already processed
bio (bnc#1012628).
- pmem: only set QUEUE_FLAG_DAX for fsdax mode (bnc#1012628).
- block: Fix transfer when chunk sectors exceeds max
(bnc#1012628).
- block: Fix cloning of requests with a special payload
(bnc#1012628).
- x86/e820: put !E820_TYPE_RAM regions into memblock.reserved
(bnc#1012628).
- selinux: move user accesses in selinuxfs out of locked regions
(bnc#1012628).
- x86/entry/64/compat: Fix "x86/entry/64/compat: Preserve r8-r11
in int $0x80" (bnc#1012628).
- x86/efi: Fix efi_call_phys_epilog() with CONFIG_X86_5LEVEL=y
(bnc#1012628).
- dm zoned: avoid triggering reclaim from inside dmz_map()
(bnc#1012628).
- dm thin: handle running out of data space vs concurrent discard
(bnc#1012628).
- virt: vbox: Only copy_from_user the request-header once
(bnc#1012628).
- commit 882c99b
-------------------------------------------------------------------
Mon Jul 2 05:31:55 CEST 2018 - mkubecek@suse.cz
- Update to 4.18-rc3
- Eliminated 6 patches
- Config changes:
- Input:
- INPUT_SC27XX_VIBRA=m (aarch64 only)
- commit d44a642
-------------------------------------------------------------------
Fri Jun 29 10:09:30 CEST 2018 - jslaby@suse.cz
- Refresh
patches.suse/input-psmouse-fix-button-reporting-for-basic-protoco.patch.
Update upstream status.
- commit 3037396
-------------------------------------------------------------------
Wed Jun 27 07:29:10 CEST 2018 - mkubecek@suse.cz
- bpf: enforce correct alignment for instructions (bsc#1099078).
- commit 55e3263
-------------------------------------------------------------------
Tue Jun 26 10:17:11 CEST 2018 - tiwai@suse.de
- media: uvcvideo: Support realtek's UVC 1.5 device (bsc#1099109).
- commit 7c619f0
-------------------------------------------------------------------
Tue Jun 26 09:06:26 CEST 2018 - tiwai@suse.de
- tracing: Check for no filter when processing event filters
(CVE-2018-12714,bsc#1098933).
- commit 5b8d655
-------------------------------------------------------------------
Tue Jun 26 08:45:20 CEST 2018 - jslaby@suse.cz
- Linux 4.17.3 (bnc#1012628).
- net: aquantia: fix unsigned numvecs comparison with less than
zero (bnc#1012628).
- bonding: re-evaluate force_primary when the primary slave name
changes (bnc#1012628).
- cdc_ncm: avoid padding beyond end of skb (bnc#1012628).
- ipv6: allow PMTU exceptions to local routes (bnc#1012628).
- net: dsa: add error handling for pskb_trim_rcsum (bnc#1012628).
- net: phy: dp83822: use BMCR_ANENABLE instead of BMSR_ANEGCAPABLE
for DP83620 (bnc#1012628).
- net/sched: act_simple: fix parsing of TCA_DEF_DATA
(bnc#1012628).
- tcp: verify the checksum of the first data segment in a new
connection (bnc#1012628).
- tls: fix use-after-free in tls_push_record (bnc#1012628).
- tls: fix waitall behavior in tls_sw_recvmsg (bnc#1012628).
- udp: fix rx queue len reported by diag and proc interface
(bnc#1012628).
- net: in virtio_net_hdr only add VLAN_HLEN to csum_start if
payload holds vlan (bnc#1012628).
- hv_netvsc: Fix a network regression after ifdown/ifup
(bnc#1012628).
- ext4: fix hole length detection in ext4_ind_map_blocks()
(bnc#1012628).
- ext4: update mtime in ext4_punch_hole even if no blocks are
released (bnc#1012628).
- ext4: do not allow external inodes for inline data
(bnc#1012628).
- ext4: bubble errors from ext4_find_inline_data_nolock() up to
ext4_iget() (bnc#1012628).
- ext4: correctly handle a zero-length xattr with a non-zero
e_value_offs (bnc#1012628).
- ext4: fix fencepost error in check for inode count overflow
during resize (bnc#1012628).
- driver core: Don't ignore class_dir_create_and_add() failure
(bnc#1012628).
- Btrfs: allow empty subvol= again (bnc#1012628).
- Btrfs: fix clone vs chattr NODATASUM race (bnc#1012628).
- Btrfs: fix memory and mount leak in btrfs_ioctl_rm_dev_v2()
(bnc#1012628).
- btrfs: return error value if create_io_em failed in
cow_file_range (bnc#1012628).
- btrfs: scrub: Don't use inode pages for device replace
(bnc#1012628).
- ALSA: usb-audio: Disable the quirk for Nura headset
(bnc#1012628).
- ALSA: hda/realtek - Enable mic-mute hotkey for several Lenovo
AIOs (bnc#1012628).
- ALSA: hda/conexant - Add fixup for HP Z2 G4 workstation
(bnc#1012628).
- ALSA: hda - Handle kzalloc() failure in
snd_hda_attach_pcm_stream() (bnc#1012628).
- ALSA: hda: add dock and led support for HP EliteBook 830 G5
(bnc#1012628).
- ALSA: hda: add dock and led support for HP ProBook 640 G4
(bnc#1012628).
- x86/MCE: Fix stack out-of-bounds write in mce-inject.c:
Flags_read() (bnc#1012628).
- smb3: fix various xid leaks (bnc#1012628).
- smb3: on reconnect set PreviousSessionId field (bnc#1012628).
- CIFS: 511c54a2f69195b28afb9dd119f03787b1625bb4 adds a check
for session expiry (bnc#1012628).
- cifs: For SMB2 security informaion query, check for minimum
sized security descriptor instead of sizeof FileAllInformation
class (bnc#1012628).
- nbd: fix nbd device deletion (bnc#1012628).
- nbd: update size when connected (bnc#1012628).
- nbd: use bd_set_size when updating disk size (bnc#1012628).
- blk-mq: reinit q->tag_set_list entry only after grace period
(bnc#1012628).
- bdi: Move cgroup bdi_writeback to a dedicated low concurrency
workqueue (bnc#1012628).
- cpufreq: Fix new policy initialization during limits updates
via sysfs (bnc#1012628).
- cpufreq: ti-cpufreq: Fix an incorrect error return value
(bnc#1012628).
- cpufreq: governors: Fix long idle detection logic in load
calculation (bnc#1012628).
- libata: zpodd: small read overflow in eject_tray()
(bnc#1012628).
- libata: Drop SanDisk SD7UB3Q*G1001 NOLPM quirk (bnc#1012628).
- nvme/pci: Sync controller reset for AER slot_reset
(bnc#1012628).
- w1: mxc_w1: Enable clock before calling clk_get_rate() on it
(bnc#1012628).
- x86/vector: Fix the args of vector_alloc tracepoint
(bnc#1012628).
- x86/apic/vector: Prevent hlist corruption and leaks
(bnc#1012628).
- x86/apic: Provide apic_ack_irq() (bnc#1012628).
- x86/ioapic: Use apic_ack_irq() (bnc#1012628).
- x86/platform/uv: Use apic_ack_irq() (bnc#1012628).
- irq_remapping: Use apic_ack_irq() (bnc#1012628).
- genirq/generic_pending: Do not lose pending affinity update
(bnc#1012628).
- genirq/affinity: Defer affinity setting if irq chip is busy
(bnc#1012628).
- genirq/migration: Avoid out of line call if pending is not set
(bnc#1012628).
- x86/intel_rdt: Enable CMT and MBM on new Skylake stepping
(bnc#1012628).
- media: uvcvideo: Prevent setting unavailable flags
(bnc#1012628).
- media: rc: ensure input/lirc device can be opened after register
(bnc#1012628).
- iwlwifi: fw: harden page loading code (bnc#1012628).
- orangefs: set i_size on new symlink (bnc#1012628).
- orangefs: report attributes_mask and attributes for statx
(bnc#1012628).
- HID: intel_ish-hid: ipc: register more pm callbacks to support
hibernation (bnc#1012628).
- HID: wacom: Correct logical maximum Y for 2nd-gen Intuos Pro
large (bnc#1012628).
- fs/binfmt_misc.c: do not allow offset overflow (bnc#1012628).
- mm, page_alloc: do not break __GFP_THISNODE by zonelist reset
(bnc#1012628).
- commit e8dc1b5
-------------------------------------------------------------------
Tue Jun 26 08:25:44 CEST 2018 - tiwai@suse.de
- platform/x86: ideapad-laptop: Apply no_hw_rfkill to Y20-15IKBM,
too (bsc#1098626).
- commit 0d1073f
-------------------------------------------------------------------
Mon Jun 25 21:22:28 CEST 2018 - jslaby@suse.cz
- Input: psmouse - fix button reporting for basic protocols
(bnc#1098392).
- commit 7faa585
-------------------------------------------------------------------
Mon Jun 25 15:38:48 CEST 2018 - msuchanek@suse.de
- rpm/kernel-source.changes.old: Add pre-SLE15 history (bsc#1098995).
- commit 631659e
-------------------------------------------------------------------
Mon Jun 25 14:46:25 CEST 2018 - msuchanek@suse.de
- Refresh patches.suse/s390-fix-random-crashes-illegal-operation-0001-ilc-1.patch.
- commit ff0a7a4
-------------------------------------------------------------------
Mon Jun 25 11:24:43 CEST 2018 - bp@suse.de
- vhost: fix info leak due to uninitialized memory (bsc#1092472
CVE-2018-1118).
- commit 7372950
-------------------------------------------------------------------
Sun Jun 24 21:50:01 CEST 2018 - mkubecek@suse.cz
- Update to 4.18-rc2
- Eliminated 1 patch
- Config changes:
- aarch64: reenable DWMAC_SOCFPGA (=m)
- commit cc9e91e
-------------------------------------------------------------------
Sun Jun 24 02:55:48 CEST 2018 - afaerber@suse.de
- config: arm64: Update to 4.18-rc1
- commit b301d60
-------------------------------------------------------------------
Sat Jun 23 14:37:31 CEST 2018 - mkubecek@suse.cz
- proc: fix missing final NUL in get_mm_cmdline() rewrite
(https://www.mail-archive.com/linux-kernel@vger.kernel.org/msg1715032.html).
- commit f348790
-------------------------------------------------------------------
Sat Jun 23 14:36:49 CEST 2018 - mkubecek@suse.cz
- Documentation: e1000: Fix docs build error.
- Documentation: e100: Fix docs build error.
- Documentation: e1000: Use correct heading adornment.
- Documentation: e100: Use correct heading adornment.
- commit 95284f7
-------------------------------------------------------------------
Sat Jun 23 09:41:41 CEST 2018 - jslaby@suse.cz
- x86/stacktrace: Do not unwind after user regs (bnc#1058115).
- x86/stacktrace: Remove STACKTRACE_DUMP_ONCE (bnc#1058115).
- x86/stacktrace: Clarify the reliable success paths
(bnc#1058115).
- x86/stacktrace: Do not fail for ORC with regs on stack
(bnc#1058115).
- x86/unwind/orc: Detect the end of the stack (bnc#1058115).
- x86/stacktrace: Enable HAVE_RELIABLE_STACKTRACE for the ORC
unwinder (bnc#1058115).
- Delete
patches.suse/0001-x86-stacktrace-do-now-unwind-after-user-regs.patch.
- Delete
patches.suse/0002-x86-stacktrace-make-clear-the-success-paths.patch.
- Delete
patches.suse/0003-x86-stacktrace-remove-STACKTRACE_DUMP_ONCE-from-__sa.patch.
- Delete
patches.suse/0004-x86-stacktrace-do-not-fail-for-ORC-with-regs-on-stac.patch.
- Delete
patches.suse/0005-x86-stacktrace-orc-mark-it-as-reliable.patch.
Replace the ORC patches by the upstream patches. Finally...
- commit 33a2d86
-------------------------------------------------------------------
Thu Jun 21 17:15:09 CEST 2018 - msuchanek@suse.de
- s390: Correct register corruption in critical section cleanup
(boo#1095717).
- commit 4594a9e
-------------------------------------------------------------------
Wed Jun 20 11:08:17 CEST 2018 - tiwai@suse.de
- ACPICA: AML parser: attempt to continue loading table after
error (bsc#1098074).
- commit fc39d10
-------------------------------------------------------------------
Mon Jun 18 18:27:58 CEST 2018 - msuchanek@suse.de
- macros.kernel-source: define linux_arch for KMPs (boo#1098050).
CONFIG_64BIT is no longer defined so KMP spec files need to include
%{?linux_make_arch} in any make call to build modules or descent into
the kernel directory for any reason.
- commit 5dc40af
-------------------------------------------------------------------
Mon Jun 18 11:42:15 CEST 2018 - mkubecek@suse.cz
- config: update ppc64le configs
- commit fa9e020
-------------------------------------------------------------------
Mon Jun 18 08:47:10 CEST 2018 - mkubecek@suse.cz
- config: enable NETDEVSIM (as module)
- commit e218eff
-------------------------------------------------------------------
Mon Jun 18 08:45:46 CEST 2018 - mkubecek@suse.cz
- Update to 4.18-rc1.
- Eliminated 66 patches (62 stable, 4 other).
- ARM configs need updating.
- Config changes:
- General:
- RSEQ=y
- i386:
- BPF_JIT=y
- BPF_JIT_ALWAYS_ON=y
- powerpc:
- LD_DEAD_CODE_DATA_ELIMINATION=n (experimental)
- s390:
- FW_LOADER_USER_HELPER=y
- PCI:
- PCI_HOST_GENERIC=y
- Network:
- TLS_DEVICE=y
- XDP_SOCKETS=y
- NFT_CONNLIMIT=m
- NFT_SOCKET=m
- IP_VS_MH=m
- IP_VS_MH_TAB_INDEX=12 (default)
- NF_TPROXY_IPV4=m
- NF_TPROXY_IPV6=m
- BPFILTER=y
- BPFILTER_UMH=m
- FAILOVER=m
- MLX5_EN_TLS=y
- NET_VENDOR_MICROSEMI=y
- MSCC_OCELOT_SWITCH=m
- MSCC_OCELOT_SWITCH_OCELOT=m
- NFP_APP_ABM_NIC=y
- MDIO_MSCC_MIIM=m
- ASIX_PHY=m
- DP83TC811_PHY=m
- MICROCHIP_T1_PHY=m
- NET_FAILOVER=m
- Block:
- DM_WRITECACHE=m
- Input:
- MOUSE_PS2_ELANTECH_SMBUS=y
- TOUCHSCREEN_CHIPONE_ICN8505=m
- HID_STEAM=m
- Misc:
- SPI_MEM=y
- GPIOLIB_FASTPATH_LIMIT=512 (default)
- VIDEO_CADENCE=y
- LCD_OTM3225A=n
- CHROMEOS_TBMC=m
- FPGA_MGR_MACHXO2_SPI=m
- Graphics:
- DRM_I2C_NXP_TDA9950=m
- DRM_I915_DEBUG_GUC=n
- DRM_CDNS_DSI=n
- DRM_THINE_THC63LVD1024=n
- DRM_XEN=y
- DRM_XEN_FRONTEND=m
- Sound:
- SND_SOC_SSM2305=n
- SND_SOC_TSCS454=n
- SND_SOC_WM8782=n
- SND_SOC_MT6351=n
- SND_XEN_FRONTEND=m
- LED:
- LEDS_CR0014114=m
- LEDS_LM3601X=m
- USB:
- TYPEC_RT1711H=m
- IIO:
- AD5686_SPI=n
- AD5696_I2C=n
- TI_DAC5571=n
- TSL2772=n
- IIO_RESCALE=n
- Filesystems:
- PROC_VMCORE_DEVICE_DUMP=y
- EVM_ADD_XATTRS=y
- Testing:
- TEST_OVERFLOW=n
- Crypto:
- CRYPTO_AEGIS128=m
- CRYPTO_AEGIS128L=m
- CRYPTO_AEGIS256=m
- CRYPTO_AEGIS128_AESNI_SSE2=m
- CRYPTO_AEGIS128L_AESNI_SSE2=m
- CRYPTO_AEGIS256_AESNI_SSE2=m
- CRYPTO_MORUS640=m
- CRYPTO_MORUS640_SSE2=m
- CRYPTO_MORUS1280=m
- CRYPTO_MORUS1280_SSE2=m
- CRYPTO_MORUS1280_AVX2=m
- CRYPTO_ZSTD=m
- commit 549a5bb
-------------------------------------------------------------------
Mon Jun 18 07:27:34 CEST 2018 - mkubecek@suse.cz
- rpm: ignore CONFIG_GCC_VERSION when checking for oldconfig changes
Since 4.18-rc1, "make oldconfig" writes gcc version and capabilities into
generated .config. Thus whenever we build the package or run checks with
different gcc version than used to update config/*/*, check for "outdated
configs" fails.
As a quick band-aid, omit the lines with CONFIG_GCC_VERSION from both
configs before comparing them. This way, the check won't fail unless run
with newer gcc which would add new capabilities. More robust solution will
require a wider discussion.
- commit 546ef32
-------------------------------------------------------------------
Sat Jun 16 12:30:37 CEST 2018 - jslaby@suse.cz
- Revert "mm: relax deferred struct page requirements"
(bnc#1092466).
- Update config files.
- commit 802b05f
-------------------------------------------------------------------
Sat Jun 16 11:51:06 CEST 2018 - jslaby@suse.cz
- Linux 4.17.2 (bnc#1012628).
- crypto: omap-sham - fix memleak (bnc#1012628).
- crypto: vmx - Remove overly verbose printk from AES XTS init
(bnc#1012628).
- crypto: vmx - Remove overly verbose printk from AES init
routines (bnc#1012628).
- crypto: cavium - Limit result reading attempts (bnc#1012628).
- crypto: cavium - Fix fallout from CONFIG_VMAP_STACK
(bnc#1012628).
- crypto: caam - fix size of RSA prime factor q (bnc#1012628).
- crypto: caam/qi - fix IV DMA mapping and updating (bnc#1012628).
- crypto: caam - fix IV DMA mapping and updating (bnc#1012628).
- crypto: caam - fix DMA mapping dir for generated IV
(bnc#1012628).
- crypto: caam - strip input zeros from RSA input buffer
(bnc#1012628).
- Input: elan_i2c - add ELAN0612 (Lenovo v330 14IKB) ACPI ID
(bnc#1012628).
- Input: goodix - add new ACPI id for GPD Win 2 touch screen
(bnc#1012628).
- crypto: ccree - correct host regs offset (bnc#1012628).
- tty: pl011: Avoid spuriously stuck-off interrupts (bnc#1012628).
- arm64: defconfig: Enable CONFIG_PINCTRL_MT7622 by default
(bnc#1012628).
- doc: fix sysfs ABI documentation (bnc#1012628).
- vmw_balloon: fixing double free when batching mode is off
(bnc#1012628).
- serial: 8250: omap: Fix idling of clocks for unused uarts
(bnc#1012628).
- serial: samsung: fix maxburst parameter for DMA transactions
(bnc#1012628).
- tty/serial: atmel: use port->name as name in request_irq()
(bnc#1012628).
- serial: sh-sci: Stop using printk format %pCr (bnc#1012628).
- usb: gadget: udc: renesas_usb3: disable the controller's irqs
for reconnecting (bnc#1012628).
- usb: gadget: udc: renesas_usb3: should fail if devm_phy_get()
returns error (bnc#1012628).
- usb: gadget: udc: renesas_usb3: should call devm_phy_get()
before add udc (bnc#1012628).
- usb: gadget: udc: renesas_usb3: should call pm_runtime_enable()
before add udc (bnc#1012628).
- usb: gadget: udc: renesas_usb3: should remove debugfs
(bnc#1012628).
- usb: gadget: udc: renesas_usb3: fix double phy_put()
(bnc#1012628).
- usb: gadget: function: printer: avoid wrong list handling in
printer_write() (bnc#1012628).
- usb: typec: wcove: Remove dependency on HW FSM (bnc#1012628).
- usb: core: message: remove extra endianness conversion in
usb_set_isoch_delay (bnc#1012628).
- phy: qcom-qusb2: Fix crash if nvmem cell not specified
(bnc#1012628).
- Input: xpad - add GPD Win 2 Controller USB IDs (bnc#1012628).
- usb-storage: Add compatibility quirk flags for G-Technologies
G-Drive (bnc#1012628).
- usb-storage: Add support for FL_ALWAYS_SYNC flag in the UAS
driver (bnc#1012628).
- usbip: vhci_sysfs: fix potential Spectre v1 (bnc#1012628).
- NFC: pn533: don't send USB data off of the stack (bnc#1012628).
- staging: android: ion: Switch to pr_warn_once in
ion_buffer_destroy (bnc#1012628).
- kvm: x86: use correct privilege level for
sgdt/sidt/fxsave/fxrstor access (bnc#1012628).
- KVM: x86: pass kvm_vcpu to kvm_read_guest_virt and
kvm_write_guest_virt_system (bnc#1012628).
- kvm: nVMX: Enforce cpl=0 for VMX instructions (bnc#1012628).
- kvm: fix typo in flag name (bnc#1012628).
- KVM: x86: introduce linear_{read,write}_system (bnc#1012628).
- KVM: X86: Fix reserved bits check for MOV to CR3 (bnc#1012628).
- blkdev_report_zones_ioctl(): Use vmalloc() to allocate large
buffers (bnc#1012628).
- crypto: chelsio - request to HW should wrap (bnc#1012628).
- commit 202985c
-------------------------------------------------------------------
Thu Jun 14 09:11:23 CEST 2018 - mkubecek@suse.cz
- socket: close race condition between sock_close() and
sockfs_setattr() (CVE-2018-12232 bsc#1097593).
- commit 94bf968
-------------------------------------------------------------------
Thu Jun 14 08:23:34 CEST 2018 - jslaby@suse.cz
- config.conf: disable syzkaller
I doubt anybody else (other than me) uses the flavor, so save a lot of
build resources by this. Leaving syzkaller configs and stuff in place
so people still can build it if they want.
The build is currently broken, so this "fixes" it too:
ERROR: "__sanitizer_cov_trace_cmpd" [drivers/gpu/drm/amd/amdgpu/amdgpu.ko] undefined!
ERROR: "__sanitizer_cov_trace_cmpf" [drivers/gpu/drm/amd/amdgpu/amdgpu.ko] undefined!
- commit bdee95f
-------------------------------------------------------------------
Tue Jun 12 11:38:53 CEST 2018 - jslaby@suse.cz
- Linux 4.17.1 (bnc#1012628).
- netfilter: nf_flow_table: attach dst to skbs (bnc#1012628).
- bnx2x: use the right constant (bnc#1012628).
- ip6mr: only set ip6mr_table from setsockopt when ip6mr_new_table
succeeds (bnc#1012628).
- ipv6: omit traffic class when calculating flow hash (bnc#1012628
bsc#1095042).
- l2tp: fix refcount leakage on PPPoL2TP sockets (bnc#1012628).
- netdev-FAQ: clarify DaveM's position for stable backports
(bnc#1012628).
- net: metrics: add proper netlink validation (bnc#1012628).
- net/packet: refine check for priv area size (bnc#1012628).
- rtnetlink: validate attributes in do_setlink() (bnc#1012628).
- sctp: not allow transport timeout value less than HZ/5 for
hb_timer (bnc#1012628).
- team: use netdev_features_t instead of u32 (bnc#1012628).
- vrf: check the original netdevice for generating redirect
(bnc#1012628).
- net: dsa: b53: Fix for brcm tag issue in Cygnus SoC
(bnc#1012628).
- ipmr: fix error path when ipmr_new_table fails (bnc#1012628).
- PCI: hv: Do not wait forever on a device that has disappeared
(bnc#1012628).
- Delete
patches.suse/ipv6-omit-traffic-class-when-calculating-flow-hash.patch.
- commit 17c8abe
-------------------------------------------------------------------
Fri Jun 8 15:42:50 CEST 2018 - tiwai@suse.de
- mtd: spi-nor: intel-spi: Fix atomic sequence handling
(bsc#1073836).
- commit c31c53d
-------------------------------------------------------------------
Fri Jun 8 11:42:06 CEST 2018 - mkubecek@suse.cz
- ipv6: omit traffic class when calculating flow hash
(bsc#1095042).
- commit 1307c29
-------------------------------------------------------------------
Fri Jun 8 10:11:35 CEST 2018 - tiwai@suse.de
- Delete patches.suse/iwlwifi-expose-default-fallback-ucode-api.
The workaround is no longer needed as the upstream driver code catches
up the actual firmware version
- commit d6e069d
-------------------------------------------------------------------
Fri Jun 8 10:09:38 CEST 2018 - tiwai@suse.de
- Update patch tag of the upstreamed btusb fix
- commit f531f64
-------------------------------------------------------------------
Mon Jun 4 13:06:56 CEST 2018 - mkubecek@suse.cz
- config: enable preemption in i386/debug
- commit b181e22
-------------------------------------------------------------------
Mon Jun 4 06:35:34 CEST 2018 - mkubecek@suse.cz
- Update to 4.17-final
- commit fb45ad0
-------------------------------------------------------------------
Sat Jun 2 21:10:35 CEST 2018 - mkubecek@suse.cz
- packet: fix reserve calculation (bsc#1095592).
- commit f670a16
-------------------------------------------------------------------
Fri Jun 1 19:37:49 CEST 2018 - mcgrof@suse.com
- xfs: enhance dinode verifier (bsc#1090749,CVE-2018-10322).
- commit d565016
-------------------------------------------------------------------
Thu May 31 07:56:10 CEST 2018 - jslaby@suse.cz
- Linux 4.16.13 (bnc#1012628).
- drm/vmwgfx: Set dmabuf_size when vmw_dmabuf_init is successful
(bnc#1012628).
- kdb: make "mdr" command repeat (bnc#1012628).
- pinctrl: mcp23s08: spi: Fix regmap debugfs entries
(bnc#1012628).
- pinctrl: msm: Use dynamic GPIO numbering (bnc#1012628).
- regulator: of: Add a missing 'of_node_put()' in an error
handling path of 'of_regulator_match()' (bnc#1012628).
- ARM: dts: porter: Fix HDMI output routing (bnc#1012628).
- ARM: dts: imx7d: cl-som-imx7: fix pinctrl_enet (bnc#1012628).
- i40e: Add delay after EMP reset for firmware to recover
(bnc#1012628).
- soc: amlogic: meson-gx-pwrc-vpu: fix error on shutdown when
domain is powered off (bnc#1012628).
- regmap: Correct comparison in regmap_cached (bnc#1012628).
- ARM: dts: at91: tse850: use the correct compatible for the
eeprom (bnc#1012628).
- ARM: dts: at91: nattis: use the correct compatible for the
eeprom (bnc#1012628).
- selftests: Add FIB onlink tests (bnc#1012628).
- drm: rcar-du: lvds: Fix LVDS startup on R-Car Gen2
(bnc#1012628).
- drm: rcar-du: lvds: Fix LVDS startup on R-Car Gen3
(bnc#1012628).
- netlabel: If PF_INET6, check sk_buff ip header version
(bnc#1012628).
- drm/vblank: Data type fixes for 64-bit vblank sequences
(bnc#1012628).
- selftests/net: fixes psock_fanout eBPF test case (bnc#1012628).
- perf tests: Fix dwarf unwind for stripped binaries
(bnc#1012628).
- perf report: Fix memory corruption in --branch-history mode
--branch-history (bnc#1012628).
- perf tests: Use arch__compare_symbol_names to compare symbols
(bnc#1012628).
- perf report: Fix wrong jump arrow (bnc#1012628).
- perf test: Fix test case inet_pton to accept inlines
(bnc#1012628).
- x86/apic: Set up through-local-APIC mode on the boot CPU if
'noapic' specified (bnc#1012628).
- drm/rockchip: Respect page offset for PRIME mmap calls
(bnc#1012628).
- soc: renesas: r8a77970-sysc: fix power area parents
(bnc#1012628).
- MIPS: Octeon: Fix logging messages with spurious periods after
newlines (bnc#1012628).
- dpaa_eth: fix pause capability advertisement logic
(bnc#1012628).
- drm/amd/display: Set vsc pack revision when DPCD revision is >=
1.2 (bnc#1012628).
- dt-bindings: display: msm/dsi: Fix the PHY regulator supply
props (bnc#1012628).
- pinctrl: sh-pfc: r8a7796: Fix MOD_SEL register pin assignment
for SSI pins group (bnc#1012628).
- rcu: Call touch_nmi_watchdog() while printing stall warnings
(bnc#1012628).
- net: stmmac: call correct function in
stmmac_mac_config_rx_queues_routing() (bnc#1012628).
- audit: return on memory error to avoid null pointer dereference
(bnc#1012628).
- hwrng: bcm2835 - Handle deferred clock properly (bnc#1012628).
- PCMCIA / PM: Avoid noirq suspend aborts during suspend-to-idle
(bnc#1012628).
- ARM: dts: bcm283x: Fix pin function of JTAG pins (bnc#1012628).
- ARM: dts: bcm283x: Fix probing of bcm2835-i2s (bnc#1012628).
- power: supply: ltc2941-battery-gauge: Fix temperature units
(bnc#1012628).
- sh_eth: fix TSU init on SH7734/R8A7740 (bnc#1012628).
- ixgbe: prevent ptp_rx_hang from running when in FILTER_ALL mode
(bnc#1012628).
- udf: Provide saner default for invalid uid / gid (bnc#1012628).
- PCI: Add function 1 DMA alias quirk for Marvell 88SE9220
(bnc#1012628).
- dpaa_eth: fix SG mapping (bnc#1012628).
- cpufreq: Reorder cpufreq_online() error code path (bnc#1012628).
- net: stmmac: ensure that the MSS desc is the last desc to set
the own bit (bnc#1012628).
- net: stmmac: ensure that the device has released ownership
before reading data (bnc#1012628).
- ibmvnic: Allocate statistics buffers during probe (bnc#1012628).
- drm/amdgpu: adjust timeout for ib_ring_tests(v2) (bnc#1012628).
- drm/amdgpu: disable GFX ring and disable PQ wptr in hw_fini
(bnc#1012628).
- ARM: dts: dra71-evm: Correct evm_sd regulator max voltage
(bnc#1012628).
- drm: omapdrm: dss: Move initialization code from component
bind to probe (bnc#1012628).
- dmaengine: qcom: bam_dma: get num-channels and num-ees from dt
(bnc#1012628).
- vfio-ccw: fence off transport mode (bnc#1012628).
- pinctrl: artpec6: dt: add missing pin group uart5nocts
(bnc#1012628).
- pinctrl: devicetree: Fix dt_to_map_one_config handling of hogs
(bnc#1012628).
- hwrng: stm32 - add reset during probe (bnc#1012628).
- watchdog: asm9260_wdt: fix error handling in asm9260_wdt_probe()
(bnc#1012628).
- enic: enable rq before updating rq descriptors (bnc#1012628).
- dmaengine: rcar-dmac: Check the done lists in
rcar_dmac_chan_get_residue() (bnc#1012628).
- powerpc/mm/slice: Fix hugepage allocation at hint address on
8xx (bnc#1012628).
- powerpc/mm/slice: Enhance for supporting PPC32 (bnc#1012628).
- powerpc/mm/slice: create header files dedicated to slices
(bnc#1012628).
- powerpc/mm/slice: Remove intermediate bitmap copy (bnc#1012628).
- ARM: dts: keystone-k2e-clocks: Fix missing unit address
separator (bnc#1012628).
- dmaengine: pl330: fix a race condition in case of threaded irqs
(bnc#1012628).
- block: null_blk: fix 'Invalid parameters' when loading module
(bnc#1012628).
- tools: hv: fix compiler warnings about major/target_fname
(bnc#1012628).
- drm/amdgpu: Clean sdma wptr register when only enable wptr
polling (bnc#1012628).
- drm/bridge: sii902x: Retry status read after DDI I2C
(bnc#1012628).
- phy: qcom-qmp: Fix phy pipe clock gating (bnc#1012628).
- ALSA: vmaster: Propagate slave error (bnc#1012628).
- phy: rockchip-emmc: retry calpad busy trimming (bnc#1012628).
- x86/devicetree: Fix device IRQ settings in DT (bnc#1012628).
- x86/devicetree: Initialize device tree before using it
(bnc#1012628).
- gfs2: Fix fallocate chunk size (bnc#1012628).
- soc: qcom: wcnss_ctrl: Fix increment in NV upload (bnc#1012628).
- arm64: dts: qcom: Fix SPI5 config on MSM8996 (bnc#1012628).
- perf/x86/intel: Fix event update for auto-reload (bnc#1012628).
- perf/x86/intel: Fix large period handling on Broadwell CPUs
(bnc#1012628).
- efi/arm*: Only register page tables when they exist
(bnc#1012628).
- cdrom: do not call check_disk_change() inside cdrom_open()
(bnc#1012628).
- perf/x86/intel: Properly save/restore the PMU state in the
NMI handler (bnc#1012628).
- hwmon: (pmbus/adm1275) Accept negative page register values
(bnc#1012628).
- hwmon: (pmbus/max8688) Accept negative page register values
(bnc#1012628).
- drm/panel: simple: Fix the bus format for the Ontat panel
(bnc#1012628).
- perf/core: Fix perf_output_read_group() (bnc#1012628).
- max17042: propagate of_node to power supply device
(bnc#1012628).
- perf/core: Fix installing cgroup events on CPU (bnc#1012628).
- f2fs: fix to check extent cache in f2fs_drop_extent_tree
(bnc#1012628).
- f2fs: fix to clear CP_TRIMMED_FLAG (bnc#1012628).
- f2fs: fix to set KEEP_SIZE bit in f2fs_zero_range (bnc#1012628).
- cxl: Check if PSL data-cache is available before issue flush
request (bnc#1012628).
- f2fs: flush cp pack except cp pack 2 page at first
(bnc#1012628).
- powerpc/powernv/npu: Fix deadlock in mmio_invalidate()
(bnc#1012628).
- powerpc: Add missing prototype for arch_irq_work_raise()
(bnc#1012628).
- drm/meson: Fix an un-handled error path in
'meson_drv_bind_master()' (bnc#1012628).
- drm/meson: Fix some error handling paths in
'meson_drv_bind_master()' (bnc#1012628).
- ipmi_ssif: Fix kernel panic at msg_done_handler (bnc#1012628).
- watchdog: aspeed: Fix translation of reset mode to ctrl register
(bnc#1012628).
- watchdog: dw: RMW the control register (bnc#1012628).
- watchdog: sprd_wdt: Fix error handling in sprd_wdt_enable()
(bnc#1012628).
- PCI: Restore config space on runtime resume despite being
unbound (bnc#1012628).
- powerpc/vas: Fix cleanup when VAS is not configured
(bnc#1012628).
- MIPS: ath79: Fix AR724X_PLL_REG_PCIE_CONFIG offset
(bnc#1012628).
- net/smc: pay attention to MAX_ORDER for CQ entries
(bnc#1012628).
- spi: bcm-qspi: fIX some error handling paths (bnc#1012628).
- regulator: gpio: Fix some error handling paths in
'gpio_regulator_probe()' (bnc#1012628).
- ibmvnic: Fix reset return from closed state (bnc#1012628).
- coresight: Use %px to print pcsr instead of %p (bnc#1012628).
- drm/amdkfd: add missing include of mm.h (bnc#1012628).
- IB/core: Honor port_num while resolving GID for IB link layer
(bnc#1012628).
- perf stat: Fix core dump when flag T is used (bnc#1012628).
- perf top: Fix top.call-graph config option reading
(bnc#1012628).
- KVM: lapic: stop advertising DIRECTED_EOI when in-kernel IOAPIC
is in use (bnc#1012628).
- i2c: mv64xxx: Apply errata delay only in standard mode
(bnc#1012628).
- cxgb4: Fix queue free path of ULD drivers (bnc#1012628).
- ACPICA: acpi: acpica: fix acpi operand cache leak in nseval.c
(bnc#1012628).
- bcache: stop dc->writeback_rate_update properly (bnc#1012628).
- ACPICA: Fix memory leak on unusual memory leak (bnc#1012628).
- ACPICA: Events: add a return on failure from
acpi_hw_register_read (bnc#1012628).
- bcache: fix cached_dev->count usage for bch_cache_set_error()
(bnc#1012628).
- dt-bindings: add device tree binding for Allwinner H6 main CCU
(bnc#1012628).
- remoteproc: imx_rproc: Fix an error handling path in
'imx_rproc_probe()' (bnc#1012628).
- bcache: quit dc->writeback_thread when BCACHE_DEV_DETACHING
is set (bnc#1012628).
- zorro: Set up z->dev.dma_mask for the DMA API (bnc#1012628).
- IB/mlx5: Set the default active rate and width to QDR and 4X
(bnc#1012628).
- firmware: fix checking for return values for fw_add_devm_name()
(bnc#1012628).
- cpufreq: cppc_cpufreq: Fix cppc_cpufreq_init() failure path
(bnc#1012628).
- iommu/mediatek: Fix protect memory setting (bnc#1012628).
- drm/vmwgfx: Unpin the screen object backup buffer when not used
(bnc#1012628).
- ext4: don't complain about incorrect features when probing
(bnc#1012628).
- ima: clear IMA_HASH (bnc#1012628).
- arm: dts: socfpga: fix GIC PPI warning (bnc#1012628).
- virtio-net: Fix operstate for virtio when no VIRTIO_NET_F_STATUS
(bnc#1012628).
- gfs2: Check for the end of metadata in punch_hole (bnc#1012628).
- watchdog: aspeed: Allow configuring for alternate boot
(bnc#1012628).
- ima: Fallback to the builtin hash algorithm (bnc#1012628).
- ima: Fix Kconfig to select TPM 2.0 CRB interface (bnc#1012628).
- Update config files (TCG_CRB=y).
- hv_netvsc: Fix the return status in RX path (bnc#1012628).
- cxgb4: Setup FW queues before registering netdev (bnc#1012628).
- btrfs: fix null pointer deref when target device is missing
(bnc#1012628).
- ath9k: fix crash in spectral scan (bnc#1012628).
- nvme-pci: disable APST for Samsung NVMe SSD 960 EVO + ASUS
PRIME Z370-A (bnc#1012628).
- nvme_fc: fix abort race on teardown with lld reject
(bnc#1012628).
- ath10k: Fix kernel panic while using worker
(ath10k_sta_rc_update_wk) (bnc#1012628).
- net: qualcomm: rmnet: check for null ep to avoid null pointer
dereference (bnc#1012628).
- net: hns3: fix for returning wrong value problem in
hns3_get_rss_key_size (bnc#1012628).
- net: hns3: fix for returning wrong value problem in
hns3_get_rss_indir_size (bnc#1012628).
- net: hns3: fix for the wrong shift problem in
hns3_set_txbd_baseinfo (bnc#1012628).
- watchdog: davinci_wdt: fix error handling in davinci_wdt_probe()
(bnc#1012628).
- net/mlx5: Protect from command bit overflow (bnc#1012628).
- i40e: hold the RTNL lock while changing interrupt schemes
(bnc#1012628).
- selftests: Print the test we're running to /dev/kmsg
(bnc#1012628).
- tools/thermal: tmon: fix for segfault (bnc#1012628).
- rsi: fix kernel panic observed on 64bit machine (bnc#1012628).
- powerpc/perf: Fix kernel address leak via sampling registers
(bnc#1012628).
- powerpc/perf: Prevent kernel address leak to userspace via
BHRB buffer (bnc#1012628).
- mt76x2: fix warning in ieee80211_get_key_rx_seq() (bnc#1012628).
- mt76x2: fix possible NULL pointer dereferencing in mt76x2_tx()
(bnc#1012628).
- hwmon: (nct6775) Fix writing pwmX_mode (bnc#1012628).
- perf mmap: Fix accessing unmapped mmap in perf_mmap__read_done()
(bnc#1012628).
- parisc/pci: Switch LBA PCI bus from Hard Fail to Soft Fail mode
(bnc#1012628).
- net/mlx5e: Move all TX timeout logic to be under state lock
(bnc#1012628).
- iwlwifi: mvm: take RCU lock before dereferencing (bnc#1012628).
- iwlwifi: mvm: check if mac80211_queue is valid in
iwl_mvm_disable_txq (bnc#1012628).
- m68k: set dma and coherent masks for platform FEC ethernets
(bnc#1012628).
- intel_th: Use correct method of finding hub (bnc#1012628).
- iommu/amd: Take into account that alloc_dev_data() may return
NULL (bnc#1012628).
- ath10k: advertize beacon_int_min_gcd (bnc#1012628).
- ieee802154: ca8210: fix uninitialised data read (bnc#1012628).
- powerpc/mpic: Check if cpu_possible() in mpic_physmask()
(bnc#1012628).
- ACPI: acpi_pad: Fix memory leak in power saving threads
(bnc#1012628).
- drivers: macintosh: rack-meter: really fix bogus memsets
(bnc#1012628).
- xen/acpi: off by one in read_acpi_id() (bnc#1012628).
- rxrpc: Don't treat call aborts as conn aborts (bnc#1012628).
- rxrpc: Fix Tx ring annotation after initial Tx failure
(bnc#1012628).
- rxrpc: Fix resend event time calculation (bnc#1012628).
- btrfs: qgroup: Fix root item corruption when multiple same
source snapshots are created with quota enabled (bnc#1012628).
- btrfs: fix lockdep splat in btrfs_alloc_subvolume_writers
(bnc#1012628).
- Btrfs: fix copy_items() return value when logging an inode
(bnc#1012628).
- btrfs: tests/qgroup: Fix wrong tree backref level (bnc#1012628).
- powerpc/64s: sreset panic if there is no debugger or crash
dump handlers (bnc#1012628).
- bnxt_en: fix clear flags in ethtool reset handling
(bnc#1012628).
- net: bgmac: Correctly annotate register space (bnc#1012628).
- net: bgmac: Fix endian access in bgmac_dma_tx_ring_free()
(bnc#1012628).
- platform/x86: dell-smbios: Fix memory leaks in
build_tokens_sysfs() (bnc#1012628).
- riscv/spinlock: Strengthen implementations with fences
(bnc#1012628).
- sparc64: Make atomic_xchg() an inline function rather than a
macro (bnc#1012628).
- dmaengine: rcar-dmac: Fix too early/late system suspend/resume
callbacks (bnc#1012628).
- fscache: Fix hanging wait on page discarded by writeback
(bnc#1012628).
- lan78xx: Connect phy early (bnc#1012628).
- KVM: VMX: raise internal error for exception during invalid
protected mode state (bnc#1012628).
- x86/mm: Fix bogus warning during EFI bootup, use boot_cpu_has()
instead of this_cpu_has() in build_cr3_noflush() (bnc#1012628).
- sched/rt: Fix rq->clock_update_flags < RQCF_ACT_SKIP warning
(bnc#1012628).
- powerpc/64s/idle: Fix restore of AMOR on POWER9 after deep sleep
(bnc#1012628).
- ocfs2/dlm: don't handle migrate lockres if already in shutdown
(bnc#1012628).
- IB/rxe: Fix for oops in rxe_register_device on ppc64le arch
(bnc#1012628).
- btrfs: Fix possible softlock on single core machines
(bnc#1012628).
- Btrfs: fix NULL pointer dereference in log_dir_items
(bnc#1012628).
- Btrfs: bail out on error during replay_dir_deletes
(bnc#1012628).
- mm: thp: fix potential clearing to referenced flag in
page_idle_clear_pte_refs_one() (bnc#1012628).
- mm: fix races between address_space dereference and free in
page_evicatable (bnc#1012628).
- mm/ksm: fix interaction with THP (bnc#1012628).
- genirq/affinity: Don't return with empty affinity masks on error
(bnc#1012628).
- ibmvnic: Zero used TX descriptor counter on reset (bnc#1012628).
- dp83640: Ensure against premature access to PHY registers
after reset (bnc#1012628).
- perf clang: Add support for recent clang versions (bnc#1012628).
- perf tools: Fix perf builds with clang support (bnc#1012628).
- powerpc/fscr: Enable interrupts earlier before calling
get_user() (bnc#1012628).
- cpufreq: CPPC: Initialize shared perf capabilities of CPUs
(bnc#1012628).
- Force log to disk before reading the AGF during a fstrim
(bnc#1012628).
- sr: get/drop reference to device in revalidate and check_events
(bnc#1012628).
- z3fold: fix memory leak (bnc#1012628).
- swap: divide-by-zero when zero length swap file on ssd
(bnc#1012628).
- kasan, slub: fix handling of kasan_slab_free hook (bnc#1012628).
- kasan: fix invalid-free test crashing the kernel (bnc#1012628).
- fs/proc/proc_sysctl.c: fix potential page fault while
unregistering sysctl table (bnc#1012628).
- nvme: expand nvmf_check_if_ready checks (bnc#1012628).
- bnxt_en: Ignore src port field in decap filter nodes
(bnc#1012628).
- x86/mm: Do not forbid _PAGE_RW before init for __ro_after_init
(bnc#1012628).
- x86/pgtable: Don't set huge PUD/PMD on non-leaf entries
(bnc#1012628).
- Btrfs: fix loss of prealloc extents past i_size after fsync
log replay (bnc#1012628).
- Btrfs: clean up resources during umount after trans is aborted
(bnc#1012628).
- nvme: don't send keep-alives to the discovery controller
(bnc#1012628).
- firmware: dmi_scan: Fix UUID length safety check (bnc#1012628).
- sh: fix debug trap failure to process signals before return
to user (bnc#1012628).
- ARM: dts: sun4i: Fix incorrect clocks for displays
(bnc#1012628).
- x86/kvm: fix LAPIC timer drift when guest uses periodic mode
(bnc#1012628).
- kvm: x86: IA32_ARCH_CAPABILITIES is always supported
(bnc#1012628).
- KVM: x86: Update cpuid properly when CR4.OSXAVE or CR4.PKE is
changed (bnc#1012628).
- KVM: s390: vsie: fix < 8k check for the itdba (bnc#1012628).
- KVM/VMX: Expose SSBD properly to guests (bnc#1012628).
- PM / core: Fix direct_complete handling for devices with no
callbacks (bnc#1012628).
- kernel/sys.c: fix potential Spectre v1 issue (bnc#1012628).
- kasan: fix memory hotplug during boot (bnc#1012628).
- kasan: free allocated shadow memory on MEM_CANCEL_ONLINE
(bnc#1012628).
- mm/kasan: don't vfree() nonexistent vm_area (bnc#1012628).
- ipc/shm: fix shmat() nil address after round-down when remapping
(bnc#1012628).
- Revert "ipc/shm: Fix shmat mmap nil-page protection"
(bnc#1012628).
- idr: fix invalid ptr dereference on item delete (bnc#1012628).
- bcma: fix buffer size caused crash in bcma_core_mips_print_irq()
(bnc#1012628).
- sr: pass down correctly sized SCSI sense buffer (bnc#1012628).
- IB/umem: Use the correct mm during ib_umem_release
(bnc#1012628).
- IB/hfi1: Use after free race condition in send context error
path (bnc#1012628).
- powerpc/64s: Clear PCR on boot (bnc#1012628).
- arm64: export tishift functions to modules (bnc#1012628).
- arm64: lse: Add early clobbers to some input/output asm operands
(bnc#1012628).
- drm/vmwgfx: Fix 32-bit VMW_PORT_HB_[IN|OUT] macros
(bnc#1012628).
- xen-swiotlb: fix the check condition for
xen_swiotlb_free_coherent (bnc#1012628).
- libata: blacklist Micron 500IT SSD with MU01 firmware
(bnc#1012628).
- libata: Blacklist some Sandisk SSDs for NCQ (bnc#1012628).
- ahci: Add PCI ID for Cannon Lake PCH-LP AHCI (bnc#1012628).
- mmc: sdhci-iproc: add SDHCI_QUIRK2_HOST_OFF_CARD_ON for cygnus
(bnc#1012628).
- mmc: sdhci-iproc: fix 32bit writes for TRANSFER_MODE register
(bnc#1012628).
- mmc: sdhci-iproc: remove hard coded mmc cap 1.8v (bnc#1012628).
- mmc: block: propagate correct returned value in mmc_rpmb_ioctl
(bnc#1012628).
- do d_instantiate/unlock_new_inode combinations safely
(bnc#1012628).
- ALSA: timer: Fix pause event notification (bnc#1012628).
- Btrfs: fix error handling in btrfs_truncate() (bnc#1012628).
- aio: fix io_destroy(2) vs. lookup_ioctx() race (bnc#1012628).
- fs: don't scan the inode cache before SB_BORN is set
(bnc#1012628).
- fix breakage caused by d_find_alias() semantics change
(bnc#1012628).
- affs_lookup(): close a race with affs_remove_link()
(bnc#1012628).
- KVM: Fix spelling mistake: "cop_unsuable" -> "cop_unusable"
(bnc#1012628).
- MIPS: Fix ptrace(2) PTRACE_PEEKUSR and PTRACE_POKEUSR accesses
to o32 FGRs (bnc#1012628).
- MIPS: ptrace: Expose FIR register through FP regset
(bnc#1012628).
- MIPS: Fix build with DEBUG_ZBOOT and MACH_JZ4770 (bnc#1012628).
- MIPS: c-r4k: Fix data corruption related to cache coherence
(bnc#1012628).
- MIPS: xilfpga: Actually include FDT in fitImage (bnc#1012628).
- MIPS: xilfpga: Stop generating useless dtb.o (bnc#1012628).
- commit e33cb3e
-------------------------------------------------------------------
Mon May 28 07:31:05 CEST 2018 - mkubecek@suse.cz
- Update to 4.17-rc7
- Eliminated 1 patch
- Config changes:
- reenable SSB_DRIVER_PCICORE and dependencies after revert of
commit 882164a4a928
- commit c78299c
-------------------------------------------------------------------
Fri May 25 20:40:19 CEST 2018 - jslaby@suse.cz
- Update config files.
- commit 39c7522
-------------------------------------------------------------------
Fri May 25 20:21:17 CEST 2018 - jslaby@suse.cz
- Linux 4.16.12 (bnc#1012628).
- rtc: goldfish: Add missing MODULE_LICENSE (bnc#1012628).
- rtc: rp5c01: fix possible race condition (bnc#1012628).
- rtc: tx4939: avoid unintended sign extension on a 24 bit shift
(bnc#1012628).
- rtc: m41t80: fix race conditions (bnc#1012628).
- rtc: rk808: fix possible race condition (bnc#1012628).
- rtc: hctosys: Ensure system time doesn't overflow time_t
(bnc#1012628).
- rtc: snvs: Fix usage of snvs_rtc_enable (bnc#1012628).
- serial: altera: ensure port->regshift is honored consistently
(bnc#1012628).
- serial: 8250: Don't service RX FIFO if interrupts are disabled
(bnc#1012628).
- serial: arc_uart: Fix out-of-bounds access through DT alias
(bnc#1012628).
- serial: fsl_lpuart: Fix out-of-bounds access through DT alias
(bnc#1012628).
- serial: imx: Fix out-of-bounds access through serial port index
(bnc#1012628).
- serial: mxs-auart: Fix out-of-bounds access through serial
port index (bnc#1012628).
- serial: samsung: Fix out-of-bounds access through serial port
index (bnc#1012628).
- serial: sh-sci: Fix out-of-bounds access through DT alias
(bnc#1012628).
- serial: xuartps: Fix out-of-bounds access through DT alias
(bnc#1012628).
- serial: mvebu-uart: fix tx lost characters (bnc#1012628).
- media: cx25821: prevent out-of-bounds read on array card
(bnc#1012628).
- media: vivid: fix incorrect capabilities for radio
(bnc#1012628).
- media: vb2: Fix videobuf2 to map correct area (bnc#1012628).
- media: i2c: adv748x: fix HDMI field heights (bnc#1012628).
- media: v4l: vsp1: Fix display stalls when requesting too many
inputs (bnc#1012628).
- media: em28xx: Add Hauppauge SoloHD/DualHD bulk models
(bnc#1012628).
- media: lgdt3306a: Fix a double kfree on i2c device remove
(bnc#1012628).
- media: s3c-camif: fix out-of-bounds array access (bnc#1012628).
- media: cx23885: Set subdev host data to clk_freq pointer
(bnc#1012628).
- media: cx23885: Override 888 ImpactVCBe crystal frequency
(bnc#1012628).
- media: ov5645: add missing of_node_put() in error path
(bnc#1012628).
- clk: meson: axg: add the fractional part of the fixed_pll
(bnc#1012628).
- clk: meson: axg: fix the od shift of the sys_pll (bnc#1012628).
- clk: samsung: exynos3250: Fix PLL rates (bnc#1012628).
- clk: samsung: exynos5250: Fix PLL rates (bnc#1012628).
- clk: samsung: exynos5433: Fix PLL rates (bnc#1012628).
- clk: samsung: exynos5260: Fix PLL rates (bnc#1012628).
- clk: samsung: exynos7: Fix PLL rates (bnc#1012628).
- clk: samsung: s3c2410: Fix PLL rates (bnc#1012628).
- clk: rockchip: Prevent calculating mmc phase if clock rate is
zero (bnc#1012628).
- clk: tegra: Fix pll_u rate configuration (bnc#1012628).
- clk: hisilicon: mark wdt_mux_p[] as const (bnc#1012628).
- clk: Don't show the incorrect clock phase (bnc#1012628).
- clk: rockchip: Fix wrong parent for SDMMC phase clock for rk3228
(bnc#1012628).
- ASoC: samsung: i2s: Ensure the RCLK rate is properly determined
(bnc#1012628).
- ASoC: topology: create TLV data for dapm widgets (bnc#1012628).
- ASoC: samsung: odroid: Fix 32000 sample rate handling
(bnc#1012628).
- ASoC: rockchip: rk3288-hdmi-analog: Select needed codecs
(bnc#1012628).
- scsi: lpfc: Fix frequency of Release WQE CQEs (bnc#1012628).
- scsi: lpfc: Fix IO failure during hba reset testing with nvme io
(bnc#1012628).
- scsi: lpfc: Fix soft lockup in lpfc worker thread during LIP
testing (bnc#1012628).
- scsi: lpfc: Fix nonrecovery of NVME controller after cable swap
(bnc#1012628).
- scsi: lpfc: Fix issue_lip if link is disabled (bnc#1012628).
- scsi: mvsas: fix wrong endianness of sgpio api (bnc#1012628).
- scsi: core: Make SCSI Status CONDITION MET equivalent to GOOD
(bnc#1012628).
- scsi: lpfc: Fix NVME Initiator FirstBurst (bnc#1012628).
- scsi: devinfo: add HP DISK-SUBSYSTEM device, for HP XP arrays
(bnc#1012628).
- scsi: aacraid: Insure command thread is not recursively stopped
(bnc#1012628).
- crypto: inside-secure - fix the invalidation step during
cra_exit (bnc#1012628).
- crypto: sunxi-ss - Add MODULE_ALIAS to sun4i-ss (bnc#1012628).
- crypto: inside-secure - do not overwrite the threshold value
(bnc#1012628).
- crypto: inside-secure - fix the extra cache computation
(bnc#1012628).
- crypto: inside-secure - fix the cache_len computation
(bnc#1012628).
- crypto: inside-secure - do not process request if no command
was issued (bnc#1012628).
- crypto: ccp - don't disable interrupts while setting up debugfs
(bnc#1012628).
- crypto: atmel-aes - fix the keys zeroing on errors
(bnc#1012628).
- crypto: inside-secure - wait for the request to complete if
in the backlog (bnc#1012628).
- crypto: inside-secure - move the digest to the request context
(bnc#1012628).
- staging: lustre: lmv: correctly iput lmo_root (bnc#1012628).
- staging: ks7010: Use constants from ieee80211_eid instead of
literal ints (bnc#1012628).
- staging: rtl8192u: return -ENOMEM on failed allocation of
priv->oldaddr (bnc#1012628).
- staging: fsl-dpaa2/eth: Fix incorrect casts (bnc#1012628).
- staging: lustre: fix bug in osc_enter_cache_try (bnc#1012628).
- staging: fsl-dpaa2/eth: Fix incorrect kfree (bnc#1012628).
- staging: bcm2835-audio: Release resources on module_exit()
(bnc#1012628).
- x86/kexec: Avoid double free_page() upon do_kexec_load()
failure (bnc#1012628).
- hfsplus: stop workqueue when fill_super() failed (bnc#1012628).
- cfg80211: limit wiphy names to 128 bytes (bnc#1012628).
- loop: fix LOOP_GET_STATUS lock imbalance (bnc#1012628).
- loop: don't call into filesystem while holding lo_ctl_mutex
(bnc#1012628).
- xhci: Show what USB release number the xHC supports from
protocol capablity (bnc#1012628).
- Bluetooth: btusb: Add support for Intel Bluetooth device 22560
[8087:0026] (bnc#1012628).
- Bluetooth: btusb: Add device ID for RTL8822BE (bnc#1012628).
- media: em28xx: USB bulk packet size fix (bnc#1012628).
- media: lgdt3306a: Fix module count mismatch on usb unplug
(bnc#1012628).
- usb: gadget: composite: fix incorrect handling of OS desc
requests (bnc#1012628).
- usb: gadget: udc: change comparison to bitshift when dealing
with a mask (bnc#1012628).
- usbip: Correct maximum value of CONFIG_USBIP_VHCI_HC_PORTS
(bnc#1012628).
- usb: gadget: ffs: Execute copy_to_user() with USER_DS set
(bnc#1012628).
- usb: gadget: ffs: Let setup() return USB_GADGET_DELAYED_STATUS
(bnc#1012628).
- usb: dwc2: host: Fix transaction errors in host mode
(bnc#1012628).
- usb: dwc2: hcd: Fix host channel halt flow (bnc#1012628).
- usb: dwc2: Fix interval type issue (bnc#1012628).
- xhci: zero usb device slot_id member when disabling and freeing
a xhci slot (bnc#1012628).
- usb: dwc3: Makefile: fix link error on randconfig (bnc#1012628).
- usb: dwc3: Update DWC_usb31 GTXFIFOSIZ reg fields (bnc#1012628).
- usb: dwc3: Add SoftReset PHY synchonization delay (bnc#1012628).
- ALSA: usb-audio: Add native DSD support for Luxman DA-06
(bnc#1012628).
- Bluetooth: btusb: Add USB ID 7392:a611 for Edimax EW-7611ULB
(bnc#1012628).
- scsi: zfcp: fix infinite iteration on ERP ready list
(bnc#1012628).
- scsi: sg: allocate with __GFP_ZERO in sg_build_indirect()
(bnc#1012628).
- s390: use expoline thunks in the BPF JIT (bnc#1012628).
- s390: extend expoline to BC instructions (bnc#1012628).
- s390: move spectre sysfs attribute code (bnc#1012628).
- s390/kernel: use expoline for indirect branches (bnc#1012628).
- s390/ftrace: use expoline for indirect branches (bnc#1012628).
- s390/lib: use expoline for indirect branches (bnc#1012628).
- s390/crc32-vx: use expoline for indirect branches (bnc#1012628).
- s390: move expoline assembler macros to a header (bnc#1012628).
- s390: correct module section names for expoline code revert
(bnc#1012628).
- s390: correct nospec auto detection init order (bnc#1012628).
- s390: add assembler macros for CPU alternatives (bnc#1012628).
- s390: add sysfs attributes for spectre (bnc#1012628).
- s390: report spectre mitigation via syslog (bnc#1012628).
- s390: add automatic detection of the spectre defense
(bnc#1012628).
- s390: move nobp parameter functions to nospec-branch.c
(bnc#1012628).
- powerpc/64s: Add support for a store forwarding barrier at
kernel entry/exit (bnc#1012628).
- powerpc: Move default security feature flags (bnc#1012628).
- powerpc/pseries: Fix clearing of security feature flags
(bnc#1012628).
- powerpc/64s: Wire up cpu_show_spectre_v2() (bnc#1012628).
- powerpc/64s: Wire up cpu_show_spectre_v1() (bnc#1012628).
- powerpc/pseries: Use the security flags in
pseries_setup_rfi_flush() (bnc#1012628).
- powerpc/powernv: Use the security flags in pnv_setup_rfi_flush()
(bnc#1012628).
- powerpc/64s: Enhance the information in cpu_show_meltdown()
(bnc#1012628).
- powerpc/64s: Move cpu_show_meltdown() (bnc#1012628).
- powerpc/powernv: Set or clear security feature flags
(bnc#1012628).
- powerpc/pseries: Set or clear security feature flags
(bnc#1012628).
- powerpc/pseries: Add new H_GET_CPU_CHARACTERISTICS flags
(bnc#1012628).
- powerpc: Add security feature flags for Spectre/Meltdown
(bnc#1012628).
- powerpc/rfi-flush: Always enable fallback flush on pseries
(bnc#1012628).
- ext2: fix a block leak (bnc#1012628).
- sparc: vio: use put_device() instead of kfree() (bnc#1012628).
- qed: Fix LL2 race during connection terminate (bnc#1012628).
- qed: Fix possibility of list corruption during rmmod flows
(bnc#1012628).
- qed: LL2 flush isles when connection is closed (bnc#1012628).
- net: ip6_gre: fix tunnel metadata device sharing (bnc#1012628).
- net: ip6_gre: Fix ip6erspan hlen calculation (bnc#1012628).
- net: ip6_gre: Split up ip6gre_changelink() (bnc#1012628).
- net: ip6_gre: Split up ip6gre_newlink() (bnc#1012628).
- net: ip6_gre: Split up ip6gre_tnl_change() (bnc#1012628).
- net: ip6_gre: Split up ip6gre_tnl_link_config() (bnc#1012628).
- net: ip6_gre: Fix headroom request in ip6erspan_tunnel_xmit()
(bnc#1012628).
- net: ip6_gre: Request headroom in __gre6_xmit() (bnc#1012628).
- vmxnet3: use DMA memory barriers where required (bnc#1012628).
- vmxnet3: set the DMA mask before the first DMA map operation
(bnc#1012628).
- cxgb4: fix offset in collecting TX rate limit info
(bnc#1012628).
- 3c59x: convert to generic DMA API (bnc#1012628).
- net: dsa: bcm_sf2: Fix IPv6 rule half deletion (bnc#1012628).
- net: dsa: bcm_sf2: Fix IPv6 rules and chain ID (bnc#1012628).
- net: dsa: Do not register devlink for unused ports
(bnc#1012628).
- net: dsa: bcm_sf2: Fix RX_CLS_LOC_ANY overwrite for last rule
(bnc#1012628).
- cxgb4: Correct ntuple mask validation for hash filters
(bnc#1012628).
- tuntap: fix use after free during release (bnc#1012628).
- tun: fix use after free for ptr_ring (bnc#1012628).
- tcp: purge write queue in tcp_connect_init() (bnc#1012628).
- sock_diag: fix use-after-free read in __sk_free (bnc#1012628).
- packet: in packet_snd start writing at link layer allocation
(bnc#1012628).
- net: test tailroom before appending to linear skb (bnc#1012628).
- net/smc: check for missing nlattrs in SMC_PNETID messages
(bnc#1012628).
- net: sched: red: avoid hashing NULL child (bnc#1012628).
- net/sched: fix refcnt leak in the error path of tcf_vlan_init()
(bnc#1012628).
- net/mlx4_core: Fix error handling in mlx4_init_port_info
(bnc#1012628).
- net: Fix a bug in removing queues from XPS map (bnc#1012628).
- net/mlx5: Fix build break when CONFIG_SMP=n (bnc#1012628).
- commit 9e125b1
-------------------------------------------------------------------
Thu May 24 17:24:46 CEST 2018 - tiwai@suse.de
- Bluetooth: Apply QCA Rome patches for some ATH3012 models
(bsc#1082504).
- commit e2f793c
-------------------------------------------------------------------
Wed May 23 18:14:54 CEST 2018 - msuchanek@suse.de
- mkspec: only build docs for default variant kernel.
- commit 045f5ac
-------------------------------------------------------------------
Tue May 22 21:50:37 CEST 2018 - jslaby@suse.cz
- Linux 4.16.11 (bnc#1012628).
- xhci: Fix USB3 NULL pointer dereference at logical disconnect
(bnc#1012628).
- usbip: usbip_host: refine probe and disconnect debug msgs to
be useful (bnc#1012628).
- usbip: usbip_host: delete device from busid_table after rebind
(bnc#1012628).
- usbip: usbip_host: run rebind from exit when module is removed
(bnc#1012628).
- usbip: usbip_host: fix NULL-ptr deref and use-after-free errors
(bnc#1012628).
- usbip: usbip_host: fix bad unlock balance during stub_probe()
(bnc#1012628).
- ALSA: usb: mixer: volume quirk for CM102-A+/102S+ (bnc#1012628).
- ALSA: hda/realtek - Clevo P950ER ALC1220 Fixup (bnc#1012628).
- ALSA: hda: Add Lenovo C50 All in one to the power_save blacklist
(bnc#1012628).
- ALSA: control: fix a redundant-copy issue (bnc#1012628).
- spi: pxa2xx: Allow 64-bit DMA (bnc#1012628).
- spi: bcm-qspi: Avoid setting MSPI_CDRAM_PCS for spi-nor master
(bnc#1012628).
- spi: bcm-qspi: Always read and set BSPI_MAST_N_BOOT_CTRL
(bnc#1012628).
- KVM: vmx: update sec exec controls for UMIP iff emulating UMIP
(bnc#1012628).
- KVM: arm/arm64: Properly protect VGIC locks from IRQs
(bnc#1012628).
- KVM: arm/arm64: VGIC/ITS: Promote irq_lock() in update_affinity
(bnc#1012628).
- KVM: arm/arm64: VGIC/ITS save/restore: protect kvm_read_guest()
calls (bnc#1012628).
- KVM: arm/arm64: VGIC/ITS: protect kvm_read_guest() calls with
SRCU lock (bnc#1012628).
- hwmon: (k10temp) Fix reading critical temperature register
(bnc#1012628).
- hwmon: (k10temp) Use API function to access System Management
Network (bnc#1012628).
- vfio: ccw: fix cleanup if cp_prefetch fails (bnc#1012628).
- tracing/x86/xen: Remove zero data size trace events
trace_xen_mmu_flush_tlb{_all} (bnc#1012628).
- vsprintf: Replace memory barrier with static_key for
random_ptr_key update (bnc#1012628).
- x86/amd_nb: Add support for Raven Ridge CPUs (bnc#1012628).
- tee: shm: fix use-after-free via temporarily dropped reference
(bnc#1012628).
- netfilter: nf_tables: free set name in error path (bnc#1012628).
- netfilter: nf_tables: can't fail after linking rule into active
rule list (bnc#1012628).
- netfilter: nf_tables: nf_tables_obj_lookup_byhandle() can be
static (bnc#1012628).
- ARM64: dts: marvell: armada-cp110: Add clocks for the xmdio node
(bnc#1012628).
- ARM64: dts: marvell: armada-cp110: Add mg_core_clk for ethernet
node (bnc#1012628).
- i2c: designware: fix poll-after-enable regression (bnc#1012628).
- mtd: rawnand: marvell: Fix read logic for layouts with ->nchunks
> 2 (bnc#1012628).
- powerpc/powernv: Fix NVRAM sleep in invalid context when
crashing (bnc#1012628).
- drm: Match sysfs name in link removal to link creation
(bnc#1012628).
- cpufreq: armada-37xx: driver relies on cpufreq-dt (bnc#1012628).
- lib/test_bitmap.c: fix bitmap optimisation tests to report
errors correctly (bnc#1012628).
- radix tree: fix multi-order iteration race (bnc#1012628).
- mm: don't allow deferred pages with NEED_PER_CPU_KM
(bnc#1012628).
- drm/i915/gen9: Add WaClearHIZ_WM_CHICKEN3 for bxt and glk
(bnc#1012628).
- s390/qdio: fix access to uninitialized qdio_q fields
(bnc#1012628).
- s390/cpum_sf: ensure sample frequency of perf event attributes
is non-zero (bnc#1012628).
- s390/qdio: don't release memory in qdio_setup_irq()
(bnc#1012628).
- s390: remove indirect branch from do_softirq_own_stack
(bnc#1012628).
- bcache: return 0 from bch_debug_init() if CONFIG_DEBUG_FS=n
(bnc#1012628).
- x86/pkeys: Override pkey when moving away from PROT_EXEC
(bnc#1012628).
- x86/pkeys: Do not special case protection key 0 (bnc#1012628).
- efi: Avoid potential crashes, fix the 'struct
efi_pci_io_protocol_32' definition for mixed mode (bnc#1012628).
- ARM: 8771/1: kprobes: Prohibit kprobes on do_undefinstr
(bnc#1012628).
- x86/apic/x2apic: Initialize cluster ID properly (bnc#1012628).
- x86/mm: Drop TS_COMPAT on 64-bit exec() syscall (bnc#1012628).
- tick/broadcast: Use for_each_cpu() specially on UP kernels
(bnc#1012628).
- ARM: 8769/1: kprobes: Fix to use get_kprobe_ctlblk after
irq-disabed (bnc#1012628).
- ARM: 8770/1: kprobes: Prohibit probing on optimized_callback
(bnc#1012628).
- ARM: 8772/1: kprobes: Prohibit kprobes on get_user functions
(bnc#1012628).
- Btrfs: fix xattr loss after power failure (bnc#1012628).
- Btrfs: send, fix invalid access to commit roots due to
concurrent snapshotting (bnc#1012628).
- btrfs: property: Set incompat flag if lzo/zstd compression is
set (bnc#1012628).
- btrfs: fix crash when trying to resume balance without the
resume flag (bnc#1012628).
- btrfs: Split btrfs_del_delalloc_inode into 2 functions
(bnc#1012628).
- btrfs: Fix delalloc inodes invalidation during transaction abort
(bnc#1012628).
- btrfs: fix reading stale metadata blocks after degraded raid1
mounts (bnc#1012628).
- x86/nospec: Simplify alternative_msr_write() (bnc#1012628).
- x86/bugs: Concentrate bug detection into a separate function
(bnc#1012628).
- x86/bugs: Concentrate bug reporting into a separate function
(bnc#1012628).
- x86/bugs: Read SPEC_CTRL MSR during boot and re-use reserved
bits (bnc#1012628).
- x86/bugs, KVM: Support the combination of guest and host IBRS
(bnc#1012628).
- x86/bugs: Expose /sys/../spec_store_bypass (bnc#1012628).
- x86/cpufeatures: Add X86_FEATURE_RDS (bnc#1012628).
- x86/bugs: Provide boot parameters for the
spec_store_bypass_disable mitigation (bnc#1012628).
- x86/bugs/intel: Set proper CPU features and setup RDS
(bnc#1012628).
- x86/bugs: Whitelist allowed SPEC_CTRL MSR values (bnc#1012628).
- x86/bugs/AMD: Add support to disable RDS on Fam[15,16,17]h if
requested (bnc#1012628).
- x86/KVM/VMX: Expose SPEC_CTRL Bit(2) to the guest (bnc#1012628).
- x86/speculation: Create spec-ctrl.h to avoid include hell
(bnc#1012628).
- prctl: Add speculation control prctls (bnc#1012628).
- x86/process: Allow runtime control of Speculative Store Bypass
(bnc#1012628).
- x86/speculation: Add prctl for Speculative Store Bypass
mitigation (bnc#1012628).
- nospec: Allow getting/setting on non-current task (bnc#1012628).
- proc: Provide details on speculation flaw mitigations
(bnc#1012628).
- seccomp: Enable speculation flaw mitigations (bnc#1012628).
- x86/bugs: Make boot modes __ro_after_init (bnc#1012628).
- prctl: Add force disable speculation (bnc#1012628).
- seccomp: Use PR_SPEC_FORCE_DISABLE (bnc#1012628).
- seccomp: Add filter flag to opt-out of SSB mitigation
(bnc#1012628).
- seccomp: Move speculation migitation control to arch code
(bnc#1012628).
- x86/speculation: Make "seccomp" the default mode for Speculative
Store Bypass (bnc#1012628).
- x86/bugs: Rename _RDS to _SSBD (bnc#1012628).
- proc: Use underscores for SSBD in 'status' (bnc#1012628).
- Documentation/spec_ctrl: Do some minor cleanups (bnc#1012628).
- x86/bugs: Fix __ssb_select_mitigation() return type
(bnc#1012628).
- x86/bugs: Make cpu_show_common() static (bnc#1012628).
- x86/bugs: Fix the parameters alignment and missing void
(bnc#1012628).
- x86/cpu: Make alternative_msr_write work for 32-bit code
(bnc#1012628).
- KVM: SVM: Move spec control call after restore of GS
(bnc#1012628).
- x86/speculation: Use synthetic bits for IBRS/IBPB/STIBP
(bnc#1012628).
- x86/cpufeatures: Disentangle MSR_SPEC_CTRL enumeration from IBRS
(bnc#1012628).
- x86/cpufeatures: Disentangle SSBD enumeration (bnc#1012628).
- x86/cpufeatures: Add FEATURE_ZEN (bnc#1012628).
- x86/speculation: Handle HT correctly on AMD (bnc#1012628).
- x86/bugs, KVM: Extend speculation control for VIRT_SPEC_CTRL
(bnc#1012628).
- x86/speculation: Add virtualized speculative store bypass
disable support (bnc#1012628).
- x86/speculation: Rework speculative_store_bypass_update()
(bnc#1012628).
- x86/bugs: Unify x86_spec_ctrl_{set_guest,restore_host}
(bnc#1012628).
- x86/bugs: Expose x86_spec_ctrl_base directly (bnc#1012628).
- x86/bugs: Remove x86_spec_ctrl_set() (bnc#1012628).
- x86/bugs: Rework spec_ctrl base and mask logic (bnc#1012628).
- x86/speculation, KVM: Implement support for
VIRT_SPEC_CTRL/LS_CFG (bnc#1012628).
- KVM: SVM: Implement VIRT_SPEC_CTRL support for SSBD
(bnc#1012628).
- x86/bugs: Rename SSBD_NO to SSB_NO (bnc#1012628).
- bpf: Prevent memory disambiguation attack (bnc#1012628).
- Refresh
patches.suse/0001-x86-speculation-Add-basic-IBRS-support-infrastructur.patch.
- Refresh
patches.suse/0002-x86-speculation-Add-inlines-to-control-Indirect-Bran.patch.
- commit f86282c
-------------------------------------------------------------------
Mon May 21 07:18:12 CEST 2018 - mkubecek@suse.cz
- Makefile: disable PIE before testing asm goto (bsc#1092456).
- commit 67bdb0d
-------------------------------------------------------------------
Mon May 21 06:59:03 CEST 2018 - mkubecek@suse.cz
- Update to 4.17-rc6
- commit 6912f6b
-------------------------------------------------------------------
Sun May 20 20:45:26 CEST 2018 - jslaby@suse.cz
- Linux 4.16.10 (bnc#1012628).
- proc: do not access cmdline nor environ from file-backed areas
(bnc#1012628).
- scsi: aacraid: Correct hba_send to include iu_type
(bnc#1012628).
- net/smc: keep clcsock reference in smc_tcp_listen_work()
(bnc#1012628).
- net: phy: sfp: fix the BR,min computation (bnc#1012628).
- net/mlx5: Fix mlx5_get_vector_affinity function (bnc#1012628).
- mlxsw: core: Fix an error handling path in
'mlxsw_core_bus_device_register()' (bnc#1012628).
- ipv4: reset fnhe_mtu_locked after cache route flushed
(bnc#1012628).
- hv_netvsc: Fix net device attach on older Windows hosts
(bnc#1012628).
- tipc: fix one byte leak in tipc_sk_set_orig_addr()
(bnc#1012628).
- tcp: restore autocorking (bnc#1012628).
- sctp: clear the new asoc's stream outcnt in sctp_stream_update
(bnc#1012628).
- nfp: flower: set tunnel ttl value to net default (bnc#1012628).
- net: systemport: Correclty disambiguate driver instances
(bnc#1012628).
- net/mlx5e: DCBNL fix min inline header size for dscp
(bnc#1012628).
- mlxsw: spectrum_switchdev: Do not remove mrouter port from
MDB's ports list (bnc#1012628).
- udp: fix SO_BINDTODEVICE (bnc#1012628).
- nsh: fix infinite loop (bnc#1012628).
- net/mlx5e: Allow offloading ipv4 header re-write for icmp
(bnc#1012628).
- ipv6: fix uninit-value in ip6_multipath_l3_keys() (bnc#1012628).
- hv_netvsc: set master device (bnc#1012628).
- net/mlx5: Avoid cleaning flow steering table twice during
error flow (bnc#1012628).
- net/mlx5e: TX, Use correct counter in dma_map error flow
(bnc#1012628).
- net: sched: fix error path in tcf_proto_create() when modules
are not configured (bnc#1012628).
- bonding: send learning packets for vlans on slave (bnc#1012628).
- bonding: do not allow rlb updates to invalid mac (bnc#1012628).
- tg3: Fix vunmap() BUG_ON() triggered from tg3_free_consistent()
(bnc#1012628).
- tcp: ignore Fast Open on repair mode (bnc#1012628).
- tcp_bbr: fix to zero idle_restart only upon S/ACKed data
(bnc#1012628).
- sctp: use the old asoc when making the cookie-ack chunk in
dupcook_d (bnc#1012628).
- sctp: remove sctp_chunk_put from fail_mark err path in
sctp_ulpevent_make_rcvmsg (bnc#1012628).
- sctp: handle two v4 addrs comparison in sctp_inet6_cmp_addr
(bnc#1012628).
- sctp: fix the issue that the cookie-ack with auth can't get
processed (bnc#1012628).
- sctp: delay the authentication for the duplicated cookie-echo
chunk (bnc#1012628).
- rds: do not leak kernel memory to user land (bnc#1012628).
- r8169: fix powering up RTL8168h (bnc#1012628).
- qmi_wwan: do not steal interfaces from class drivers
(bnc#1012628).
- openvswitch: Don't swap table in nlattr_set() after
OVS_ATTR_NESTED is found (bnc#1012628).
- net/tls: Fix connection stall on partial tls record
(bnc#1012628).
- net/tls: Don't recursively call push_record during
tls_write_space callbacks (bnc#1012628).
- net: support compat 64-bit time in {s,g}etsockopt (bnc#1012628).
- net/smc: restrict non-blocking connect finish (bnc#1012628).
- net_sched: fq: take care of throttled flows before reuse
(bnc#1012628).
- net sched actions: fix refcnt leak in skbmod (bnc#1012628).
- net/mlx5: E-Switch, Include VF RDMA stats in vport statistics
(bnc#1012628).
- net/mlx5e: Err if asked to offload TC match on frag being first
(bnc#1012628).
- net/mlx4_en: Verify coalescing parameters are in range
(bnc#1012628).
- net/mlx4_en: Fix an error handling path in
'mlx4_en_init_netdev()' (bnc#1012628).
- net: ethernet: ti: cpsw: fix packet leaking in dual_mac mode
(bnc#1012628).
- net: ethernet: sun: niu set correct packet size in skb
(bnc#1012628).
- llc: better deal with too small mtu (bnc#1012628).
- ipv4: fix memory leaks in udp_sendmsg, ping_v4_sendmsg
(bnc#1012628).
- ipv4: fix fnhe usage by non-cached routes (bnc#1012628).
- dccp: fix tasklet usage (bnc#1012628).
- bridge: check iface upper dev when setting master via ioctl
(bnc#1012628).
- 8139too: Use disable_irq_nosync() in rtl8139_poll_controller()
(bnc#1012628).
- commit 68e48d7
-------------------------------------------------------------------
Thu May 17 15:32:20 CEST 2018 - msuchanek@suse.de
- kernel-{binary,docs}.spec sort dependencies.
- commit d2ab971
-------------------------------------------------------------------
Thu May 17 15:23:27 CEST 2018 - mgalbraith@suse.de
- Fix config/x86_64/debug, turn PREEMPT_NONE off, and PREEMPT_DEBUG on.
- commit 3ec7274
-------------------------------------------------------------------
Wed May 16 12:28:23 CEST 2018 - jslaby@suse.cz
- Linux 4.16.9 (bnc#1012628).
- perf/x86: Fix possible Spectre-v1 indexing for
x86_pmu::event_map() (bnc#1012628).
- perf/core: Fix possible Spectre-v1 indexing for ->aux_pages[]
(bnc#1012628).
- perf/x86/msr: Fix possible Spectre-v1 indexing in the MSR driver
(bnc#1012628).
- perf/x86/cstate: Fix possible Spectre-v1 indexing for pkg_msr
(bnc#1012628).
- perf/x86: Fix possible Spectre-v1 indexing for hw_perf_event
cache_* (bnc#1012628).
- tracing/uprobe_event: Fix strncpy corner case (bnc#1012628).
- sched/autogroup: Fix possible Spectre-v1 indexing for
sched_prio_to_weight[] (bnc#1012628).
- sched/core: Fix possible Spectre-v1 indexing for
sched_prio_to_weight[] (bnc#1012628).
- swiotlb: silent unwanted warning "buffer is full" (bnc#1012628).
- smb3: directory sync should not return an error (bnc#1012628).
- nvme: Fix sync controller reset return (bnc#1012628).
- nvme: add quirk to force medium priority for SQ creation
(bnc#1012628).
- thermal: exynos: Propagate error value from tmu_read()
(bnc#1012628).
- thermal: exynos: Reading temperature makes sense only when
TMU is turned on (bnc#1012628).
- Bluetooth: btusb: Only check needs_reset_resume DMI table for
QCA rome chipsets (bnc#1012628).
- Bluetooth: btusb: Add Dell XPS 13 9360 to
btusb_needs_reset_resume_table (bnc#1012628).
- Revert "Bluetooth: btusb: Fix quirk for Atheros 1525/QCA6174"
(bnc#1012628).
- arm: dts: imx[35]*: declare flexcan devices to be compatible
to imx25's flexcan (bnc#1012628).
- cpufreq: schedutil: Avoid using invalid next_freq (bnc#1012628).
- PCI / PM: Check device_may_wakeup() in pci_enable_wake()
(bnc#1012628).
- PCI / PM: Always check PME wakeup capability for runtime wakeup
support (bnc#1012628).
- atm: zatm: Fix potential Spectre v1 (bnc#1012628).
- net: atm: Fix potential Spectre v1 (bnc#1012628).
- drm/atomic: Clean private obj old_state/new_state in
drm_atomic_state_default_clear() (bnc#1012628).
- drm/atomic: Clean old_state/new_state in
drm_atomic_state_default_clear() (bnc#1012628).
- drm/nouveau/ttm: don't dereference nvbo::cli, it can outlive
client (bnc#1012628).
- drm/nouveau: Fix deadlock in nv50_mstm_register_connector()
(bnc#1012628).
- drm/i915: Adjust eDP's logical vco in a reliable place
(bnc#1012628).
- drm/i915: Fix drm:intel_enable_lvds ERROR message in kernel log
(bnc#1012628).
- drm/ttm: Use GFP_TRANSHUGE_LIGHT for allocating huge pages
(bnc#1012628).
- drm/vc4: Fix scaling of uni-planar formats (bnc#1012628).
- mtd: rawnand: marvell: fix command xtype in BCH write hook
(bnc#1012628).
- mtd: rawnand: marvell: pass ms delay to wait_op (bnc#1012628).
- can: hi311x: Work around TX complete interrupt erratum
(bnc#1012628).
- can: hi311x: Acquire SPI lock on ->do_get_berr_counter
(bnc#1012628).
- can: kvaser_usb: Increase correct stats counter in
kvaser_usb_rx_can_msg() (bnc#1012628).
- can: flexcan: fix endianess detection (bnc#1012628).
- ceph: fix rsize/wsize capping in ceph_direct_read_write()
(bnc#1012628).
- mm, oom: fix concurrent munlock and oom reaper unmap, v3
(bnc#1012628).
- mm: sections are not offlined during memory hotremove
(bnc#1012628).
- z3fold: fix reclaim lock-ups (bnc#1012628).
- tracing: Fix regex_match_front() to not over compare the test
string (bnc#1012628).
- dm integrity: use kvfree for kvmalloc'd memory (bnc#1012628).
- libata: Apply NOLPM quirk for SanDisk SD7UB3Q*G1001 SSDs
(bnc#1012628).
- rfkill: gpio: fix memory leak in probe error path (bnc#1012628).
- gpio: fix error path in lineevent_create (bnc#1012628).
- gpio: fix aspeed_gpio unmask irq (bnc#1012628).
- gpioib: do not free unrequested descriptors (bnc#1012628).
- compat: fix 4-byte infoleak via uninitialized struct field
(bnc#1012628).
- bdi: Fix oops in wb_workfn() (bnc#1012628).
- bdi: Fix use after free bug in debugfs_remove() (bnc#1012628).
- bdi: wake up concurrent wb_shutdown() callers (bnc#1012628).
- tcp: fix TCP_REPAIR_QUEUE bound checking (bnc#1012628).
- i2c: dev: prevent ZERO_SIZE_PTR deref in i2cdev_ioctl_rdwr()
(bnc#1012628).
- perf: Remove superfluous allocation error check (bnc#1012628).
- memcg: fix per_node_info cleanup (bnc#1012628).
- bpf/tracing: fix a deadlock in perf_event_detach_bpf_prog
(bnc#1012628).
- inetpeer: fix uninit-value in inet_getpeer (bnc#1012628).
- soreuseport: initialise timewait reuseport field (bnc#1012628).
- ipv4: fix uninit-value in ip_route_output_key_hash_rcu()
(bnc#1012628).
- dccp: initialize ireq->ir_mark (bnc#1012628).
- net: fix uninit-value in __hw_addr_add_ex() (bnc#1012628).
- net: initialize skb->peeked when cloning (bnc#1012628).
- net: fix rtnh_ok() (bnc#1012628).
- netlink: fix uninit-value in netlink_sendmsg (bnc#1012628).
- crypto: af_alg - fix possible uninit-value in alg_bind()
(bnc#1012628).
- rds: tcp: must use spin_lock_irq* and not spin_lock_bh with
rds_tcp_conn_lock (bnc#1012628).
- kcm: Call strp_stop before strp_done in kcm_attach
(bnc#1012628).
- clk: ti: fix flag space conflict with clkctrl clocks
(bnc#1012628).
- netfilter: ebtables: don't attempt to allocate 0-sized compat
array (bnc#1012628).
- ipvs: fix rtnl_lock lockups caused by start_sync_thread
(bnc#1012628).
- commit 4f45b1e
-------------------------------------------------------------------
Wed May 16 12:09:13 CEST 2018 - msuchanek@suse.de
- macros.kernel-source: Fix building non-x86 KMPs
- commit 8631d05
-------------------------------------------------------------------
Tue May 15 17:50:59 CEST 2018 - jeffm@suse.com
- reiserfs: package in separate KMP (FATE#323394).
- commit d14f152
-------------------------------------------------------------------
Mon May 14 21:10:40 CEST 2018 - msuchanek@suse.de
- macros.kernel-source: ignore errors when using make to print kernel release
There is no way to handle the errors anyway and including the error into
package version does not give good results.
- commit 282e9a6
-------------------------------------------------------------------
Mon May 14 20:57:03 CEST 2018 - palcantara@suse.de
- cifs: smb2ops: Fix listxattr() when there are no EAs
(bsc#1091442).
- commit c6f0d32
-------------------------------------------------------------------
Mon May 14 07:51:55 CEST 2018 - mkubecek@suse.cz
- Update to 4.17-rc5
- commit 80e3a99
-------------------------------------------------------------------
Fri May 11 17:30:49 CEST 2018 - msuchanek@suse.de
- Revert "kernel-binary: do not package extract-cert when not signing modules"
This reverts commit 10a8bc496a553b8069d490a8ae7508bdb19f58d9.
- commit 1f7acca
-------------------------------------------------------------------
Wed May 9 11:59:30 CEST 2018 - jslaby@suse.cz
- Linux 4.16.8 (bnc#1012628).
- ACPI / button: make module loadable when booted in non-ACPI mode
(bnc#1012628).
- arm64: Add work around for Arm Cortex-A55 Erratum 1024718
(bnc#1012628).
- ALSA: hda - Fix incorrect usage of IS_REACHABLE() (bnc#1012628).
- ALSA: pcm: Check PCM state at xfern compat ioctl (bnc#1012628).
- ALSA: seq: Fix races at MIDI encoding in
snd_virmidi_output_trigger() (bnc#1012628).
- ALSA: dice: fix kernel NULL pointer dereference due to invalid
calculation for array index (bnc#1012628).
- ALSA: aloop: Mark paused device as inactive (bnc#1012628).
- ALSA: aloop: Add missing cable lock to ctl API callbacks
(bnc#1012628).
- errseq: Always report a writeback error once (bnc#1012628).
- tracepoint: Do not warn on ENOMEM (bnc#1012628).
- scsi: target: Fix fortify_panic kernel exception (bnc#1012628).
- Input: leds - fix out of bound access (bnc#1012628).
- Input: atmel_mxt_ts - add touchpad button mapping for Samsung
Chromebook Pro (bnc#1012628).
- swiotlb: fix inversed DMA_ATTR_NO_WARN test (bnc#1012628).
- rtlwifi: cleanup 8723be ant_sel definition (bnc#1012628).
- xfs: prevent creating negative-sized file via INSERT_RANGE
(bnc#1012628).
- tools: power/acpi, revert to LD = gcc (bnc#1012628).
- RDMA/cxgb4: release hw resources on device removal
(bnc#1012628).
- RDMA/ucma: Allow resolving address w/o specifying source address
(bnc#1012628).
- RDMA/mlx5: Fix multiple NULL-ptr deref errors in rereg_mr flow
(bnc#1012628).
- RDMA/mlx4: Add missed RSS hash inner header flag (bnc#1012628).
- RDMA/mlx5: Protect from shift operand overflow (bnc#1012628).
- NET: usb: qmi_wwan: add support for ublox R410M PID 0x90b2
(bnc#1012628).
- IB/mlx5: Use unlimited rate when static rate is not supported
(bnc#1012628).
- infiniband: mlx5: fix build errors when INFINIBAND_USER_ACCESS=m
(bnc#1012628).
- IB/hfi1: Fix handling of FECN marked multicast packet
(bnc#1012628).
- IB/hfi1: Fix loss of BECN with AHG (bnc#1012628).
- IB/hfi1: Fix NULL pointer dereference when invalid num_vls is
used (bnc#1012628).
- iw_cxgb4: Atomically flush per QP HW CQEs (bnc#1012628).
- btrfs: Take trans lock before access running trans in
check_delayed_ref (bnc#1012628).
- drm/vc4: Make sure vc4_bo_{inc,dec}_usecnt() calls are balanced
(bnc#1012628).
- drm/vmwgfx: Fix a buffer object leak (bnc#1012628).
- drm/bridge: vga-dac: Fix edid memory leak (bnc#1012628).
- test_firmware: fix setting old custom fw path back on exit,
second try (bnc#1012628).
- xhci: Fix use-after-free in xhci_free_virt_device (bnc#1012628).
- USB: serial: visor: handle potential invalid device
configuration (bnc#1012628).
- usb: dwc3: gadget: Fix list_del corruption in dwc3_ep_dequeue
(bnc#1012628).
- USB: Accept bulk endpoints with 1024-byte maxpacket
(bnc#1012628).
- USB: serial: option: reimplement interface masking
(bnc#1012628).
- USB: serial: option: adding support for ublox R410M
(bnc#1012628).
- usb: musb: host: fix potential NULL pointer dereference
(bnc#1012628).
- usb: musb: trace: fix NULL pointer dereference in musb_g_tx()
(bnc#1012628).
- platform/x86: asus-wireless: Fix NULL pointer dereference
(bnc#1012628).
- platform/x86: Kconfig: Fix dell-laptop dependency chain
(bnc#1012628).
- KVM: x86: remove APIC Timer periodic/oneshot spikes
(bnc#1012628).
- x86/tsc: Always unregister clocksource_tsc_early (bnc#1012628).
- x86/tsc: Fix mark_tsc_unstable() (bnc#1012628).
- irqchip/qcom: Fix check for spurious interrupts (bnc#1012628).
- clocksource: Allow clocksource_mark_unstable() on unregistered
clocksources (bnc#1012628).
- clocksource: Initialize cs->wd_list (bnc#1012628).
- clocksource: Consistent de-rate when marking unstable
(bnc#1012628).
- tracing: Fix bad use of igrab in trace_uprobe.c (bnc#1012628).
- Delete patches.suse/tools-power-acpi-revert-to-LD-gcc.patch.
- Update config files.
- commit 9269cc1
-------------------------------------------------------------------
Mon May 7 07:52:36 CEST 2018 - mkubecek@suse.cz
- Update to 4.17-rc4
- Eliminated 2 patches
- Update to 4.17-rc3
- Eliminated 2 patches
- commit a993a00
-------------------------------------------------------------------
Wed May 2 21:21:16 CEST 2018 - jslaby@suse.cz
- Linux 4.16.7 (bnc#1012628).
- ext4: prevent right-shifting extents beyond EXT_MAX_BLOCKS
(bnc#1012628).
- ext4: set h_journal if there is a failure starting a reserved
handle (bnc#1012628).
- ext4: add MODULE_SOFTDEP to ensure crc32c is included in the
initramfs (bnc#1012628).
- ext4: add validity checks for bitmap block numbers
(bnc#1012628).
- ext4: fix bitmap position validation (bnc#1012628).
- random: set up the NUMA crng instances after the CRNG is fully
initialized (bnc#1012628).
- random: fix possible sleeping allocation from irq context
(bnc#1012628).
- random: rate limit unseeded randomness warnings (bnc#1012628).
- usbip: usbip_event: fix to not print kernel pointer address
(bnc#1012628).
- usbip: usbip_host: fix to hold parent lock for device_attach()
calls (bnc#1012628).
- usbip: vhci_hcd: Fix usb device and sockfd leaks (bnc#1012628).
- usbip: vhci_hcd: check rhport before using in vhci_hub_control()
(bnc#1012628).
- Revert "xhci: plat: Register shutdown for xhci_plat"
(bnc#1012628).
- xhci: Fix Kernel oops in xhci dbgtty (bnc#1012628).
- xhci: Fix USB ports for Dell Inspiron 5775 (bnc#1012628).
- USB: serial: simple: add libtransistor console (bnc#1012628).
- USB: serial: ftdi_sio: use jtag quirk for Arrow USB Blaster
(bnc#1012628).
- USB: serial: cp210x: add ID for NI USB serial console
(bnc#1012628).
- serial: mvebu-uart: Fix local flags handling on termios update
(bnc#1012628).
- usb: typec: ucsi: Increase command completion timeout value
(bnc#1012628).
- usb: core: Add quirk for HP v222w 16GB Mini (bnc#1012628).
- USB: Increment wakeup count on remote wakeup (bnc#1012628).
- virtio: add ability to iterate over vqs (bnc#1012628).
- virtio_console: don't tie bufs to a vq (bnc#1012628).
- virtio_console: free buffers after reset (bnc#1012628).
- virtio_console: drop custom control queue cleanup (bnc#1012628).
- virtio_console: move removal code (bnc#1012628).
- virtio_console: reset on out of memory (bnc#1012628).
- drm/virtio: fix vq wait_event condition (bnc#1012628).
- tty: Don't call panic() at tty_ldisc_init() (bnc#1012628).
- tty: n_gsm: Fix long delays with control frame timeouts in
ADM mode (bnc#1012628).
- tty: n_gsm: Fix DLCI handling for ADM mode if debug & 2 is
not set (bnc#1012628).
- tty: Avoid possible error pointer dereference at
tty_ldisc_restore() (bnc#1012628).
- tty: Use __GFP_NOFAIL for tty_ldisc_get() (bnc#1012628).
- cifs: smbd: Avoid allocating iov on the stack (bnc#1012628).
- cifs: smbd: Don't use RDMA read/write when signing is used
(bnc#1012628).
- ALSA: dice: fix OUI for TC group (bnc#1012628).
- ALSA: dice: fix error path to destroy initialized stream data
(bnc#1012628).
- ALSA: hda - Skip jack and others for non-existing PCM streams
(bnc#1012628).
- ALSA: opl3: Hardening for potential Spectre v1 (bnc#1012628).
- ALSA: asihpi: Hardening for potential Spectre v1 (bnc#1012628).
- ALSA: hdspm: Hardening for potential Spectre v1 (bnc#1012628).
- ALSA: rme9652: Hardening for potential Spectre v1 (bnc#1012628).
- ALSA: control: Hardening for potential Spectre v1 (bnc#1012628).
- ALSA: pcm: Return negative delays from SNDRV_PCM_IOCTL_DELAY
(bnc#1012628).
- ALSA: core: Report audio_tstamp in snd_pcm_sync_ptr
(bnc#1012628).
- ALSA: seq: oss: Fix unbalanced use lock for synth MIDI device
(bnc#1012628).
- ALSA: seq: oss: Hardening for potential Spectre v1
(bnc#1012628).
- ALSA: hda: Hardening for potential Spectre v1 (bnc#1012628).
- ALSA: hda/realtek - Add some fixes for ALC233 (bnc#1012628).
- ALSA: hda/realtek - Update ALC255 depop optimize (bnc#1012628).
- ALSA: hda/realtek - change the location for one of two front
mics (bnc#1012628).
- mtd: spi-nor: cadence-quadspi: Fix page fault kernel panic
(bnc#1012628).
- mtd: cfi: cmdset_0001: Do not allow read/write to suspend
erase block (bnc#1012628).
- mtd: cfi: cmdset_0001: Workaround Micron Erase suspend bug
(bnc#1012628).
- mtd: cfi: cmdset_0002: Do not allow read/write to suspend
erase block (bnc#1012628).
- mtd: rawnand: tango: Fix struct clk memory leak (bnc#1012628).
- mtd: rawnand: marvell: fix the chip-select DT parsing logic
(bnc#1012628).
- kobject: don't use WARN for registration failures (bnc#1012628).
- scsi: sd_zbc: Avoid that resetting a zone fails sporadically
(bnc#1012628).
- scsi: sd: Defer spinning up drive while SANITIZE is in progress
(bnc#1012628).
- blk-mq: start request gstate with gen 1 (bnc#1012628).
- bfq-iosched: ensure to clear bic/bfqq pointers when preparing
request (bnc#1012628).
- block: do not use interruptible wait anywhere (bnc#1012628).
- vfio: ccw: process ssch with interrupts disabled (bnc#1012628).
- SMB311: Fix reconnect (bnc#1012628).
- ANDROID: binder: prevent transactions into own process
(bnc#1012628).
- PCI: aardvark: Fix logic in advk_pcie_{rd,wr}_conf()
(bnc#1012628).
- PCI: aardvark: Set PIO_ADDR_LS correctly in advk_pcie_rd_conf()
(bnc#1012628).
- PCI: aardvark: Use ISR1 instead of ISR0 interrupt in legacy
irq mode (bnc#1012628).
- PCI: aardvark: Fix PCIe Max Read Request Size setting
(bnc#1012628).
- ARM: amba: Make driver_override output consistent with other
buses (bnc#1012628).
- ARM: amba: Fix race condition with driver_override
(bnc#1012628).
- ARM: amba: Don't read past the end of sysfs "driver_override"
buffer (bnc#1012628).
- ARM: dts: Fix NAS4220B pin config (bnc#1012628).
- ARM: socfpga_defconfig: Remove QSPI Sector 4K size force
(bnc#1012628).
- KVM: arm/arm64: Close VMID generation race (bnc#1012628).
- slimbus: Fix out-of-bounds access in slim_slicesize()
(bnc#1012628).
- powerpc/mm: Flush cache on memory hot(un)plug (bnc#1012628).
- powerpc/mce: Fix a bug where mce loops on memory UE
(bnc#1012628).
- powerpc/powernv/npu: Do a PID GPU TLB flush when invalidating
a large address range (bnc#1012628).
- crypto: drbg - set freed buffers to NULL (bnc#1012628).
- ASoC: dmic: Fix clock parenting (bnc#1012628).
- ASoC: fsl_esai: Fix divisor calculation failure at lower ratio
(bnc#1012628).
- libceph: un-backoff on tick when we have a authenticated session
(bnc#1012628).
- libceph: reschedule a tick in finish_hunting() (bnc#1012628).
- libceph: validate con->state at the top of try_write()
(bnc#1012628).
- PCI / PM: Do not clear state_saved in pci_pm_freeze() when
smart suspend is set (bnc#1012628).
- virt: vbox: Move declarations of vboxguest private functions
to private header (bnc#1012628).
- virt: vbox: Add vbg_req_free() helper function (bnc#1012628).
- virt: vbox: Use __get_free_pages instead of kmalloc for DMA32
memory (bnc#1012628).
- fpga-manager: altera-ps-spi: preserve nCONFIG state
(bnc#1012628).
- module: Fix display of wrong module .text address (bnc#1012628).
- earlycon: Use a pointer table to fix __earlycon_table stride
(bnc#1012628).
- cpufreq: powernv: Fix hardlockup due to synchronous smp_call
in timer interrupt (bnc#1012628).
- rtc: opal: Fix OPAL RTC driver OPAL_BUSY loops (bnc#1012628).
- drm/edid: Reset more of the display info (bnc#1012628).
- drm/amdgpu: set COMPUTE_PGM_RSRC1 for SGPR/VGPR clearing shaders
(bnc#1012628).
- drm/i915/fbdev: Enable late fbdev initial configuration
(bnc#1012628).
- drm/i915/audio: set minimum CD clock to twice the BCLK
(bnc#1012628).
- drm/i915: Enable display WA#1183 from its correct spot
(bnc#1012628).
- drm/amd/display: Fix deadlock when flushing irq (bnc#1012628).
- drm/amd/display: Don't read EDID in atomic_check (bnc#1012628).
- drm/amd/display: Disallow enabling CRTC without primary plane
with FB (bnc#1012628).
- x86/ipc: Fix x32 version of shmid64_ds and msqid64_ds
(bnc#1012628).
- x86/smpboot: Don't use mwait_play_dead() on AMD systems
(bnc#1012628).
- x86/microcode/intel: Save microcode patch unconditionally
(bnc#1012628).
- x86/microcode: Do not exit early from __reload_late()
(bnc#1012628).
- tick/sched: Do not mess with an enqueued hrtimer (bnc#1012628).
- crypto: ccp - add check to get PSP master only when PSP is
detected (bnc#1012628).
- arm/arm64: KVM: Add PSCI version selection API (bnc#1012628).
- sparc64: Fix mistake in oradax license text (bnc#1012628).
- commit 938738d
-------------------------------------------------------------------
Wed May 2 15:13:49 CEST 2018 - msuchanek@suse.de
- kernel-binary: also default klp_symbols to 0 here.
- commit e35f14a
-------------------------------------------------------------------
Wed May 2 14:55:25 CEST 2018 - msuchanek@suse.de
- klp_symbols: make --klp-symbols argument semantic sane
It selects build of klp symbols and defaults to off
- commit 0e53042
-------------------------------------------------------------------
Wed May 2 09:13:10 CEST 2018 - jslaby@suse.cz
- tools: power/acpi, revert to LD = gcc (build fix).
- Delete
patches.suse/revert-tools-fix-cross-compile-var-clobbering.patch.
Replace by the upstream commit.
- commit ad991e8
-------------------------------------------------------------------
Mon Apr 30 22:33:51 CEST 2018 - jslaby@suse.cz
- Linux 4.16.6 (bnc#1012628).
- Revert "pinctrl: intel: Initialize GPIO properly when used
through irqchip" (bnc#1012628).
- drm: bridge: dw-hdmi: Fix overflow workaround for Amlogic
Meson GX SoCs (bnc#1012628).
- i40e: Fix attach VF to VM issue (bnc#1012628).
- tpm: cmd_ready command can be issued only after granting
locality (bnc#1012628).
- tpm: tpm-interface: fix tpm_transmit/_cmd kdoc (bnc#1012628).
- tpm: add retry logic (bnc#1012628).
- bonding: do not set slave_dev npinfo before slave_enable_netpoll
in bond_enslave (bnc#1012628).
- docs: ip-sysctl.txt: fix name of some ipv6 variables
(bnc#1012628).
- ipv6: add RTA_TABLE and RTA_PREFSRC to rtm_ipv6_policy
(bnc#1012628).
- ipv6: sr: fix NULL pointer dereference in seg6_do_srh_encap()-
v4 pkts (bnc#1012628).
- KEYS: DNS: limit the length of option strings (bnc#1012628).
- l2tp: check sockaddr length in pppol2tp_connect() (bnc#1012628).
- llc: delete timers synchronously in llc_sk_free() (bnc#1012628).
- net: af_packet: fix race in PACKET_{R|T}X_RING (bnc#1012628).
- net: fix deadlock while clearing neighbor proxy table
(bnc#1012628).
- net: mvpp2: Fix DMA address mask size (bnc#1012628).
- net: qmi_wwan: add Wistron Neweb D19Q1 (bnc#1012628).
- net/smc: fix shutdown in state SMC_LISTEN (bnc#1012628).
- net: stmmac: Disable ACS Feature for GMAC >= 4 (bnc#1012628).
- packet: fix bitfield update race (bnc#1012628).
- pppoe: check sockaddr length in pppoe_connect() (bnc#1012628).
- Revert "macsec: missing dev_put() on error in macsec_newlink()"
(bnc#1012628).
- sctp: do not check port in sctp_inet6_cmp_addr (bnc#1012628).
- strparser: Do not call mod_delayed_work with a timeout of
LONG_MAX (bnc#1012628).
- strparser: Fix incorrect strp->need_bytes value (bnc#1012628).
- tcp: clear tp->packets_out when purging write queue
(bnc#1012628).
- tcp: don't read out-of-bounds opsize (bnc#1012628).
- tcp: md5: reject TCP_MD5SIG or TCP_MD5SIG_EXT on established
sockets (bnc#1012628).
- team: avoid adding twice the same option to the event list
(bnc#1012628).
- team: fix netconsole setup over team (bnc#1012628).
- tipc: add policy for TIPC_NLA_NET_ADDR (bnc#1012628).
- vlan: Fix reading memory beyond skb->tail in
skb_vlan_tagged_multi (bnc#1012628).
- vmxnet3: fix incorrect dereference when rxvlan is disabled
(bnc#1012628).
- amd-xgbe: Add pre/post auto-negotiation phy hooks (bnc#1012628).
- amd-xgbe: Improve KR auto-negotiation and training
(bnc#1012628).
- amd-xgbe: Only use the SFP supported transceiver signals
(bnc#1012628).
- net: sched: ife: signal not finding metaid (bnc#1012628).
- net: sched: ife: handle malformed tlv length (bnc#1012628).
- net: sched: ife: check on metadata length (bnc#1012628).
- l2tp: hold reference on tunnels in netlink dumps (bnc#1012628).
- l2tp: hold reference on tunnels printed in pppol2tp proc file
(bnc#1012628).
- l2tp: hold reference on tunnels printed in l2tp/tunnels debugfs
file (bnc#1012628).
- l2tp: fix {pppol2tp, l2tp_dfs}_seq_stop() in case of seq_file
overflow (bnc#1012628).
- llc: hold llc_sap before release_sock() (bnc#1012628).
- llc: fix NULL pointer deref for SOCK_ZAPPED (bnc#1012628).
- s390/qeth: fix error handling in adapter command callbacks
(bnc#1012628).
- s390/qeth: avoid control IO completion stalls (bnc#1012628).
- s390/qeth: handle failure on workqueue creation (bnc#1012628).
- net: ethernet: ti: cpsw: fix tx vlan priority mapping
(bnc#1012628).
- net: validate attribute sizes in neigh_dump_table()
(bnc#1012628).
- bnxt_en: Fix memory fault in bnxt_ethtool_init() (bnc#1012628).
- virtio-net: add missing virtqueue kick when flushing packets
(bnc#1012628).
- VSOCK: make af_vsock.ko removable again (bnc#1012628).
- net: aquantia: Regression on reset with 1.x firmware
(bnc#1012628).
- tun: fix vlan packet truncation (bnc#1012628).
- net: aquantia: oops when shutdown on already stopped device
(bnc#1012628).
- virtio_net: split out ctrl buffer (bnc#1012628).
- virtio_net: fix adding vids on big-endian (bnc#1012628).
- Revert "mm/hmm: fix header file if/else/endif maze"
(bnc#1012628).
- commoncap: Handle memory allocation failure (bnc#1012628).
- scsi: mptsas: Disable WRITE SAME (bnc#1012628).
- cdrom: information leak in cdrom_ioctl_media_changed()
(bnc#1012628).
- fsnotify: Fix fsnotify_mark_connector race (bnc#1012628).
- m68k/mac: Don't remap SWIM MMIO region (bnc#1012628).
- block/swim: Check drive type (bnc#1012628).
- block/swim: Don't log an error message for an invalid ioctl
(bnc#1012628).
- block/swim: Remove extra put_disk() call from error path
(bnc#1012628).
- block/swim: Rename macros to avoid inconsistent inverted logic
(bnc#1012628).
- block/swim: Select appropriate drive on device open
(bnc#1012628).
- block/swim: Fix array bounds check (bnc#1012628).
- block/swim: Fix IO error at end of medium (bnc#1012628).
- tracing: Fix missing tab for hwlat_detector print format
(bnc#1012628).
- hwmon: (k10temp) Add temperature offset for Ryzen 2700X
(bnc#1012628).
- hwmon: (k10temp) Add support for AMD Ryzen w/ Vega graphics
(bnc#1012628).
- s390/cio: update chpid descriptor after resource accessibility
event (bnc#1012628).
- s390/dasd: fix IO error for newly defined devices (bnc#1012628).
- s390/uprobes: implement arch_uretprobe_is_alive() (bnc#1012628).
- s390/cpum_cf: rename IBM z13/z14 counter names (bnc#1012628).
- kprobes: Fix random address output of blacklist file
(bnc#1012628).
- ACPI / video: Only default only_lcd to true on Win8-ready
_desktops_ (bnc#1012628).
- commit 566acbc
-------------------------------------------------------------------
Mon Apr 30 06:59:21 CEST 2018 - mkubecek@suse.cz
- Update to 4.17-rc3
- Eliminated 1 patch
- Config changes:
- x86_64 and i386
- SND_SST_ATOM_HIFI2_PLATFORM_ACPI=m
- armv7hl
- CONFIG_NFT_REDIR_IPV4=m (sync with other configs)
- CONFIG_NFT_REDIR_IPV6=m (sync with other configs)
- commit 088acbb
-------------------------------------------------------------------
Sun Apr 29 17:06:22 CEST 2018 - afaerber@suse.de
- config: armv7hl: Update to 4.17-rc2
- commit e76ffdc
-------------------------------------------------------------------
Sun Apr 29 16:39:34 CEST 2018 - afaerber@suse.de
- config: armv6hl: Update to 4.17-rc2
- commit 7ac1254
-------------------------------------------------------------------
Sun Apr 29 16:31:51 CEST 2018 - afaerber@suse.de
- config: arm64: Update to 4.17-rc2
- commit 8535e20
-------------------------------------------------------------------
Fri Apr 27 08:43:38 CEST 2018 - msuchanek@suse.de
- kernel-binary: only install modules.fips on modular kernels.
- commit 2cb2bec
-------------------------------------------------------------------
Thu Apr 26 18:54:45 CEST 2018 - jslaby@suse.cz
- Linux 4.16.5 (bnc#1012628).
- cifs: smbd: Check for iov length on sending the last iov
(bnc#1012628).
- cifs: do not allow creating sockets except with SMB1 posix
exensions (bnc#1012628).
- btrfs: fix unaligned access in readdir (bnc#1012628).
- btrfs: Fix race condition between delayed refs and blockgroup
removal (bnc#1012628).
- x86/acpi: Prevent X2APIC id 0xffffffff from being accounted
(bnc#1012628).
- clocksource/imx-tpm: Correct -ETIME return condition check
(bnc#1012628).
- posix-cpu-timers: Ensure set_process_cpu_timer is always
evaluated (bnc#1012628).
- x86/tsc: Prevent 32bit truncation in calc_hpet_ref()
(bnc#1012628).
- drm/vc4: Fix memory leak during BO teardown (bnc#1012628).
- drm/i915/gvt: throw error on unhandled vfio ioctls
(bnc#1012628).
- drm/i915/gvt: Add drm_format_mod update (bnc#1012628).
- drm/i915/bios: filter out invalid DDC pins from VBT child
devices (bnc#1012628).
- drm/i915/audio: Fix audio detection issue on GLK (bnc#1012628).
- drm/i915: Do no use kfree() to free a kmem_cache_alloc()
return value (bnc#1012628).
- drm/i915: Fix LSPCON TMDS output buffer enabling from low-power
state (bnc#1012628).
- alarmtimer: Init nanosleep alarm timer on stack (bnc#1012628).
- mm,vmscan: Allow preallocating memory for register_shrinker()
(bnc#1012628).
- netfilter: x_tables: cap allocations at 512 mbyte (bnc#1012628).
- netfilter: x_tables: add counters allocation wrapper
(bnc#1012628).
- netfilter: compat: prepare xt_compat_init_offsets to return
errors (bnc#1012628).
- netfilter: compat: reject huge allocation requests
(bnc#1012628).
- netfilter: x_tables: limit allocation requests for blob rule
heads (bnc#1012628).
- perf: Fix sample_max_stack maximum check (bnc#1012628).
- perf: Return proper values for user stack errors (bnc#1012628).
- RDMA/mlx5: Fix NULL dereference while accessing XRC_TGT QPs
(bnc#1012628).
- Revert "KVM: X86: Fix SMRAM accessing even if VM is shutdown"
(bnc#1012628).
- mac80211_hwsim: fix use-after-free bug in hwsim_exit_net
(bnc#1012628).
- Refresh
patches.suse/btrfs-fs-super.c-add-new-super-block-devices-super_block_d.patch.
- commit 16c5ff9
-------------------------------------------------------------------
Wed Apr 25 15:25:17 CEST 2018 - tiwai@suse.de
- Revert "ath10k: send (re)assoc peer command when NSS changed"
(bsc#1090458).
- commit 3f3a85c
-------------------------------------------------------------------
Tue Apr 24 19:54:23 CEST 2018 - msuchanek@suse.de
- split-modules: use MAKE_ARGS
- commit d8fe174
-------------------------------------------------------------------
Tue Apr 24 17:45:15 CEST 2018 - mcgrof@suse.com
- xfs: set format back to extents if xfs_bmap_extents_to_btree (bsc#1090717, CVE-2018-10323).
- xfs: set format back to extents if xfs_bmap_extents_to_btree
(bsc#1090717, CVE-2018-10323).
- commit ddcd6f2
-------------------------------------------------------------------
Tue Apr 24 16:27:11 CEST 2018 - msuchanek@suse.de
- kernel-binary: pass MAKE_ARGS to install script as well.
- commit ce62ae7
-------------------------------------------------------------------
Tue Apr 24 14:23:23 CEST 2018 - mkubecek@suse.cz
- Update config files.
- commit becf16d
-------------------------------------------------------------------
Tue Apr 24 13:58:15 CEST 2018 - msuchanek@suse.de
- kernel-binary: pass ARCH= to kernel build
Recent kernel does not save CONFIG_64BIT so it has to be specified by
arch.
- commit fb21b73
-------------------------------------------------------------------
Tue Apr 24 13:57:55 CEST 2018 - tiwai@suse.de
- ALSA: usb-audio: Skip broken EU on Dell dock USB-audio
(bsc#1090658).
- commit 5639170
-------------------------------------------------------------------
Tue Apr 24 10:50:13 CEST 2018 - mkubecek@suse.cz
- config: fix i386 configs
Since mainline commit f467c5640c29 ("kconfig: only write '# CONFIG_FOO is
not set' for visible symbols"), make silentoldconfig (or make syncconfig as
it is called now) doesn't add CONFIG_64BIT line into i386 configs if called
with ARCH=i386.
During the build, it's called without ARCH=i386 so that it requests this
option to be entered manually and the build fails. Long term solution would
probably be passing ARCH=%{cpu_arch} to all make commands; for now, just
add CONFIG_64BIT line to i386/pae to fix the build as is.
- commit d9a33ad
-------------------------------------------------------------------
Tue Apr 24 10:49:53 CEST 2018 - jslaby@suse.cz
- Linux 4.16.4 (bnc#1012628).
- Bluetooth: hci_bcm: Add irq_polarity module option
(bnc#1012628).
- cpufreq: CPPC: Use transition_delay_us depending
transition_latency (bnc#1012628).
- cpufreq: armada-37xx: Fix clock leak (bnc#1012628).
- ubifs: Check ubifs_wbuf_sync() return code (bnc#1012628).
- ubi: fastmap: Don't flush fastmap work on detach (bnc#1012628).
- ubi: Fix error for write access (bnc#1012628).
- ubi: Reject MLC NAND (bnc#1012628).
- mm/ksm.c: fix inconsistent accounting of zero pages
(bnc#1012628).
- mm/hmm: fix header file if/else/endif maze (bnc#1012628).
- mm/hmm: hmm_pfns_bad() was accessing wrong struct (bnc#1012628).
- mm: hwpoison: disable memory error handling on 1GB hugepage
(bnc#1012628).
- task_struct: only use anon struct under randstruct plugin
(bnc#1012628).
- fs/reiserfs/journal.c: add missing resierfs_warning() arg
(bnc#1012628).
- ipc/shm: fix use-after-free of shm file via remap_file_pages()
(bnc#1012628).
- mm, slab: reschedule cache_reap() on the same CPU (bnc#1012628).
- usb: musb: gadget: misplaced out of bounds check (bnc#1012628).
- phy: allwinner: sun4i-usb: poll vbus changes on A23/A33 when
driving VBUS (bnc#1012628).
- usb: gadget: udc: core: update usb_ep_queue() documentation
(bnc#1012628).
- ARM64: dts: meson: reduce odroid-c2 eMMC maximum rate
(bnc#1012628).
- KVM: arm/arm64: vgic-its: Fix potential overrun in
vgic_copy_lpi_list (bnc#1012628).
- ARM: EXYNOS: Fix coupled CPU idle freeze on Exynos4210
(bnc#1012628).
- arm: dts: mt7623: fix USB initialization fails on bananapi-r2
(bnc#1012628).
- ARM: dts: at91: at91sam9g25: fix mux-mask pinctrl property
(bnc#1012628).
- ARM: dts: exynos: Fix IOMMU support for GScaler devices on
Exynos5250 (bnc#1012628).
- ARM: dts: at91: sama5d4: fix pinctrl compatible string
(bnc#1012628).
- spi: atmel: init FIFOs before spi enable (bnc#1012628).
- spi: Fix scatterlist elements size in spi_map_buf (bnc#1012628).
- spi: Fix unregistration of controller with fixed SPI bus number
(bnc#1012628).
- media: rc: oops in ir_timer_keyup after device unplug
(bnc#1012628).
- media: atomisp_fops.c: disable atomisp_compat_ioctl32
(bnc#1012628).
- media: vivid: check if the cec_adapter is valid (bnc#1012628).
- media: vb2: core: Finish buffers at the end of the stream
(bnc#1012628).
- media: vsp1: Fix BRx conditional path in WPF (bnc#1012628).
- x86/xen: Delay get_cpu_cap until stack canary is established
(bnc#1012628).
- regmap: Fix reversed bounds check in regmap_raw_write()
(bnc#1012628).
- ACPI / video: Add quirk to force acpi-video backlight on
Samsung 670Z5E (bnc#1012628).
- ACPI / hotplug / PCI: Check presence of slot itself in
get_slot_status() (bnc#1012628).
- acpi, nfit: rework NVDIMM leaf method detection (bnc#1012628).
- USB: gadget: f_midi: fixing a possible double-free in f_midi
(bnc#1012628).
- USB:fix USB3 devices behind USB3 hubs not resuming at hibernate
thaw (bnc#1012628).
- usb: dwc3: prevent setting PRTCAP to OTG from debugfs
(bnc#1012628).
- usb: dwc3: pci: Properly cleanup resource (bnc#1012628).
- usb: dwc3: gadget: never call ->complete() from ->ep_queue()
(bnc#1012628).
- cifs: fix memory leak in SMB2_open() (bnc#1012628).
- fix smb3-encryption breakage when CONFIG_DEBUG_SG=y
(bnc#1012628).
- Tree connect for SMB3.1.1 must be signed for non-encrypted
shares (bnc#1012628).
- cifs: smbd: avoid reconnect lockup (bnc#1012628).
- cifs: smbd: disconnect transport on RDMA errors (bnc#1012628).
- smb3: Fix root directory when server returns inode number of
zero (bnc#1012628).
- HID: i2c-hid: fix size check and type usage (bnc#1012628).
- i2c: i801: Save register SMBSLVCMD value only once
(bnc#1012628).
- i2c: i801: Restore configuration at shutdown (bnc#1012628).
- CIFS: refactor crypto shash/sdesc allocation&free (bnc#1012628).
- CIFS: add sha512 secmech (bnc#1012628).
- CIFS: implement v3.11 preauth integrity (bnc#1012628).
- CIFS: fix sha512 check in cifs_crypto_secmech_release
(bnc#1012628).
- powerpc/64s: Fix pkey support in dt_cpu_ftrs, add CPU_FTR_PKEY
bit (bnc#1012628).
- powerpc/powernv: Handle unknown OPAL errors in
opal_nvram_write() (bnc#1012628).
- powerpc/eeh: Fix race with driver un/bind (bnc#1012628).
- powerpc/64s: Fix dt_cpu_ftrs to have restore_cpu clear unwanted
LPCR bits (bnc#1012628).
- powerpc/64: Call H_REGISTER_PROC_TBL when running as a HPT
guest on POWER9 (bnc#1012628).
- powerpc/64: Fix smp_wmb barrier definition use use lwsync
consistently (bnc#1012628).
- powerpc/kprobes: Fix call trace due to incorrect preempt count
(bnc#1012628).
- powerpc/kexec_file: Fix error code when trying to load kdump
kernel (bnc#1012628).
- powerpc/powernv: define a standard delay for OPAL_BUSY type
retry loops (bnc#1012628).
- powerpc/powernv: Fix OPAL NVRAM driver OPAL_BUSY loops
(bnc#1012628).
- powerpc/mm/radix: Fix checkstops caused by invalid tlbiel
(bnc#1012628).
- ceph: always update atime/mtime/ctime for new inode
(bnc#1012628).
- HID: Fix hid_report_len usage (bnc#1012628).
- HID: core: Fix size as type u32 (bnc#1012628).
- soc: mediatek: fix the mistaken pointer accessed when subdomains
are added (bnc#1012628).
- ASoC: ssm2602: Replace reg_default_raw with reg_default
(bnc#1012628).
- ASoC: topology: Fix kcontrol name string handling (bnc#1012628).
- thunderbolt: Wait a bit longer for ICM to authenticate the
active NVM (bnc#1012628).
- thunderbolt: Serialize PCIe tunnel creation with PCI rescan
(bnc#1012628).
- thunderbolt: Resume control channel after hibernation image
is created (bnc#1012628).
- thunderbolt: Handle connecting device in place of host properly
(bnc#1012628).
- thunderbolt: Prevent crash when ICM firmware is not running
(bnc#1012628).
- irqchip/gic: Take lock when updating irq type (bnc#1012628).
- random: use a tighter cap in credit_entropy_bits_safe()
(bnc#1012628).
- extcon: intel-cht-wc: Set direction and drv flags for V5 boost
GPIO (bnc#1012628).
- block: use 32-bit blk_status_t on Alpha (bnc#1012628).
- jbd2: if the journal is aborted then don't allow update of
the log tail (bnc#1012628).
- ext4: shutdown should not prevent get_write_access
(bnc#1012628).
- ext4: eliminate sleep from shutdown ioctl (bnc#1012628).
- ext4: pass -ESHUTDOWN code to jbd2 layer (bnc#1012628).
- ext4: don't update checksum of new initialized bitmaps
(bnc#1012628).
- ext4: protect i_disksize update by i_data_sem in direct write
path (bnc#1012628).
- ext4: fix offset overflow on 32-bit archs in ext4_iomap_begin()
(bnc#1012628 bsc#1079747).
- ext4: limit xattr size to INT_MAX (bnc#1012628).
- ext4: fail ext4_iget for root directory if unallocated
(bnc#1012628).
- ext4: always initialize the crc32c checksum driver
(bnc#1012628).
- ext4: don't allow r/w mounts if metadata blocks overlap the
superblock (bnc#1012628).
- ext4: move call to ext4_error() into ext4_xattr_check_block()
(bnc#1012628).
- ext4: add bounds checking to ext4_xattr_find_entry()
(bnc#1012628).
- ext4: add extra checks to ext4_xattr_block_get() (bnc#1012628).
- ext4: force revalidation of directory pointer after seekdir(2)
(bnc#1012628).
- dm: backfill abnormal IO support to non-splitting IO submission
(bnc#1012628).
- dm crypt: limit the number of allocated pages (bnc#1012628).
- RDMA/ucma: Don't allow setting RDMA_OPTION_IB_PATH without an
RDMA device (bnc#1012628).
- RDMA/mlx5: Protect from NULL pointer derefence (bnc#1012628).
- RDMA/rxe: Fix an out-of-bounds read (bnc#1012628).
- RDMA/core: Avoid that ib_drain_qp() triggers an out-of-bounds
stack access (bnc#1012628).
- xprtrdma: Fix latency regression on NUMA NFS/RDMA clients
(bnc#1012628).
- xprtrdma: Fix corner cases when handling device removal
(bnc#1012628).
- ALSA: pcm: Avoid potential races between OSS ioctls and
read/write (bnc#1012628).
- ALSA: pcm: Return -EBUSY for OSS ioctls changing busy streams
(bnc#1012628).
- ALSA: pcm: Fix mutex unbalance in OSS emulation ioctls
(bnc#1012628).
- ALSA: pcm: Fix UAF at PCM release via PCM timer access
(bnc#1012628).
- ALSA: pcm: Fix endless loop for XRUN recovery in OSS emulation
(bnc#1012628).
- IB/srp: Fix srp_abort() (bnc#1012628).
- IB/srp: Fix completion vector assignment algorithm
(bnc#1012628).
- IB/srpt: Fix an out-of-bounds stack access in
srpt_zerolength_write() (bnc#1012628).
- drivers/infiniband/core/verbs.c: fix build with gcc-4.4.4
(bnc#1012628).
- drivers/infiniband/ulp/srpt/ib_srpt.c: fix build with gcc-4.4.4
(bnc#1012628).
- dm raid: fix nosync status (bnc#1012628).
- dmaengine: at_xdmac: fix rare residue corruption (bnc#1012628).
- cxl: Fix possible deadlock when processing page faults from
cxllib (bnc#1012628).
- tpm: self test failure should not cause suspend to fail
(bnc#1012628).
- libnvdimm, dimm: fix dpa reservation vs uninitialized label area
(bnc#1012628).
- libnvdimm, namespace: use a safe lookup for dimm device name
(bnc#1012628).
- vsprintf: Do not preprocess non-dereferenced pointers for
bprintf (%px and %pK) (bnc#1012628).
- nfit, address-range-scrub: fix scrub in-progress reporting
(bnc#1012628).
- nfit: skip region registration for incomplete control regions
(bnc#1012628).
- ring-buffer: Check if memory is available before allocation
(bnc#1012628).
- um: Compile with modern headers (bnc#1012628).
- um: Use POSIX ucontext_t instead of struct ucontext
(bnc#1012628).
- iommu/vt-d: Fix a potential memory leak (bnc#1012628).
- mmc: core: Prevent bus reference leak in mmc_blk_init()
(bnc#1012628).
- mmc: jz4740: Fix race condition in IRQ mask update
(bnc#1012628).
- mmc: tmio: Fix error handling when issuing CMD23 (bnc#1012628).
- Revert "drm/amd/display: fix dereferencing possible ERR_PTR()"
(bnc#1012628).
- drm/amd/display: HDMI has no sound after Panel power off/on
(bnc#1012628).
- trace_uprobe: Use %lx to display offset (bnc#1012628).
- PCI: Mark Broadcom HT1100 and HT2000 Root Port Extended Tags
as broken (bnc#1012628).
- clk: mvebu: armada-38x: add support for missing clocks
(bnc#1012628).
- clk: fix false-positive Wmaybe-uninitialized warning
(bnc#1012628).
- clk: mediatek: fix PWM clock source by adding a fixed-factor
clock (bnc#1012628).
- clk: bcm2835: De-assert/assert PLL reset signal when appropriate
(bnc#1012628).
- clk: tegra: Mark HCLK, SCLK and EMC as critical (bnc#1012628).
- pwm: rcar: Fix a condition to prevent mismatch value setting
to duty (bnc#1012628).
- pwm: mediatek: Fix up PWM4 and PWM5 malfunction on MT7623
(bnc#1012628).
- pwm: mediatek: Improve precision in rate calculation
(bnc#1012628).
- thermal: imx: Fix race condition in imx_thermal_probe()
(bnc#1012628).
- dt-bindings: clock: mediatek: add binding for fixed-factor
clock axisel_d4 (bnc#1012628).
- watchdog: f71808e_wdt: Fix WD_EN register read (bnc#1012628).
- drm/amdgpu: Add an ATPX quirk for hybrid laptop (bnc#1012628).
- drm/amdgpu: Fix always_valid bos multiple LRU insertions
(bnc#1012628).
- drm/amdgpu/sdma: fix mask in emit_pipeline_sync (bnc#1012628).
- drm/amdgpu: Fix PCIe lane width calculation (bnc#1012628).
- drm/amdgpu/si: implement get/set pcie_lanes asic callback
(bnc#1012628).
- drm/rockchip: Clear all interrupts before requesting the IRQ
(bnc#1012628).
- drm/radeon: add PX quirk for Asus K73TK (bnc#1012628).
- drm/radeon: Fix PCIe lane width calculation (bnc#1012628).
- ALSA: line6: Use correct endpoint type for midi output
(bnc#1012628).
- ALSA: rawmidi: Fix missing input substream checks in compat
ioctls (bnc#1012628).
- ALSA: hda - New VIA controller suppor no-snoop path
(bnc#1012628).
- ALSA: hda/realtek - set PINCFG_HEADSET_MIC to parse_flags
(bnc#1012628).
- ALSA: hda/realtek - adjust the location of one mic
(bnc#1012628).
- random: fix crng_ready() test (bnc#1012628).
- random: use a different mixing algorithm for
add_device_randomness() (bnc#1012628).
- random: crng_reseed() should lock the crng instance that it
is modifying (bnc#1012628).
- random: add new ioctl RNDRESEEDCRNG (bnc#1012628).
- HID: i2c-hid: Fix resume issue on Raydium touchscreen device
(bnc#1012628).
- HID: input: fix battery level reporting on BT mice
(bnc#1012628).
- HID: hidraw: Fix crash on HIDIOCGFEATURE with a destroyed device
(bnc#1012628).
- HID: wacom: bluetooth: send exit report for recent Bluetooth
devices (bnc#1012628).
- s390: add support for IBM z14 Model ZR1 (bnc#1012628).
- MIPS: uaccess: Add micromips clobbers to bzero invocation
(bnc#1012628).
- MIPS: memset.S: EVA & fault support for small_memset
(bnc#1012628).
- MIPS: memset.S: Fix return of __clear_user from Lpartial_fixup
(bnc#1012628).
- MIPS: memset.S: Fix clobber of v1 in last_fixup (bnc#1012628).
- powerpc/eeh: Fix enabling bridge MMIO windows (bnc#1012628).
- powerpc/xive: Fix trying to "push" an already active pool VP
(bnc#1012628).
- powerpc/lib: Fix off-by-one in alternate feature patching
(bnc#1012628).
- udf: Fix leak of UTF-16 surrogates into encoded strings
(bnc#1012628).
- fanotify: fix logic of events on child (bnc#1012628).
- mmc: sdhci-pci: Only do AMD tuning for HS200 (bnc#1012628).
- drm/i915: Fix hibernation with ACPI S0 target state
(bnc#1012628).
- drm/i915: Correctly handle limited range YCbCr data on VLV/CHV
(bnc#1012628).
- jffs2_kill_sb(): deal with failed allocations (bnc#1012628).
- hypfs_kill_super(): deal with failed allocations (bnc#1012628).
- orangefs_kill_sb(): deal with allocation failures (bnc#1012628).
- rpc_pipefs: fix double-dput() (bnc#1012628).
- Don't leak MNT_INTERNAL away from internal mounts (bnc#1012628).
- libnvdimm, dimm: handle EACCES failures from label reads
(bnc#1012628).
- device-dax: allow MAP_SYNC to succeed (bnc#1012628).
- autofs: mount point create should honour passed in mode
(bnc#1012628).
- mm/filemap.c: fix NULL pointer in page_cache_tree_insert()
(bnc#1012628).
- drm/i915/gvt: init mmio by lri command in vgpu inhibit context
(bnc#1012628).
- HID: i2c-hid: fix inverted return value from i2c_hid_command()
(bnc#1012628).
- writeback: safer lock nesting (bnc#1012628).
- Delete
patches.suse/ext4-llseek-do-not-crop-offset-on-32bit.patch.
- commit 2ebc2ec
-------------------------------------------------------------------
Tue Apr 24 08:34:08 CEST 2018 - mkubecek@suse.cz
- Documentation: typec.rst: Use literal-block element with
ascii art.
- commit 1aebada
-------------------------------------------------------------------
Mon Apr 23 21:19:28 CEST 2018 - jeffm@suse.com
- README.BRANCH: Added Michal Kubecek as co-maintainer.
- commit 53ecad7
-------------------------------------------------------------------
Mon Apr 23 12:35:25 CEST 2018 - mkubecek@suse.cz
- Update to 4.17-rc2
- Eliminated 2 patches
- Config changes:
- s390x:
- KEXEC_FILE=y
- commit 8aad964
-------------------------------------------------------------------
Fri Apr 20 08:00:04 CEST 2018 - mkubecek@suse.cz
- Update to 4.17-rc1.
- Eliminated 55 patches (51 stable 4.16.x)
- ARM configs need updating.
- Config changes:
- x86:
- ACPI_TAD=m
- s390:
- EXPOLINE_FULL=y
- VT=y
- CONSOLE_TRANSLATIONS=y
- VT_CONSOLE=y
- VT_HW_CONSOLE_BINDING=n
- DUMMY_CONSOLE_COLUMNS=80
- DUMMY_CONSOLE_ROWS=25
- SPEAKUP=n
- Network:
- NF_TABLES_INET=y
- NF_TABLES_NETDEV=y
- NF_TABLES_ARP=y
- NF_TABLES_BRIDGE=y
- NET_EMATCH_IPT=m
- ICE=m
- RSI_COEX=y
- IEEE802154_MCR20A=m
- INFINIBAND_EXP_LEGACY_VERBS_NEW_UAPI=n
- Filesystems:
- OVERLAY_FS_XINO_AUTO=n
- PSTORE_DEFLATE_COMPRESS=m
- PSTORE_LZ4HC_COMPRESS=m
- PSTORE_842_COMPRESS=n
- PSTORE_DEFLATE_COMPRESS_DEFAULT=deflate
- Crypto:
- CRYPTO_CFB=m
- CRYPTO_SM4=m
- CRYPTO_SPECK=m
- CRYPTO_DEV_CHELSIO_TLS=m
- Input:
- JOYSTICK_PXRC=m
- HID_ELAN=m
- HID_GOOGLE_HAMMER=m
- Sound:
- SND_SOC_INTEL_CHT_BSW_NAU8824_MACH=m
- SND_SOC_INTEL_KBL_DA7219_MAX98357A_MACH=m
- SND_SOC_AK4458=n
- SND_SOC_AK5558=n
- SND_SOC_BD28623=n
- SND_SOC_MAX9867=n
- SND_SOC_PCM1789_I2C=n
- SND_SOC_TDA7419=m
- SND_SOC_MAX9759=n
- USB:
- TYPEC_MUX_PI3USB30532=m
- USB_ROLES_INTEL_XHCI=m
- GPIO:
- GPIO_104_DIO_48E=m
- GPIO_104_IDIO_16=m
- GPIO_104_IDI_48=m
- GPIO_GPIO_MM=m
- GPIO_WINBOND=m
- GPIO_WS16C48=m
- Media:
- CEC_PIN_ERROR_INJ=n
- CXD2880_SPI_DRV=m
- MTK_MMC=n
- IIO:
- 104_QUAD_8=m
- CIO_DAC=n
- AD5272=m
- MCP4018=m
- MLX90632=m
- Other:
- THERMAL_STATISTICS=y
- EBC_C384_WDT=m
- LEDS_MLXREG=m
- COMMON_CLK_SI544=n
- STX104=n
- LV0104CS=n
- Remote controlers:
- IR_IMON_DECODER=m
- IR_IMON_RAW=m
- INTEL_TH_ACPI=m
- Debugging:
- DEBUG_RWSEMS=n
- commit 4e61ecf
-------------------------------------------------------------------
Fri Apr 20 07:55:45 CEST 2018 - mkubecek@suse.cz
- config: enable TCP_MD5SIG (bsc#1090162)
- commit 1cfc938
-------------------------------------------------------------------
Fri Apr 20 07:30:52 CEST 2018 - mkubecek@suse.cz
- x86/power/64: Fix page-table setup for temporary text mapping
(https://patchwork.kernel.org/patch/10342491/).
- x86/ldt: Fix support_pte_mask filtering in map_ldt_struct()
(https://patchwork.kernel.org/patch/10342491/).
- commit 3c56473
-------------------------------------------------------------------
Fri Apr 20 00:42:19 CEST 2018 - msuchanek@suse.de
- HID: redragon: Fix modifier keys for Redragon Asura Keyboard
(https://build.opensuse.org/request/show/597583).
Update config files.
- commit e9bd8ea
-------------------------------------------------------------------
Thu Apr 19 22:52:31 CEST 2018 - jslaby@suse.cz
- Linux 4.16.3 (bnc#1012628).
- cdc_ether: flag the Cinterion AHS8 modem by gemalto as WWAN
(bnc#1012628).
- rds: MP-RDS may use an invalid c_path (bnc#1012628).
- slip: Check if rstate is initialized before uncompressing
(bnc#1012628).
- vhost: fix vhost_vq_access_ok() log check (bnc#1012628).
- l2tp: fix races in tunnel creation (bnc#1012628).
- l2tp: fix race in duplicate tunnel detection (bnc#1012628).
- ip_gre: clear feature flags when incompatible o_flags are set
(bnc#1012628).
- vhost: Fix vhost_copy_to_user() (bnc#1012628).
- lan78xx: Correctly indicate invalid OTP (bnc#1012628).
- sparc64: Properly range check DAX completion index
(bnc#1012628).
- media: v4l2-compat-ioctl32: don't oops on overlay (bnc#1012628).
- media: v4l: vsp1: Fix header display list status check in
continuous mode (bnc#1012628).
- ipmi: Fix some error cleanup issues (bnc#1012628).
- parisc: Fix out of array access in match_pci_device()
(bnc#1012628).
- parisc: Fix HPMC handler by increasing size to multiple of 16
bytes (bnc#1012628).
- iwlwifi: add a bunch of new 9000 PCI IDs (bnc#1012628).
- Drivers: hv: vmbus: do not mark HV_PCIE as perf_device
(bnc#1012628).
- PCI: hv: Serialize the present and eject work items
(bnc#1012628).
- PCI: hv: Fix 2 hang issues in hv_compose_msi_msg()
(bnc#1012628).
- KVM: PPC: Book3S HV: trace_tlbie must not be called in realmode
(bnc#1012628).
- perf intel-pt: Fix overlap detection to identify consecutive
buffers correctly (bnc#1012628).
- perf intel-pt: Fix sync_switch (bnc#1012628).
- perf intel-pt: Fix error recovery from missing TIP packet
(bnc#1012628).
- perf intel-pt: Fix timestamp following overflow (bnc#1012628).
- perf/core: Fix use-after-free in uprobe_perf_close()
(bnc#1012628).
- radeon: hide pointless #warning when compile testing
(bnc#1012628).
- x86/mce/AMD: Pass the bank number to smca_get_bank_type()
(bnc#1012628).
- x86/mce/AMD, EDAC/mce_amd: Enumerate Reserved SMCA bank type
(bnc#1012628).
- x86/mce/AMD: Get address from already initialized block
(bnc#1012628).
- ath9k: Protect queue draining by rcu_read_lock() (bnc#1012628).
- x86/uapi: Fix asm/bootparam.h userspace compilation errors
(bnc#1012628).
- x86/apic: Fix signedness bug in APIC ID validity checks
(bnc#1012628).
- sunrpc: remove incorrect HMAC request initialization
(bnc#1012628).
- f2fs: fix heap mode to reset it back (bnc#1012628).
- block: Change a rcu_read_{lock,unlock}_sched() pair into
rcu_read_{lock,unlock}() (bnc#1012628).
- nvme: Skip checking heads without namespaces (bnc#1012628).
- lib: fix stall in __bitmap_parselist() (bnc#1012628).
- zboot: fix stack protector in compressed boot phase
(bnc#1012628).
- blk-mq: Directly schedule q->timeout_work when aborting a
request (bnc#1012628).
- blk-mq: order getting budget and driver tag (bnc#1012628).
- blk-mq: make sure that correct hctx->next_cpu is set
(bnc#1012628).
- blk-mq: don't keep offline CPUs mapped to hctx 0 (bnc#1012628).
- ovl: Set d->last properly during lookup (bnc#1012628).
- ovl: fix lookup with middle layer opaque dir and absolute path
redirects (bnc#1012628).
- ovl: set i_ino to the value of st_ino for NFS export
(bnc#1012628).
- ovl: set lower layer st_dev only if setting lower st_ino
(bnc#1012628).
- xen: xenbus_dev_frontend: Fix XS_TRANSACTION_END handling
(bnc#1012628).
- hugetlbfs: fix bug in pgoff overflow checking (bnc#1012628).
- nfsd: fix incorrect umasks (bnc#1012628).
- scsi: scsi_dh: Don't look for NULL devices handlers by name
(bnc#1012628).
- scsi: qla2xxx: Fix small memory leak in qla2x00_probe_one on
probe failure (bnc#1012628).
- Revert "scsi: core: return BLK_STS_OK for DID_OK in
__scsi_error_from_host_byte()" (bnc#1012628).
- apparmor: fix logging of the existence test for signals
(bnc#1012628).
- apparmor: fix display of .ns_name for containers (bnc#1012628).
- apparmor: fix resource audit messages when auditing peer
(bnc#1012628).
- block/loop: fix deadlock after loop_set_status (bnc#1012628).
- nfit: fix region registration vs block-data-window ranges
(bnc#1012628).
- s390/qdio: don't retry EQBS after CCQ 96 (bnc#1012628).
- s390/qdio: don't merge ERROR output buffers (bnc#1012628).
- s390/ipl: ensure loadparm valid flag is set (bnc#1012628).
- s390/compat: fix setup_frame32 (bnc#1012628).
- get_user_pages_fast(): return -EFAULT on access_ok failure
(bnc#1012628).
- mm/gup_benchmark: handle gup failures (bnc#1012628).
- getname_kernel() needs to make sure that ->name != ->iname in
long case (bnc#1012628).
- Bluetooth: Fix connection if directed advertising and privacy
is used (bnc#1012628).
- Bluetooth: hci_bcm: Treat Interrupt ACPI resources as always
being active-low (bnc#1012628).
- rtl8187: Fix NULL pointer dereference in priv->conf_mutex
(bnc#1012628).
- Refresh patches.suse/0001-AppArmor-basic-networking-rules.patch.
- commit 771261a
-------------------------------------------------------------------
Thu Apr 19 17:47:45 CEST 2018 - tiwai@suse.de
- resource: fix integer overflow at reallocation (bsc#1086739).
- commit 4cf2593
-------------------------------------------------------------------
Thu Apr 19 17:26:11 CEST 2018 - tiwai@suse.de
- Update tags of upstreamed patches
Refresh
patches.suse/Revert-drm-amd-display-disable-CRTCs-with-NULL-FB.patch
patches.suse/media-v4l2-core-fix-size-of-devnode_nums-bitarray.patch
patches.suse/swiotlb-Fix-unexpected-swiotlb_alloc_coherent-failur.patch
- commit e2aa76d
-------------------------------------------------------------------
Thu Apr 19 15:42:41 CEST 2018 - msuchanek@suse.de
- objtool, perf: Fix GCC 8 -Wrestrict error (bsc#1084620).
- commit 0c6114f
-------------------------------------------------------------------
Wed Apr 18 14:16:28 CEST 2018 - msuchanek@suse.de
- supported.conf: update from openSUSE-15.0
- commit 4ef3f17
-------------------------------------------------------------------
Mon Apr 16 13:45:34 CEST 2018 - tiwai@suse.de
- Revert "drm/amd/display: disable CRTCs with NULL FB on their
primary plane (V2)" (bsc#1089615, bsc#1088902).
- commit e881e16
-------------------------------------------------------------------
Mon Apr 16 11:22:14 CEST 2018 - mbrugger@suse.com
- arm64: Update config files. (bsc#1089764)
Increase NR_CPUS to 384
- commit 6f06d9e
-------------------------------------------------------------------
Thu Apr 12 14:50:41 CEST 2018 - jslaby@suse.cz
- Linux 4.16.2 (bnc#1012628).
- nfp: use full 40 bits of the NSP buffer address (bnc#1012628).
- net_sched: fix a missing idr_remove() in u32_delete_key()
(bnc#1012628).
- vti6: better validate user provided tunnel names (bnc#1012628).
- ip6_tunnel: better validate user provided tunnel names
(bnc#1012628).
- ip6_gre: better validate user provided tunnel names
(bnc#1012628).
- ipv6: sit: better validate user provided tunnel names
(bnc#1012628).
- ip_tunnel: better validate user provided tunnel names
(bnc#1012628).
- net: fool proof dev_valid_name() (bnc#1012628).
- vlan: also check phy_driver ts_info for vlan's real device
(bnc#1012628).
- sky2: Increase D3 delay to sky2 stops working after suspend
(bnc#1012628).
- sctp: sctp_sockaddr_af must check minimal addr length for
AF_INET6 (bnc#1012628).
- sctp: do not leak kernel memory to user space (bnc#1012628).
- pptp: remove a buggy dst release in pptp_connect()
(bnc#1012628).
- net/sched: fix NULL dereference in the error path of
tcf_bpf_init() (bnc#1012628).
- net/ipv6: Increment OUTxxx counters after netfilter hook
(bnc#1012628).
- net: dsa: Discard frames from unused ports (bnc#1012628).
- arp: fix arp_filter on l3slave devices (bnc#1012628).
- sparc64: Oracle DAX driver depends on SPARC64 (bnc#1012628).
- commit 8ea896b
-------------------------------------------------------------------
Wed Apr 11 11:59:12 CEST 2018 - jslaby@suse.cz
- Update config files.
s390x/vanilla fails to build without this.
- commit e8d83e8
-------------------------------------------------------------------
Tue Apr 10 20:01:19 CEST 2018 - tiwai@suse.de
- swiotlb: Fix unexpected swiotlb_alloc_coherent() failures
(bsc#1088658, bsc#1088902).
- commit 096b538
-------------------------------------------------------------------
Tue Apr 10 17:03:50 CEST 2018 - msuchanek@suse.de
- Add azure kernel description.
- commit 044dbe8
-------------------------------------------------------------------
Mon Apr 9 12:28:40 CEST 2018 - tiwai@suse.de
- media: v4l2-core: fix size of devnode_nums[] bitarray
(bsc#1088640).
- commit 6fcb3b5
-------------------------------------------------------------------
Mon Apr 9 08:15:40 CEST 2018 - jslaby@suse.cz
- Linux 4.16.1 (bnc#1012628).
- signal: Correct the offset of si_pkey and si_lower in struct
siginfo on m68k (bnc#1012628).
- Fix slab name "biovec-(1<<(21-12))" (bnc#1012628).
- vt: change SGR 21 to follow the standards (bnc#1012628).
- Input: i8042 - enable MUX on Sony VAIO VGN-CS series to fix
touchpad (bnc#1012628).
- Input: i8042 - add Lenovo ThinkPad L460 to i8042 reset list
(bnc#1012628).
- Input: ALPS - fix TrackStick detection on Thinkpad L570 and
Latitude 7370 (bnc#1012628).
- Revert "base: arch_topology: fix section mismatch build
warnings" (bnc#1012628).
- staging: comedi: ni_mio_common: ack ai fifo error interrupts
(bnc#1012628).
- siox: fix possible buffer overflow in device_add_store
(bnc#1012628).
- Btrfs: fix unexpected cow in run_delalloc_nocow (bnc#1012628).
- Bluetooth: hci_bcm: Add 6 new ACPI HIDs (bnc#1012628).
- crypto: x86/cast5-avx - fix ECB encryption when long sg follows
short one (bnc#1012628).
- crypto: arm,arm64 - Fix random regeneration of S_shipped
(bnc#1012628).
- crypto: ccp - return an actual key size from RSA max_size
callback (bnc#1012628).
- crypto: caam - Fix null dereference at error path (bnc#1012628).
- crypto: ahash - Fix early termination in hash walk
(bnc#1012628).
- crypto: talitos - fix IPsec cipher in length (bnc#1012628).
- crypto: testmgr - Fix incorrect values in PKCS#1 test vector
(bnc#1012628).
- crypto: inside-secure - fix clock management (bnc#1012628).
- crypto: talitos - don't persistently map req_ctx->hw_context
and req_ctx->buf (bnc#1012628).
- crypto: ccp - Fill the result buffer only on digest, finup,
and final ops (bnc#1012628).
- crypto: lrw - Free rctx->ext with kzfree (bnc#1012628).
- parport_pc: Add support for WCH CH382L PCI-E single parallel
port card (bnc#1012628).
- media: usbtv: prevent double free in error case (bnc#1012628).
- /dev/mem: Avoid overwriting "err" in read_mem() (bnc#1012628).
- mei: remove dev_err message on an unsupported ioctl
(bnc#1012628).
- serial: 8250: Add Nuvoton NPCM UART (bnc#1012628).
- USB: serial: cp210x: add ELDAT Easywave RX09 id (bnc#1012628).
- USB: serial: ftdi_sio: add support for Harman
FirmwareHubEmulator (bnc#1012628).
- USB: serial: ftdi_sio: add RT Systems VX-8 cable (bnc#1012628).
- bitmap: fix memset optimization on big-endian systems
(bnc#1012628).
- commit 4bf9d1e
-------------------------------------------------------------------
Fri Apr 6 10:06:22 CEST 2018 - agraf@suse.de
- armv6hl: Disable uacces with memcpy (boo#1080435)
- commit 2592f12
-------------------------------------------------------------------
Thu Apr 5 00:15:45 CEST 2018 - rgoldwyn@suse.com
- apparmor: Check all profiles attached to the label (bsc#1085996).
- commit b249c9e
-------------------------------------------------------------------
Wed Apr 4 15:34:30 CEST 2018 - jslaby@suse.cz
- Revert "tools: fix cross-compile var clobbering" (build fix).
- commit 590ff92
-------------------------------------------------------------------
Mon Apr 2 16:19:10 CEST 2018 - jeffm@suse.com
- Update to 4.16-final.
- commit 1b10c5b
-------------------------------------------------------------------
Sat Mar 31 21:42:52 CEST 2018 - jslaby@suse.cz
- Linux 4.15.15 (bnc#1012628).
- team: Fix double free in error path (bnc#1012628).
- skbuff: Fix not waking applications when errors are enqueued
(bnc#1012628).
- qede: Fix qedr link update (bnc#1012628).
- net: systemport: Rewrite __bcm_sysport_tx_reclaim()
(bnc#1012628).
- net: Only honor ifindex in IP_PKTINFO if non-0 (bnc#1012628).
- netlink: avoid a double skb free in genlmsg_mcast()
(bnc#1012628).
- net/iucv: Free memory obtained by kzalloc (bnc#1012628).
- net: fec: Fix unbalanced PM runtime calls (bnc#1012628).
- net: ethernet: ti: cpsw: add check for in-band mode setting
with RGMII PHY interface (bnc#1012628).
- commit 4904fc3
-------------------------------------------------------------------
Sat Mar 31 21:40:22 CEST 2018 - jslaby@suse.cz
- net: ethernet: arc: Fix a potential memory leak if an optional
regulator is deferred (bnc#1012628).
- l2tp: do not accept arbitrary sockets (bnc#1012628).
- ipv6: fix access to non-linear packet in
ndisc_fill_redirect_hdr_option() (bnc#1012628).
- dccp: check sk for closed state in dccp_sendmsg() (bnc#1012628).
- dpaa_eth: remove duplicate increment of the tx_errors counter
(bnc#1012628).
- dpaa_eth: increment the RX dropped counter when needed
(bnc#1012628).
- dpaa_eth: remove duplicate initialization (bnc#1012628).
- dpaa_eth: fix error in dpaa_remove() (bnc#1012628).
- soc/fsl/qbman: fix issue in qman_delete_cgr_safe()
(bnc#1012628).
- s390/qeth: on channel error, reject further cmd requests
(bnc#1012628).
- s390/qeth: lock read device while queueing next buffer
(bnc#1012628).
- s390/qeth: when thread completes, wake up all waiters
(bnc#1012628).
- s390/qeth: free netdevice when removing a card (bnc#1012628).
- net: Fix hlist corruptions in inet_evict_bucket() (bnc#1012628).
- net: use skb_to_full_sk() in skb_update_prio() (bnc#1012628).
- ieee802154: 6lowpan: fix possible NULL deref in
lowpan_device_event() (bnc#1012628).
- sch_netem: fix skb leak in netem_enqueue() (bnc#1012628).
- kcm: lock lower socket in kcm_attach (bnc#1012628).
- test_rhashtable: add test case for rhltable with duplicate
objects (bnc#1012628).
- rhashtable: Fix rhlist duplicates insertion (bnc#1012628).
- ppp: avoid loop in xmit recursion detection code (bnc#1012628).
- net sched actions: return explicit error when tunnel_key mode
is not specified (bnc#1012628).
- ipv6: Reflect MTU changes on PMTU of exceptions for MTU-less
routes (bnc#1012628).
- net: phy: Tell caller result of phy_change() (bnc#1012628).
- mlxsw: spectrum_buffers: Set a minimum quota for CPU port
traffic (bnc#1012628).
- ipv6: sr: fix scheduling in RCU when creating seg6 lwtunnel
state (bnc#1012628).
- ipv6: sr: fix NULL pointer dereference when setting encap
source address (bnc#1012628).
- ipv6: old_dport should be a __be16 in __ip6_datagram_connect()
(bnc#1012628).
- net: ipv6: keep sk status consistent after datagram connect
failure (bnc#1012628).
- macvlan: filter out unsupported feature flags (bnc#1012628).
- devlink: Remove redundant free on error path (bnc#1012628).
- net: phy: relax error checking when creating sysfs link
netdev->phydev (bnc#1012628).
- sysfs: symlink: export sysfs_create_link_nowarn() (bnc#1012628).
- qed: Fix non TCP packets should be dropped on iWARP ll2
connection (bnc#1012628).
- tcp: purge write queue upon aborting the connection
(bnc#1012628).
- qed: Fix MPA unalign flow in case header is split across two
packets (bnc#1012628).
- openvswitch: meter: fix the incorrect calculation of max delta_t
(bnc#1012628).
- net: dsa: Fix dsa_is_user_port() test inversion (bnc#1012628).
- commit 0e7b541
-------------------------------------------------------------------
Thu Mar 29 09:56:18 CEST 2018 - jslaby@suse.cz
- Linux 4.15.14 (bnc#1012628).
- MIPS: ralink: Remove ralink_halt() (bnc#1012628).
- MIPS: ralink: Fix booting on MT7621 (bnc#1012628).
- MIPS: lantiq: Fix Danube USB clock (bnc#1012628).
- MIPS: lantiq: Enable AHB Bus for USB (bnc#1012628).
- MIPS: lantiq: ase: Enable MFD_SYSCON (bnc#1012628).
- iio: chemical: ccs811: Corrected firmware boot/application
mode transition (bnc#1012628).
- iio: st_pressure: st_accel: pass correct platform data to init
(bnc#1012628).
- iio: adc: meson-saradc: unlock on error in meson_sar_adc_lock()
(bnc#1012628).
- ALSA: usb-audio: Fix parsing descriptor of UAC2 processing unit
(bnc#1012628).
- ALSA: aloop: Sync stale timer before release (bnc#1012628).
- ALSA: aloop: Fix access to not-yet-ready substream via cable
(bnc#1012628).
- ALSA: hda - Force polling mode on CFL for fixing codec
communication (bnc#1012628).
- ALSA: hda/realtek - Fix speaker no sound after system resume
(bnc#1012628).
- ALSA: hda/realtek - Fix Dell headset Mic can't record
(bnc#1012628).
- ALSA: hda/realtek - Always immediately update mute LED with
pin VREF (bnc#1012628).
- mmc: core: Fix tracepoint print of blk_addr and blksz
(bnc#1012628).
- mmc: core: Disable HPI for certain Micron (Numonyx) eMMC cards
(bnc#1012628).
- mmc: block: fix updating ext_csd caches on ioctl call
(bnc#1012628).
- mmc: dw_mmc: Fix the DTO/CTO timeout overflow calculation for
32-bit systems (bnc#1012628).
- mmc: dw_mmc: exynos: fix the suspend/resume issue for exynos5433
(bnc#1012628).
- mmc: dw_mmc: fix falling from idmac to PIO mode when
dw_mci_reset occurs (bnc#1012628).
- PCI: Add function 1 DMA alias quirk for Highpoint RocketRAID
644L (bnc#1012628).
- ahci: Add PCI-id for the Highpoint Rocketraid 644L card
(bnc#1012628).
- lockdep: fix fs_reclaim warning (bnc#1012628).
- clk: bcm2835: Fix ana->maskX definitions (bnc#1012628).
- clk: bcm2835: Protect sections updating shared registers
(bnc#1012628).
- clk: sunxi-ng: a31: Fix CLK_OUT_* clock ops (bnc#1012628).
- RDMA/mlx5: Fix crash while accessing garbage pointer and freed
memory (bnc#1012628).
- Drivers: hv: vmbus: Fix ring buffer signaling (bnc#1012628).
- pinctrl: samsung: Validate alias coming from DT (bnc#1012628).
- Bluetooth: btusb: Remove Yoga 920 from the
btusb_needs_reset_resume_table (bnc#1012628).
- Bluetooth: btusb: Add Dell OptiPlex 3060 to
btusb_needs_reset_resume_table (bnc#1012628).
- libata: fix length validation of ATAPI-relayed SCSI commands
(bnc#1012628).
- libata: remove WARN() for DMA or PIO command without data
(bnc#1012628).
- libata: don't try to pass through NCQ commands to non-NCQ
devices (bnc#1012628).
- libata: Apply NOLPM quirk to Crucial MX100 512GB SSDs
(bnc#1012628).
- libata: disable LPM for Crucial BX100 SSD 500GB drive
(bnc#1012628).
- libata: Enable queued TRIM for Samsung SSD 860 (bnc#1012628).
- libata: Apply NOLPM quirk to Crucial M500 480 and 960GB SSDs
(bnc#1012628).
- libata: Make Crucial BX100 500GB LPM quirk apply to all firmware
versions (bnc#1012628).
- libata: Modify quirks for MX100 to limit NCQ_TRIM quirk to
MU01 version (bnc#1012628).
- sched, cgroup: Don't reject lower cpu.max on ancestors
(bnc#1012628).
- cgroup: fix rule checking for threaded mode switching
(bnc#1012628).
- nfsd: remove blocked locks on client teardown (bnc#1012628).
- media: tegra-cec: reset rx_buf_cnt when start bit detected
(bnc#1012628).
- hugetlbfs: check for pgoff value overflow (bnc#1012628).
- h8300: remove extraneous __BIG_ENDIAN definition (bnc#1012628).
- mm/vmalloc: add interfaces to free unmapped page table
(bnc#1012628).
- x86/mm: implement free pmd/pte page interfaces (bnc#1012628).
- mm/khugepaged.c: convert VM_BUG_ON() to collapse fail
(bnc#1012628).
- mm/thp: do not wait for lock_page() in deferred_split_scan()
(bnc#1012628).
- mm/shmem: do not wait for lock_page() in
shmem_unused_huge_shrink() (bnc#1012628).
- Revert "mm: page_alloc: skip over regions of invalid pfns
where possible" (bnc#1012628).
- drm/vmwgfx: Fix black screen and device errors when running
without fbdev (bnc#1012628).
- drm/vmwgfx: Fix a destoy-while-held mutex problem (bnc#1012628).
- drm/radeon: Don't turn off DP sink when disconnected
(bnc#1012628).
- drm/amd/display: We shouldn't set format_default on plane as
atomic driver (bnc#1012628).
- drm/amd/display: Add one to EDID's audio channel count when
passing to DC (bnc#1012628).
- drm: Reject getfb for multi-plane framebuffers (bnc#1012628).
- drm: udl: Properly check framebuffer mmap offsets (bnc#1012628).
- mm/vmscan: wake up flushers for legacy cgroups too
(bnc#1012628).
- module: propagate error in modules_open() (bnc#1012628).
- acpi, numa: fix pxm to online numa node associations
(bnc#1012628).
- ACPI / watchdog: Fix off-by-one error at resource assignment
(bnc#1012628).
- libnvdimm, {btt, blk}: do integrity setup before add_disk()
(bnc#1012628).
- brcmfmac: fix P2P_DEVICE ethernet address generation
(bnc#1012628).
- rtlwifi: rtl8723be: Fix loss of signal (bnc#1012628).
- tracing: probeevent: Fix to support minus offset from symbol
(bnc#1012628).
- mtdchar: fix usage of mtd_ooblayout_ecc() (bnc#1012628).
- mtd: nand: fsl_ifc: Fix nand waitfunc return value
(bnc#1012628).
- mtd: nand: fsl_ifc: Fix eccstat array overflow for IFC ver >=
2.0.0 (bnc#1012628).
- mtd: nand: fsl_ifc: Read ECCSTAT0 and ECCSTAT1 registers for
IFC 2.0 (bnc#1012628).
- can: peak/pcie_fd: fix echo_skb is occupied! bug (bnc#1012628).
- can: peak/pcie_fd: remove useless code when interface starts
(bnc#1012628).
- can: ifi: Repair the error handling (bnc#1012628).
- can: ifi: Check core revision upon probe (bnc#1012628).
- can: cc770: Fix stalls on rt-linux, remove redundant IRQ ack
(bnc#1012628).
- can: cc770: Fix queue stall & dropped RTR reply (bnc#1012628).
- can: cc770: Fix use after free in cc770_tx_interrupt()
(bnc#1012628).
- tty: vt: fix up tabstops properly (bnc#1012628).
- selftests/x86/ptrace_syscall: Fix for yet more glibc
interference (bnc#1012628).
- x86/vsyscall/64: Use proper accessor to update P4D entry
(bnc#1012628).
- x86/efi: Free efi_pgd with free_pages() (bnc#1012628).
- posix-timers: Protect posix clock array access against
speculation (bnc#1012628).
- kvm/x86: fix icebp instruction handling (bnc#1012628).
- x86/build/64: Force the linker to use 2MB page size
(bnc#1012628).
- x86/boot/64: Verify alignment of the LOAD segment (bnc#1012628).
- hwmon: (k10temp) Only apply temperature offset if result is
positive (bnc#1012628).
- hwmon: (k10temp) Add temperature offset for Ryzen 1900X
(bnc#1012628).
- perf/x86/intel/uncore: Fix Skylake UPI event format
(bnc#1012628).
- perf stat: Fix CVS output format for non-supported counters
(bnc#1012628).
- perf/core: Fix ctx_event_type in ctx_resched() (bnc#1012628).
- trace/bpf: remove helper bpf_perf_prog_read_value from
tracepoint type programs (bnc#1012628).
- perf/x86/intel: Don't accidentally clear high bits in
bdw_limit_period() (bnc#1012628).
- perf/x86/intel/uncore: Fix multi-domain PCI CHA enumeration
bug on Skylake servers (bnc#1012628).
- iio: ABI: Fix name of timestamp sysfs file (bnc#1012628).
- iio: imu: st_lsm6dsx: fix endianness in
st_lsm6dsx_read_oneshot() (bnc#1012628).
- iio: imu: st_lsm6dsx: introduce conf_lock mutex (bnc#1012628).
- staging: android: ion: Zero CMA allocated memory (bnc#1012628).
- kbuild: disable clang's default use of -fmerge-all-constants
(bnc#1012628).
- bpf: skip unnecessary capability check (bnc#1012628).
- bpf, x64: increase number of passes (bnc#1012628).
- commit def7e44
-------------------------------------------------------------------
Wed Mar 28 02:56:01 CEST 2018 - neilb@suse.com
- staging: ncpfs: memory corruption in ncp_read_kernel()
(bsc#1086162, CVE-2018-8822).
- commit a967c04
-------------------------------------------------------------------
Tue Mar 27 16:22:18 CEST 2018 - bp@suse.de
- x86/entry/64: Don't use IST entry for #BP stack (bsc#1087088).
- commit 67a9975
-------------------------------------------------------------------
Mon Mar 26 16:57:24 CEST 2018 - tiwai@suse.de
- brcmsmac: allocate ucode with GFP_KERNEL (bsc#1085174).
- commit 580a38a
-------------------------------------------------------------------
Mon Mar 26 09:52:25 CEST 2018 - jslaby@suse.cz
- Update vanilla config files.
- commit 9beaab6
-------------------------------------------------------------------
Mon Mar 26 04:09:50 CEST 2018 - bpoirier@suse.com
- net: phy: mdio-bcm-unimac: fix potential NULL dereference in
unimac_mdio_probe() (bsc#1084829 CVE-2018-8043).
- commit 7b5f96b
-------------------------------------------------------------------
Mon Mar 26 03:09:53 CEST 2018 - jeffm@suse.com
- Update to 4.16-rc7.
- Eliminated 1 patch.
- commit 7a36f2f
-------------------------------------------------------------------
Sun Mar 25 10:33:26 CEST 2018 - jslaby@suse.cz
- Linux 4.15.13 (bnc#1012628).
- RDMA/ucma: Don't allow join attempts for unsupported AF family
(bnc#1012628).
- RDMA/ucma: Fix access to non-initialized CM_ID object
(bnc#1012628).
- clk: migrate the count of orphaned clocks at init (bnc#1012628).
- RDMA/core: Do not use invalid destination in determining port
reuse (bnc#1012628).
- serial: 8250_pci: Don't fail on multiport card class
(bnc#1012628).
- RDMA/vmw_pvrdma: Fix usage of user response structures in ABI
file (bnc#1012628).
- IB/mlx5: Fix out-of-bounds read in create_raw_packet_qp_rq
(bnc#1012628).
- IB/mlx5: Fix integer overflows in mlx5_ib_create_srq
(bnc#1012628).
- dmaengine: ti-dma-crossbar: Fix event mapping for
TPCC_EVT_MUX_60_63 (bnc#1012628).
- hwrng: core - Clean up RNG list when last hwrng is unregistered
(bnc#1012628).
- crypto: artpec6 - set correct iv size for gcm(aes)
(bnc#1012628).
- clk: si5351: Rename internal plls to avoid name collisions
(bnc#1012628).
- clk: axi-clkgen: Correctly handle nocount bit in recalc_rate()
(bnc#1012628).
- clk: Don't touch hardware when reparenting during registration
(bnc#1012628).
- clk: at91: pmc: Wait for clocks when resuming (bnc#1012628).
- nfsd4: permit layoutget of executable-only files (bnc#1012628).
- ARM: dts: aspeed-evb: Add unit name to memory node
(bnc#1012628).
- RDMA/ocrdma: Fix permissions for OCRDMA_RESET_STATS
(bnc#1012628).
- scsi: lpfc: Fix issues connecting with nvme initiator
(bnc#1012628).
- scsi: lpfc: Fix SCSI LUN discovery when SCSI and NVME enabled
(bnc#1012628).
- soc: qcom: smsm: fix child-node lookup (bnc#1012628).
- ip_gre: fix potential memory leak in erspan_rcv (bnc#1012628).
- ip_gre: fix error path when erspan_rcv failed (bnc#1012628).
- ip6_vti: adjust vti mtu according to mtu of lower device
(bnc#1012628).
- iommu/vt-d: clean up pr_irq if request_threaded_irq fails
(bnc#1012628).
- pinctrl: rockchip: enable clock when reading pin direction
register (bnc#1012628).
- pinctrl: Really force states during suspend/resume
(bnc#1012628).
- clk: use round rate to bail out early in set_rate (bnc#1012628).
- dt-bindings: display: panel: Fix compatible string for Toshiba
LT089AC29000 (bnc#1012628).
- clk: check ops pointer on clock register (bnc#1012628).
- media: davinci: fix a debug printk (bnc#1012628).
- PCI: rcar: Handle rcar_pcie_parse_request_of_pci_ranges()
failures (bnc#1012628).
- PCI: endpoint: Fix find_first_zero_bit() usage (bnc#1012628).
- PCI: designware-ep: Fix ->get_msi() to check MSI_EN bit
(bnc#1012628).
- coresight: Fix disabling of CoreSight TPIU (bnc#1012628).
- pty: cancel pty slave port buf's work in tty_release
(bnc#1012628).
- drm/omap: DMM: Check for DMM readiness after successful
transaction commit (bnc#1012628).
- mmc: sdhci-xenon: wait 5ms after set 1.8V signal enable
(bnc#1012628).
- omapdrm: panel: fix compatible vendor string for td028ttec1
(bnc#1012628).
- vgacon: Set VGA struct resource types (bnc#1012628).
- PCI/ASPM: Calculate LTR_L1.2_THRESHOLD from device
characteristics (bnc#1012628).
- bpf/cgroup: fix a verification error for a CGROUP_DEVICE type
prog (bnc#1012628).
- iser-target: avoid reinitializing rdma contexts for isert
commands (bnc#1012628).
- IB/umem: Fix use of npages/nmap fields (bnc#1012628).
- RDMA/cma: Use correct size when writing netlink stats
(bnc#1012628).
- IB/ipoib: Avoid memory leak if the SA returns a different DGID
(bnc#1012628).
- rtc: ac100: Fix multiple race conditions (bnc#1012628).
- media: s5p-mfc: Fix lock contention - request_firmware() once
(bnc#1012628).
- sfp: fix non-detection of PHY (bnc#1012628).
- sfp: fix EEPROM reading in the case of non-SFF8472 SFPs
(bnc#1012628).
- net: phy: meson-gxl: check phy_write return value (bnc#1012628).
- /dev/mem: Add bounce buffer for copy-out (bnc#1012628).
- mmc: block: fix logical error to avoid memory leak
(bnc#1012628).
- mmc: avoid removing non-removable hosts during suspend
(bnc#1012628).
- drm/tilcdc: ensure nonatomic iowrite64 is not used
(bnc#1012628).
- dmaengine: zynqmp_dma: Fix race condition in the probe
(bnc#1012628).
- platform/chrome: Use proper protocol transfer function
(bnc#1012628).
- watchdog: Fix kref imbalance seen if handle_boot_enabled=0
(bnc#1012628).
- watchdog: Fix potential kref imbalance when opening watchdog
(bnc#1012628).
- cros_ec: fix nul-termination for firmware build info
(bnc#1012628).
- serial: 8250_dw: Disable clock on error (bnc#1012628).
- tty: goldfish: Enable 'earlycon' only if built-in (bnc#1012628).
- qmi_wwan: set FLAG_SEND_ZLP to avoid network initiated
disconnect (bnc#1012628).
- media: [RESEND] media: dvb-frontends: Add delay to Si2168
restart (bnc#1012628).
- ath10k: handling qos at STA side based on AP WMM enable/disable
(bnc#1012628).
- media: bt8xx: Fix err 'bt878_probe()' (bnc#1012628).
- rtlwifi: always initialize variables given to RT_TRACE()
(bnc#1012628).
- rtlwifi: rtl_pci: Fix the bug when inactiveps is enabled
(bnc#1012628).
- libbpf: prefer global symbols as bpf program name source
(bnc#1012628).
- spi: sh-msiof: Avoid writing to registers from
spi_master.setup() (bnc#1012628).
- tcp: allow TLP in ECN CWR (bnc#1012628).
- hv_netvsc: Fix the TX/RX buffer default sizes (bnc#1012628).
- hv_netvsc: Fix the receive buffer size limit (bnc#1012628).
- RDMA/iwpm: Fix uninitialized error code in iwpm_send_mapinfo()
(bnc#1012628).
- IB/ipoib: Warn when one port fails to initialize (bnc#1012628).
- drm/msm: fix leak in failed get_pages (bnc#1012628).
- media: c8sectpfe: fix potential NULL pointer dereference in
c8sectpfe_timer_interrupt (bnc#1012628).
- cpufreq: longhaul: Revert transition_delay_us to 200 ms
(bnc#1012628).
- Bluetooth: btqcomsmd: Fix skb double free corruption
(bnc#1012628).
- Bluetooth: hci_qca: Avoid setup failure on missing rampatch
(bnc#1012628).
- drm/amdgpu: use polling mem to set SDMA3 wptr for VF
(bnc#1012628).
- staging: android: ashmem: Fix possible deadlock in ashmem_ioctl
(bnc#1012628).
- scsi: megaraid_sas: Do not use 32-bit atomic request descriptor
for Ventura controllers (bnc#1012628).
- commit 950fc49
-------------------------------------------------------------------
Fri Mar 23 18:13:59 CET 2018 - agraf@suse.de
- armv7hl: Disable uacces with memcpy (boo#1080435)
- commit a3fb408
-------------------------------------------------------------------
Wed Mar 21 19:24:22 CET 2018 - jslaby@suse.cz
- Linux 4.15.12 (bnc#1012628).
- phy: phy-brcm-usb-init: Power down USB 3.0 PHY when XHCI
disabled (bnc#1012628).
- phy: phy-brcm-usb-init: DRD mode can cause crash on startup
(bnc#1012628).
- phy: phy-brcm-usb-init: Some Low Speed keyboards fail on 7271
(bnc#1012628).
- phy: phy-brcm-usb: Fix two DT properties to match bindings doc
(bnc#1012628).
- usb: gadget: udc: renesas_usb3: fix oops in
renesas_usb3_remove() (bnc#1012628).
- usb: dwc3: of-simple: fix oops by unbalanced clk disable call
(bnc#1012628).
- usb: dwc3: core: Power-off core/PHYs on system_suspend in host
mode (bnc#1012628).
- usb: dwc3: Fix GDBGFIFOSPACE_TYPE values (bnc#1012628).
- USB: gadget: udc: Add missing platform_device_put() on error
in bdc_pci_probe() (bnc#1012628).
- dt-bindings: usb: fix the STM32F7 DWC2 OTG HS core binding
(bnc#1012628).
- usb: dwc2: fix STM32F7 USB OTG HS compatible (bnc#1012628).
- scsi: qla2xxx: Fix crashes in qla2x00_probe_one on probe failure
(bnc#1012628).
- scsi: qla2xxx: Fix logo flag for qlt_free_session_done()
(bnc#1012628).
- scsi: qla2xxx: Fix NULL pointer access for fcport structure
(bnc#1012628).
- scsi: qla2xxx: Fix smatch warning in
qla25xx_delete_{rsp|req}_que (bnc#1012628).
- btrfs: Fix memory barriers usage with device stats counters
(bnc#1012628).
- btrfs: remove spurious WARN_ON(ref->count < 0) in
find_parent_nodes (bnc#1012628).
- btrfs: Fix use-after-free when cleaning up fs_devs with a
single stale device (bnc#1012628).
- btrfs: alloc_chunk: fix DUP stripe size handling (bnc#1012628).
- btrfs: add missing initialization in btrfs_check_shared
(bnc#1012628).
- btrfs: Fix NULL pointer exception in find_bio_stripe
(bnc#1012628).
- xfs: preserve i_rdev when recycling a reclaimable inode
(bnc#1012628).
- nvme: fix subsystem multiple controllers support check
(bnc#1012628).
- irqchip/gic-v3-its: Ensure nr_ites >= nr_lpis (bnc#1012628).
- RDMAVT: Fix synchronization around percpu_ref (bnc#1012628).
- fs/aio: Use RCU accessors for kioctx_table->table[]
(bnc#1012628).
- fs/aio: Add explicit RCU grace period when freeing kioctx
(bnc#1012628).
- lock_parent() needs to recheck if dentry got __dentry_kill'ed
under it (bnc#1012628).
- KVM: arm/arm64: vgic: Don't populate multiple LRs with the
same vintid (bnc#1012628).
- kvm: arm/arm64: vgic-v3: Tighten synchronization for guests
using v2 on v3 (bnc#1012628).
- KVM: arm/arm64: Reset mapped IRQs on VM reset (bnc#1012628).
- KVM: arm/arm64: Reduce verbosity of KVM init log (bnc#1012628).
- fs: Teach path_connected to handle nfs filesystems with multiple
roots (bnc#1012628).
- drm/amdgpu/dce: Don't turn off DP sink when disconnected
(bnc#1012628).
- drm/radeon: fix prime teardown order (bnc#1012628).
- drm/amdgpu: fix prime teardown order (bnc#1012628).
- drm/nouveau/mmu: ALIGN_DOWN correct variable (bnc#1012628).
- drm/nouveau/bl: Fix oops on driver unbind (bnc#1012628).
- ALSA: seq: Clear client entry before deleting else at closing
(bnc#1012628).
- ALSA: seq: Fix possible UAF in snd_seq_check_queue()
(bnc#1012628).
- ALSA: hda - Revert power_save option default value
(bnc#1012628).
- ALSA: pcm: Fix UAF in snd_pcm_oss_get_formats() (bnc#1012628).
- parisc: Handle case where flush_cache_range is called with no
context (bnc#1012628).
- x86/mm: Fix vmalloc_fault to use pXd_large (bnc#1012628).
- KVM: x86: Fix device passthrough when SME is active
(bnc#1012628).
- x86/speculation: Remove Skylake C2 from Speculation Control
microcode blacklist (bnc#1012628).
- x86/speculation, objtool: Annotate indirect calls/jumps for
objtool on 32-bit kernels (bnc#1012628).
- x86/vm86/32: Fix POPF emulation (bnc#1012628).
- selftests/x86/entry_from_vm86: Add test cases for POPF
(bnc#1012628).
- selftests/x86/entry_from_vm86: Exit with 1 if we fail
(bnc#1012628).
- x86/cpufeatures: Add Intel PCONFIG cpufeature (bnc#1012628).
- x86/cpufeatures: Add Intel Total Memory Encryption cpufeature
(bnc#1012628).
- commit 9f942ce
-------------------------------------------------------------------
Mon Mar 19 20:55:59 CET 2018 - jslaby@suse.cz
- Update config files (4.15.11).
- commit a1db525
-------------------------------------------------------------------
Mon Mar 19 20:44:19 CET 2018 - jslaby@suse.cz
- Linux 4.15.11 (bnc#1012628).
- drm/i915/glk: Disable Guc and HuC on GLK (bnc#1012628).
- dmaengine: qcom_hidma: check pending interrupts (bnc#1012628).
- IB/mlx5: revisit -Wmaybe-uninitialized warning (bnc#1012628).
- ima: relax requiring a file signature for new files with zero
length (bnc#1012628).
- locking/locktorture: Fix num reader/writer corner cases
(bnc#1012628).
- rcutorture/configinit: Fix build directory error message
(bnc#1012628).
- ipvlan: add L2 check for packets arriving via virtual devices
(bnc#1012628).
- Fix misannotated out-of-line _copy_to_user() (bnc#1012628).
- mmc: mmc_test: Ensure command queue is disabled for testing
(bnc#1012628).
- ASoC: nuc900: Fix a loop timeout test (bnc#1012628).
- crypto: caam/qi - use correct print specifier for size_t
(bnc#1012628).
- mac80211: remove BUG() when interface type is invalid
(bnc#1012628).
- mac80211_hwsim: enforce PS_MANUAL_POLL to be set after
PS_ENABLED (bnc#1012628).
- agp/intel: Flush all chipset writes after updating the GGTT
(bnc#1012628).
- arm64: dts: renesas: salvator-common: Add EthernetAVB PHY reset
(bnc#1012628).
- powerpc/64: Don't trace irqs-off at interrupt return to
soft-disabled context (bnc#1012628).
- powerpc/modules: Don't try to restore r2 after a sibling call
(bnc#1012628).
- drm/amdkfd: Fix memory leaks in kfd topology (bnc#1012628).
- veth: set peer GSO values (bnc#1012628).
- net: sched: drop qdisc_reset from dev_graft_qdisc (bnc#1012628).
- virtio_net: Disable interrupts if napi_complete_done rescheduled
napi (bnc#1012628).
- media: davinci: vpif_capture: add NULL check on devm_kzalloc
return value (bnc#1012628).
- media: cpia2: Fix a couple off by one bugs (bnc#1012628).
- dm raid: fix raid set size revalidation (bnc#1012628).
- media: vsp1: Prevent suspending and resuming DRM pipelines
(bnc#1012628).
- staging: fsl-dpaa2/eth: Fix access to FAS field (bnc#1012628).
- clk: renesas: r8a77970: Add LVDS clock (bnc#1012628).
- scsi: dh: add new rdac devices (bnc#1012628).
- scsi: devinfo: apply to HP XP the same flags as Hitachi VSP
(bnc#1012628).
- scsi: core: scsi_get_device_flags_keyed(): Always return device
flags (bnc#1012628).
- bnxt_en: Don't print "Link speed -1 no longer supported"
messages (bnc#1012628).
- spi: sun6i: disable/unprepare clocks on remove (bnc#1012628).
- tools/usbip: fixes build with musl libc toolchain (bnc#1012628).
- ath10k: fix invalid STS_CAP_OFFSET_MASK (bnc#1012628).
- mwifiex: cfg80211: do not change virtual interface during scan
processing (bnc#1012628).
- clk: qcom: msm8916: fix mnd_width for codec_digcodec
(bnc#1012628).
- bnxt_en: Uninitialized variable in bnxt_tc_parse_actions()
(bnc#1012628).
- drm/amdgpu: fix amdgpu_sync_resv v2 (bnc#1012628).
- drm/amdgpu:fix virtual dce bug (bnc#1012628).
- iwlwifi: mvm: avoid dumping assert log when device is stopped
(bnc#1012628).
- perf annotate: Fix objdump comment parsing for Intel mov
dissassembly (bnc#1012628).
- perf annotate: Fix unnecessary memory allocation for s390x
(bnc#1012628).
- pinctrl: sh-pfc: r8a7795-es1: Fix MOD_SEL1 bit[25:24] to 0x3
when using STP_ISEN_1_D (bnc#1012628).
- pinctrl: sh-pfc: r8a7791: Add can_clk function (bnc#1012628).
- drm/sun4i: Fix format mask in DE2 driver (bnc#1012628).
- pwm: stmpe: Fix wrong register offset for hwpwm=2 case
(bnc#1012628).
- scsi: ses: don't ask for diagnostic pages repeatedly during
probe (bnc#1012628).
- scsi: lpfc: Fix crash during driver unload with running nvme
traffic (bnc#1012628).
- drm/amdgpu:fix random missing of FLR NOTIFY (bnc#1012628).
- KVM: X86: Restart the guest when insn_len is zero and SEV is
enabled (bnc#1012628).
- cpufreq: Fix governor module removal race (bnc#1012628).
- ath10k: update tdls teardown state to target (bnc#1012628).
- iio: health: max30102: Add power enable parameter to get_temp
function (bnc#1012628).
- iio: adc: ina2xx: Shift bus voltage register to mask flag bits
(bnc#1012628).
- drm/etnaviv: make THERMAL selectable (bnc#1012628).
- power: supply: ab8500_charger: Bail out in case of error in
'ab8500_charger_init_hw_registers()' (bnc#1012628).
- power: supply: ab8500_charger: Fix an error handling path
(bnc#1012628).
- power: supply: sbs-message: double left shift bug in
sbsm_select() (bnc#1012628).
- bpf: fix stack state printing in verifier log (bnc#1012628).
- leds: pm8058: Silence pointer to integer size warning
(bnc#1012628).
- xfrm: Fix xfrm_replay_overflow_offload_esn (bnc#1012628).
- userns: Don't fail follow_automount based on s_user_ns
(bnc#1012628).
- mtd: nand: ifc: update bufnum mask for ver >= 2.0.0
(bnc#1012628).
- ARM: dts: omap3-n900: Fix the audio CODEC's reset pin
(bnc#1012628).
- ARM: dts: am335x-pepper: Fix the audio CODEC's reset pin
(bnc#1012628).
- net: thunderx: Set max queue count taking XDP_TX into account
(bnc#1012628).
- mtd: nand: fix interpretation of NAND_CMD_NONE in
nand_command[_lp]() (bnc#1012628).
- gpiolib: don't allow OPEN_DRAIN & OPEN_SOURCE flags
simultaneously (bnc#1012628).
- net: xfrm: allow clearing socket xfrm policies (bnc#1012628).
- perf report: Fix -D output for user metadata events
(bnc#1012628).
- rtc: brcmstb-waketimer: fix error handling in
brcmstb_waketmr_probe() (bnc#1012628).
- net: ieee802154: adf7242: Fix bug if defined DEBUG
(bnc#1012628).
- drm/vblank: Fix vblank timestamp debugs (bnc#1012628).
- test_firmware: fix setting old custom fw path back on exit
(bnc#1012628).
- crypto: cavium - fix memory leak on info (bnc#1012628).
- crypto: keywrap - Add missing ULL suffixes for 64-bit constants
(bnc#1012628).
- crypto: ecc - Fix NULL pointer deref. on no default_rng
(bnc#1012628).
- crypto: chelsio - Fix an error code in chcr_hash_dma_map()
(bnc#1012628).
- sched: Stop resched_cpu() from sending IPIs to offline CPUs
(bnc#1012628).
- sched: Stop switched_to_rt() from sending IPIs to offline CPUs
(bnc#1012628).
- dt-bindings: serial: Add common rs485 binding for RTS polarity
(bnc#1012628).
- USB: ledtrig-usbport: fix of-node leak (bnc#1012628).
- typec: tcpm: fusb302: Resolve out of order messaging events
(bnc#1012628).
- staging: rtl8822be: fix missing null check on dev_alloc_skb
return (bnc#1012628).
- drm/amdgpu: fix get_max_engine_clock_in_mhz (bnc#1012628).
- ARM: dts: exynos: Correct Trats2 panel reset line (bnc#1012628).
- clk: meson: gxbb: fix wrong clock for SARADC/SANA (bnc#1012628).
- ARM: dts: koelsch: Move cec_clock to root node (bnc#1012628).
- iwlwifi: mvm: rs: don't override the rate history in the search
cycle (bnc#1012628).
- HID: elo: clear BTN_LEFT mapping (bnc#1012628).
- KVM: PPC: Book3S HV: Fix typo in kvmppc_hv_get_dirty_log_radix()
(bnc#1012628).
- video/hdmi: Allow "empty" HDMI infoframes (bnc#1012628).
- dma-buf/fence: Fix lock inversion within dma-fence-array
(bnc#1012628).
- drm/edid: set ELD connector type in drm_edid_to_eld()
(bnc#1012628).
- KVM: PPC: Book3S HV: Avoid shifts by negative amounts
(bnc#1012628).
- HID: multitouch: Only look at non touch fields in first packet
of a frame (bnc#1012628).
- spi: imx: Fix failure path leak on GPIO request error correctly
(bnc#1012628).
- drm/panel: rpi-touchscreen: propagate errors in
rpi_touchscreen_i2c_read() (bnc#1012628).
- gfs2: Fixes to "Implement iomap for block_map" (2)
(bnc#1012628).
- gfs2: Clean up {lookup,fillup}_metapath (bnc#1012628).
- Revert "btrfs: use proper endianness accessors for super_copy"
(bnc#1012628).
- dm mpath: fix passing integrity data (bnc#1012628).
- earlycon: add reg-offset to physical address before mapping
(bnc#1012628).
- serial: core: mark port as initialized in autoconfig
(bnc#1012628).
- serial: 8250_pci: Add Brainboxes UC-260 4 port serial device
(bnc#1012628).
- usb: dwc3: Fix lock-up on ID change during system suspend/resume
(bnc#1012628).
- usb: gadget: f_fs: Fix use-after-free in ffs_fs_kill_sb()
(bnc#1012628).
- usb: usbmon: Read text within supplied buffer size
(bnc#1012628).
- usb: quirks: add control message delay for 1b1c:1b20
(bnc#1012628).
- usbip: vudc: fix null pointer dereference on udc->lock
(bnc#1012628).
- USB: storage: Add JMicron bridge 152d:2567 to unusual_devs.h
(bnc#1012628).
- scsi: sd_zbc: Fix potential memory leak (bnc#1012628).
- staging: android: ashmem: Fix lockdep issue during llseek
(bnc#1012628).
- staging: comedi: fix comedi_nsamples_left (bnc#1012628).
- uas: fix comparison for error code (bnc#1012628).
- tty/serial: atmel: add new version check for usart
(bnc#1012628).
- serial: sh-sci: prevent lockup on full TTY buffers
(bnc#1012628).
- xhci: fix endpoint context tracer output (bnc#1012628).
- xhci: Fix front USB ports on ASUS PRIME B350M-A (bnc#1012628).
- usb: host: xhci-rcar: add support for r8a77965 (bnc#1012628).
- ASoC: rt5651: Fix regcache sync errors on resume (bnc#1012628).
- ASoC: wm_adsp: For TLV controls only register TLV get/set
(bnc#1012628).
- ASoC: sgtl5000: Fix suspend/resume (bnc#1012628).
- ASoC: sun4i-i2s: Fix RX slot number of SUN8I (bnc#1012628).
- x86: Treat R_X86_64_PLT32 as R_X86_64_PC32 (bnc#1012628).
- commit 5c89418
-------------------------------------------------------------------
Mon Mar 19 14:10:36 CET 2018 - mkubecek@suse.cz
- Update
patches.kernel.org/4.15.10-113-netfilter-ebtables-CONFIG_COMPAT-don-t-trust-.patch
references (add CVE-2018-1068).
- Update
patches.suse/netfilter-ebtables-fix-erroneous-reject-of-last-rule.patch
references (add CVE-2018-1068).
- commit 3127e9f
-------------------------------------------------------------------
Mon Mar 19 11:40:13 CET 2018 - msuchanek@suse.de
- kernel-*.spec: remove remaining occurences of %release from dependencies
There is a mix of %release and %source_rel in manually added
dependencies and the %release dependencies tend to fail due to rebuild
sync issues. So get rid of them.
- commit b4ec514
-------------------------------------------------------------------
Mon Mar 19 03:15:02 CET 2018 - jeffm@suse.com
- Update to 4.16-rc6.
- commit a98eb00
-------------------------------------------------------------------
Mon Mar 19 03:14:22 CET 2018 - jeffm@suse.com
- Refresh patches.suse/kernel-add-release-status-to-kernel-build.patch.
- commit cf5ff13
-------------------------------------------------------------------
Thu Mar 15 21:31:17 CET 2018 - jslaby@suse.cz
- Linux 4.15.10 (bnc#1012628).
- RDMA/ucma: Limit possible option size (bnc#1012628).
- RDMA/ucma: Check that user doesn't overflow QP state
(bnc#1012628).
- RDMA/mlx5: Fix integer overflow while resizing CQ (bnc#1012628).
- IB/uverbs: Improve lockdep_check (bnc#1012628).
- mac80211_hwsim: don't use WQ_MEM_RECLAIM (bnc#1012628).
- net/smc: fix NULL pointer dereference on sock_create_kern()
error path (bnc#1012628).
- regulator: stm32-vrefbuf: fix check on ready flag (bnc#1012628).
- drm/i915: Check for fused or unused pipes (bnc#1012628).
- drm/i915/audio: fix check for av_enc_map overflow (bnc#1012628).
- drm/i915: Fix rsvd2 mask when out-fence is returned
(bnc#1012628).
- drm/i915: Clear the in-use marker on execbuf failure
(bnc#1012628).
- drm/i915: Disable DC states around GMBUS on GLK (bnc#1012628).
- drm/i915: Update watermark state correctly in
sanitize_watermarks (bnc#1012628).
- drm/i915: Try EDID bitbanging on HDMI after failed read
(bnc#1012628).
- drm/i915/perf: fix perf stream opening lock (bnc#1012628).
- scsi: core: Avoid that ATA error handling can trigger a kernel
hang or oops (bnc#1012628).
- scsi: qla2xxx: Fix NULL pointer crash due to active timer for
ABTS (bnc#1012628).
- drm/i915: Always call to intel_display_set_init_power() in
resume_early (bnc#1012628).
- workqueue: Allow retrieval of current task's work struct
(bnc#1012628).
- drm: Allow determining if current task is output poll worker
(bnc#1012628).
- drm/nouveau: Fix deadlock on runtime suspend (bnc#1012628).
- drm/radeon: Fix deadlock on runtime suspend (bnc#1012628).
- drm/amdgpu: Fix deadlock on runtime suspend (bnc#1012628).
- drm/nouveau: prefer XBGR2101010 for addfb ioctl (bnc#1012628).
- drm/amd/powerplay/smu7: allow mclk switching with no displays
(bnc#1012628).
- drm/amd/powerplay/vega10: allow mclk switching with no displays
(bnc#1012628).
- Revert "drm/radeon/pm: autoswitch power state when in balanced
mode" (bnc#1012628).
- drm/amd/display: check for ipp before calling cursor operations
(bnc#1012628).
- drm/radeon: insist on 32-bit DMA for Cedar on PPC64/PPC64LE
(bnc#1012628).
- drm/amd/powerplay: fix power over limit on Fiji (bnc#1012628).
- drm/amd/display: Default HDMI6G support to true. Log VBIOS
table error (bnc#1012628).
- drm/amdgpu: used cached pcie gen info for SI (v2) (bnc#1012628).
- drm/amdgpu: Notify sbios device ready before send request
(bnc#1012628).
- drm/radeon: fix KV harvesting (bnc#1012628).
- drm/amdgpu: fix KV harvesting (bnc#1012628).
- drm/amdgpu:Correct max uvd handles (bnc#1012628).
- drm/amdgpu:Always save uvd vcpu_bo in VM Mode (bnc#1012628).
- ovl: redirect_dir=nofollow should not follow redirect for
opaque lower (bnc#1012628).
- MIPS: BMIPS: Do not mask IPIs during suspend (bnc#1012628).
- MIPS: ath25: Check for kzalloc allocation failure (bnc#1012628).
- MIPS: OCTEON: irq: Check for null return on kzalloc allocation
(bnc#1012628).
- PCI: dwc: Fix enumeration end when reaching root subordinate
(bnc#1012628).
- Input: matrix_keypad - fix race when disabling interrupts
(bnc#1012628).
- Revert "Input: synaptics - Lenovo Thinkpad T460p devices should
use RMI" (bnc#1012628).
- bug: use %pB in BUG and stack protector failure (bnc#1012628).
- lib/bug.c: exclude non-BUG/WARN exceptions from report_bug()
(bnc#1012628).
- mm/memblock.c: hardcode the end_pfn being -1 (bnc#1012628).
- loop: Fix lost writes caused by missing flag (bnc#1012628).
- virtio_ring: fix num_free handling in error case (bnc#1012628).
- KVM: s390: fix memory overwrites when not using SCA entries
(bnc#1012628).
- arm64: mm: fix thinko in non-global page table attribute check
(bnc#1012628).
- IB/core: Fix missing RDMA cgroups release in case of failure
to register device (bnc#1012628).
- Revert "nvme: create 'slaves' and 'holders' entries for hidden
controllers" (bnc#1012628).
- kbuild: Handle builtin dtb file names containing hyphens
(bnc#1012628).
- dm bufio: avoid false-positive Wmaybe-uninitialized warning
(bnc#1012628).
- IB/mlx5: Fix incorrect size of klms in the memory region
(bnc#1012628).
- bcache: fix crashes in duplicate cache device register
(bnc#1012628).
- bcache: don't attach backing with duplicate UUID (bnc#1012628).
- x86/MCE: Save microcode revision in machine check records
(bnc#1012628).
- x86/MCE: Serialize sysfs changes (bnc#1012628).
- perf tools: Fix trigger class trigger_on() (bnc#1012628).
- x86/spectre_v2: Don't check microcode versions when running
under hypervisors (bnc#1012628).
- ALSA: hda/realtek - Add support headset mode for DELL WYSE
(bnc#1012628).
- ALSA: hda/realtek - Add headset mode support for Dell laptop
(bnc#1012628).
- ALSA: hda/realtek: Limit mic boost on T480 (bnc#1012628).
- ALSA: hda/realtek - Fix dock line-out volume on Dell Precision
7520 (bnc#1012628).
- ALSA: hda/realtek - Make dock sound work on ThinkPad L570
(bnc#1012628).
- ALSA: seq: Don't allow resizing pool in use (bnc#1012628).
- ALSA: seq: More protection for concurrent write and ioctl races
(bnc#1012628).
- ALSA: hda - Fix a wrong FIXUP for alc289 on Dell machines
(bnc#1012628).
- ALSA: hda: add dock and led support for HP EliteBook 820 G3
(bnc#1012628).
- ALSA: hda: add dock and led support for HP ProBook 640 G2
(bnc#1012628).
- scsi: qla2xxx: Fix NULL pointer crash due to probe failure
(bnc#1012628).
- scsi: qla2xxx: Fix recursion while sending terminate exchange
(bnc#1012628).
- dt-bindings: Document mti,mips-cpc binding (bnc#1012628).
- MIPS: CPC: Map registers using DT in
mips_cpc_default_phys_base() (bnc#1012628).
- nospec: Kill array_index_nospec_mask_check() (bnc#1012628).
- nospec: Include <asm/barrier.h> dependency (bnc#1012628).
- x86/entry: Reduce the code footprint of the 'idtentry' macro
(bnc#1012628).
- x86/entry/64: Use 'xorl' for faster register clearing
(bnc#1012628).
- x86/mm: Remove stale comment about KMEMCHECK (bnc#1012628).
- x86/asm: Improve how GEN_*_SUFFIXED_RMWcc() specify clobbers
(bnc#1012628).
- x86/IO-APIC: Avoid warning in 32-bit builds (bnc#1012628).
- x86/LDT: Avoid warning in 32-bit builds with older gcc
(bnc#1012628).
- x86-64/realmode: Add instruction suffix (bnc#1012628).
- Revert "x86/retpoline: Simplify vmexit_fill_RSB()"
(bnc#1012628).
- x86/speculation: Use IBRS if available before calling into
firmware (bnc#1012628).
- x86/retpoline: Support retpoline builds with Clang
(bnc#1012628).
- x86/speculation, objtool: Annotate indirect calls/jumps for
objtool (bnc#1012628).
- x86/speculation: Move firmware_restrict_branch_speculation_*()
from C to CPP (bnc#1012628).
- x86/paravirt, objtool: Annotate indirect calls (bnc#1012628).
- x86/boot, objtool: Annotate indirect jump in
secondary_startup_64() (bnc#1012628).
- x86/mm/sme, objtool: Annotate indirect call in
sme_encrypt_execute() (bnc#1012628).
- objtool: Use existing global variables for options
(bnc#1012628).
- objtool: Add retpoline validation (bnc#1012628).
- objtool: Add module specific retpoline rules (bnc#1012628).
- objtool, retpolines: Integrate objtool with retpoline support
more closely (bnc#1012628).
- objtool: Fix another switch table detection issue (bnc#1012628).
- objtool: Fix 32-bit build (bnc#1012628).
- x86/kprobes: Fix kernel crash when probing .entry_trampoline
code (bnc#1012628).
- watchdog: hpwdt: SMBIOS check (bnc#1012628).
- watchdog: hpwdt: Check source of NMI (bnc#1012628).
- watchdog: hpwdt: fix unused variable warning (bnc#1012628).
- watchdog: hpwdt: Remove legacy NMI sourcing (bnc#1012628).
- netfilter: add back stackpointer size checks (bnc#1012628).
- netfilter: ipt_CLUSTERIP: fix a race condition of proc file
creation (bnc#1012628).
- netfilter: xt_hashlimit: fix lock imbalance (bnc#1012628).
- netfilter: x_tables: fix missing timer initialization in xt_LED
(bnc#1012628).
- netfilter: nat: cope with negative port range (bnc#1012628).
- netfilter: IDLETIMER: be syzkaller friendly (bnc#1012628).
- netfilter: bridge: ebt_among: add missing match size checks
(bnc#1012628).
- netfilter: ipv6: fix use-after-free Write in
nf_nat_ipv6_manip_pkt (bnc#1012628).
- netfilter: use skb_to_full_sk in ip6_route_me_harder
(bnc#1012628).
- tpm_tis: Move ilb_base_addr to tpm_tis_data (bnc#1012628).
- tpm: Keep CLKRUN enabled throughout the duration of
transmit_cmd() (bnc#1012628).
- tpm: delete the TPM_TIS_CLK_ENABLE flag (bnc#1012628).
- tpm: remove unused variables (bnc#1012628).
- tpm: only attempt to disable the LPC CLKRUN if is already
enabled (bnc#1012628).
- x86/xen: Calculate __max_logical_packages on PV domains
(bnc#1012628).
- scsi: qla2xxx: Fix system crash for Notify ack timeout handling
(bnc#1012628).
- scsi: qla2xxx: Fix gpnid error processing (bnc#1012628).
- scsi: qla2xxx: Move session delete to driver work queue
(bnc#1012628).
- scsi: qla2xxx: Skip IRQ affinity for Target QPairs
(bnc#1012628).
- scsi: qla2xxx: Fix re-login for Nport Handle in use
(bnc#1012628).
- scsi: qla2xxx: Retry switch command on time out (bnc#1012628).
- scsi: qla2xxx: Serialize GPNID for multiple RSCN (bnc#1012628).
- scsi: qla2xxx: Fix login state machine stuck at GPDB
(bnc#1012628).
- scsi: qla2xxx: Fix NPIV host cleanup in target mode
(bnc#1012628).
- scsi: qla2xxx: Relogin to target port on a cable swap
(bnc#1012628).
- scsi: qla2xxx: Fix Relogin being triggered too fast
(bnc#1012628).
- scsi: qla2xxx: Fix PRLI state check (bnc#1012628).
- scsi: qla2xxx: Fix abort command deadlock due to spinlock
(bnc#1012628).
- scsi: qla2xxx: Replace fcport alloc with qla2x00_alloc_fcport
(bnc#1012628).
- scsi: qla2xxx: Fix scan state field for fcport (bnc#1012628).
- scsi: qla2xxx: Clear loop id after delete (bnc#1012628).
- scsi: qla2xxx: Defer processing of GS IOCB calls (bnc#1012628).
- scsi: qla2xxx: Remove aborting ELS IOCB call issued as part
of timeout (bnc#1012628).
- scsi: qla2xxx: Fix system crash in qlt_plogi_ack_unref
(bnc#1012628).
- scsi: qla2xxx: Fix memory leak in dual/target mode
(bnc#1012628).
- NFS: Fix an incorrect type in struct nfs_direct_req
(bnc#1012628).
- pNFS: Prevent the layout header refcount going to zero in
pnfs_roc() (bnc#1012628).
- NFS: Fix unstable write completion (bnc#1012628).
- Refresh
patches.suse/0001-x86-speculation-Add-basic-IBRS-support-infrastructur.patch.
- Refresh
patches.suse/0002-x86-speculation-Add-inlines-to-control-Indirect-Bran.patch.
- Refresh
patches.suse/0005-x86-enter-Use-IBRS-on-syscall-and-interrupts.patch.
- commit 5e4329c
-------------------------------------------------------------------
Thu Mar 15 20:05:02 CET 2018 - tiwai@suse.de
- Bluebooth: btusb: Fix quirk for Atheros 1525/QCA6174
(bsc#1082504).
- commit 8413b00
-------------------------------------------------------------------
Thu Mar 15 20:03:41 CET 2018 - tiwai@suse.de
- Bluebooth: btusb: Fix quirk for Atheros 1525/QCA6174
(bsc#1082504).
- commit e8a80ec
-------------------------------------------------------------------
Thu Mar 15 09:46:28 CET 2018 - mkubecek@suse.cz
- netfilter: ebtables: fix erroneous reject of last rule
(bsc#1085107).
- netfilter: ebtables: CONFIG_COMPAT: don't trust userland offsets
(bsc#1085107).
- commit bfb5701
-------------------------------------------------------------------
Wed Mar 14 19:07:43 CET 2018 - jeffm@suse.com
- config: sync i386/default
Some options had been removed completely.
- commit 95f48d7
-------------------------------------------------------------------
Wed Mar 14 15:18:32 CET 2018 - jeffm@suse.com
- rpm/kernel-source.spec.in: Add check-module-license to Sources
The package builds in the build service but the script won't make it
into the SRPM if it's not in the Sources list.
- commit 031ed9e
-------------------------------------------------------------------
Wed Mar 14 14:02:01 CET 2018 - tiwai@suse.de
- brcmsmac: allocate ucode with GFP_KERNEL (bsc#1085174).
- commit 8e06b20
-------------------------------------------------------------------
Wed Mar 14 11:01:05 CET 2018 - tiwai@suse.de
- mac80211_hwsim: fix possible memory leak in hwsim_new_radio_nl()
(CVE-2018-8087,bsc#1085053).
- commit cddf6d5
-------------------------------------------------------------------
Tue Mar 13 18:08:11 CET 2018 - msuchanek@suse.de
- mkspec: fix perl warning
- commit f15670f
-------------------------------------------------------------------
Mon Mar 12 14:27:50 CET 2018 - jeffm@suse.com
- Update to 4.16-rc5.
- Eliminated 1 patch.
- commit 0dfffad
-------------------------------------------------------------------
Sun Mar 11 23:30:25 CET 2018 - jslaby@suse.cz
- Linux 4.15.9 (bnc#1012628).
- bpf: fix mlock precharge on arraymaps (bnc#1012628).
- bpf: fix memory leak in lpm_trie map_free callback function
(bnc#1012628).
- bpf: fix rcu lockdep warning for lpm_trie map_free callback
(bnc#1012628).
- bpf, x64: implement retpoline for tail call (bnc#1012628).
- bpf, arm64: fix out of bounds access in tail call (bnc#1012628).
- bpf: add schedule points in percpu arrays management
(bnc#1012628).
- bpf: allow xadd only on aligned memory (bnc#1012628).
- bpf, ppc64: fix out of bounds access in tail call (bnc#1012628).
- scsi: mpt3sas: fix oops in error handlers after shutdown/unload
(bnc#1012628).
- scsi: mpt3sas: wait for and flush running commands on
shutdown/unload (bnc#1012628).
- KVM: x86: fix backward migration with async_PF (bnc#1012628).
- Refresh
patches.suse/0002-x86-speculation-Add-inlines-to-control-Indirect-Bran.patch.
- commit 23fae4b
-------------------------------------------------------------------
Sun Mar 11 12:25:57 CET 2018 - afaerber@suse.de
- config: armv7hl: Update to 4.16-rc4
- commit ad451a4
-------------------------------------------------------------------
Sun Mar 11 12:09:45 CET 2018 - afaerber@suse.de
- config: armv6hl: Update to 4.16-rc4
- commit 6c846e1
-------------------------------------------------------------------
Sat Mar 10 16:25:53 CET 2018 - tiwai@suse.de
- Refresh to upstream patch (bsc#1083694)
patches.suse/Documentation-sphinx-Fix-Directive-import-error.patch
- commit 2d62679
-------------------------------------------------------------------
Sat Mar 10 00:09:47 CET 2018 - jeffm@suse.com
- kernel: add release status to kernel build (bsc#FATE#325281).
- commit c51605f
-------------------------------------------------------------------
Fri Mar 9 23:45:18 CET 2018 - jeffm@suse.com
- rpm: use %_sourcedir prefix for release-projects
- rpm: set SUSE_KERNEL_RELEASED based on project name
Set SUSE_KERNEL_RELEASED in the config only if the project name matches
a list of projects that are part of official release channels. This
list of projects is maintained per-branch.
- commit a391a5b
-------------------------------------------------------------------
Fri Mar 9 22:24:07 CET 2018 - jeffm@suse.com
- config: added new 'kvmsmall' flavor
This flavor is an unreleased internal configuration intended for kernel
developers to use in simple virtual machines. It contains only the
device drivers necessary to use a KVM virtual machine *without* device
passthrough enabled. Common local and network file systems are enabled.
All device mapper targets are enabled. Only the network and graphics
drivers for devices that qemu emulates are enabled. Many subsystems
enabled in the default kernel are entirely disabled. This kernel is
meant to be small and to build very quickly. There will be no kABI
stability and its configuration may be changed arbitrarily.
- commit 088f1da
-------------------------------------------------------------------
Fri Mar 9 22:20:06 CET 2018 - jeffm@suse.com
- config: convert kvmsmall to fragment config
- commit 7a5941a
-------------------------------------------------------------------
Fri Mar 9 22:14:02 CET 2018 - jeffm@suse.com
- config: disabled some more options for kvmsmall
Disable CAN, BT, rare partition types, unused mouse protocols, UIO,
STM, and others.
- commit 4707d44
-------------------------------------------------------------------
Fri Mar 9 21:20:15 CET 2018 - jeffm@suse.com
- config: added new 'kvmsmall' flavor
This flavor is intended for kernel developers to use in simple virtual
machines. It contains only the device drivers necessary to use a
KVM virtual machine *without* device passthrough enabled. Common
local and network file systems are enabled. All device mapper targets
are enabled. Only the network and graphics drivers for devices that qemu
emulates are enabled. Many subsystems enabled in the default kernel
are entirely disabled. This kernel is meant to be small and to build
very quickly.
- commit 3c99d1f
-------------------------------------------------------------------
Fri Mar 9 19:59:56 CET 2018 - jslaby@suse.cz
- Linux 4.15.8 (bnc#1012628).
- platform/x86: dell-laptop: fix kbd_get_state's request value
(bnc#1012628).
- md: only allow remove_and_add_spares when no sync_thread running
(bnc#1012628).
- powerpc/64s/radix: Boot-time NULL pointer protection using a
guard-PID (bnc#1012628).
- ARM: dts: LogicPD Torpedo: Fix I2C1 pinmux (bnc#1012628).
- ARM: dts: LogicPD SOM-LV: Fix I2C1 pinmux (bnc#1012628).
- ACPI / bus: Parse tables as term_list for Dell XPS 9570 and
Precision M5530 (bnc#1012628).
- KVM/x86: remove WARN_ON() for when vm_munmap() fails
(bnc#1012628).
- KVM: x86: fix vcpu initialization with userspace lapic
(bnc#1012628).
- KVM/VMX: Optimize vmx_vcpu_run() and svm_vcpu_run() by marking
the RDMSR path as unlikely() (bnc#1012628).
- KVM: x86: move LAPIC initialization after VMCS creation
(bnc#1012628).
- KVM/x86: Remove indirect MSR op calls from SPEC_CTRL
(bnc#1012628).
- KVM: mmu: Fix overlap between public and private memslots
(bnc#1012628).
- KVM: X86: Fix SMRAM accessing even if VM is shutdown
(bnc#1012628).
- ARM: kvm: fix building with gcc-8 (bnc#1012628).
- ARM: mvebu: Fix broken PL310_ERRATA_753970 selects
(bnc#1012628).
- ARM: dts: rockchip: Remove 1.8 GHz operation point from phycore
som (bnc#1012628).
- ARM: orion: fix orion_ge00_switch_board_info initialization
(bnc#1012628).
- x86/mm: Fix {pmd,pud}_{set,clear}_flags() (bnc#1012628).
- nospec: Allow index argument to have const-qualified type
(bnc#1012628).
- KVM: s390: consider epoch index on TOD clock syncs
(bnc#1012628).
- KVM: s390: consider epoch index on hotplugged CPUs
(bnc#1012628).
- KVM: s390: provide only a single function for setting the tod
(fix SCK) (bnc#1012628).
- KVM: s390: take care of clock-comparator sign control
(bnc#1012628).
- EDAC, sb_edac: Fix out of bound writes during DIMM configuration
on KNL (bnc#1012628).
- media: m88ds3103: don't call a non-initalized function
(bnc#1012628).
- blk-mq: don't call io sched's .requeue_request when requeueing
rq to ->dispatch (bnc#1012628).
- tcp: revert F-RTO extension to detect more spurious timeouts
(bnc#1012628).
- tcp: revert F-RTO middle-box workaround (bnc#1012628).
- s390/qeth: fix IPA command submission race (bnc#1012628).
- s390/qeth: fix IP address lookup for L3 devices (bnc#1012628).
- Revert "s390/qeth: fix using of ref counter for rxip addresses"
(bnc#1012628).
- s390/qeth: fix double-free on IP add/remove race (bnc#1012628).
- s390/qeth: fix IP removal on offline cards (bnc#1012628).
- s390/qeth: fix overestimated count of buffer elements
(bnc#1012628).
- s390/qeth: fix SETIP command handling (bnc#1012628).
- s390/qeth: fix underestimated count of buffer elements
(bnc#1012628).
- l2tp: fix tunnel lookup use-after-free race (bnc#1012628).
- l2tp: fix race in pppol2tp_release with session object destroy
(bnc#1012628).
- l2tp: fix races with tunnel socket close (bnc#1012628).
- l2tp: don't use inet_shutdown on ppp session destroy
(bnc#1012628).
- l2tp: don't use inet_shutdown on tunnel destroy (bnc#1012628).
- tcp: tracepoint: only call trace_tcp_send_reset with full socket
(bnc#1012628).
- net: phy: Restore phy_resume() locking assumption (bnc#1012628).
- net/mlx5: Fix error handling when adding flow rules
(bnc#1012628).
- cxgb4: fix trailing zero in CIM LA dump (bnc#1012628).
- virtio-net: disable NAPI only when enabled during XDP set
(bnc#1012628).
- tuntap: disable preemption during XDP processing (bnc#1012628).
- tuntap: correctly add the missing XDP flush (bnc#1012628).
- tcp: purge write queue upon RST (bnc#1012628).
- netlink: put module reference if dump start fails (bnc#1012628).
- mlxsw: spectrum_router: Do not unconditionally clear route
offload indication (bnc#1012628).
- cls_u32: fix use after free in u32_destroy_key() (bnc#1012628).
- amd-xgbe: Restore PCI interrupt enablement setting on resume
(bnc#1012628).
- tls: Use correct sk->sk_prot for IPV6 (bnc#1012628).
- net/mlx5e: Verify inline header size do not exceed SKB linear
size (bnc#1012628).
- bridge: Fix VLAN reference count problem (bnc#1012628).
- sctp: fix dst refcnt leak in sctp_v6_get_dst() (bnc#1012628).
- net: ipv4: Set addr_type in hash_keys for forwarded case
(bnc#1012628).
- mlxsw: spectrum_router: Fix error path in mlxsw_sp_vr_create
(bnc#1012628).
- sctp: do not pr_err for the duplicated node in transport rhlist
(bnc#1012628).
- net/sched: cls_u32: fix cls_u32 on filter replace (bnc#1012628).
- net_sched: gen_estimator: fix broken estimators based on percpu
stats (bnc#1012628).
- net/mlx5e: Fix loopback self test when GRO is off (bnc#1012628).
- doc: Change the min default value of tcp_wmem/tcp_rmem
(bnc#1012628).
- tcp_bbr: better deal with suboptimal GSO (bnc#1012628).
- rxrpc: Fix send in rxrpc_send_data_packet() (bnc#1012628).
- tcp: Honor the eor bit in tcp_mtu_probe (bnc#1012628).
- net: phy: fix phy_start to consider PHY_IGNORE_INTERRUPT
(bnc#1012628).
- net/mlx5e: Specify numa node when allocating drop rq
(bnc#1012628).
- mlxsw: spectrum_switchdev: Check success of FDB add operation
(bnc#1012628).
- sctp: fix dst refcnt leak in sctp_v4_get_dst (bnc#1012628).
- net/mlx5e: Fix TCP checksum in LRO buffers (bnc#1012628).
- udplite: fix partial checksum initialization (bnc#1012628).
- sctp: verify size of a new chunk in _sctp_make_chunk()
(bnc#1012628).
- ppp: prevent unregistered channels from connecting to PPP units
(bnc#1012628).
- net: sched: report if filter is too large to dump (bnc#1012628).
- netlink: ensure to loop over all netns in
genlmsg_multicast_allns() (bnc#1012628).
- net: ipv4: don't allow setting net.ipv4.route.min_pmtu below 68
(bnc#1012628).
- net: fix race on decreasing number of TX queues (bnc#1012628).
- net: ethernet: ti: cpsw: fix net watchdog timeout (bnc#1012628).
- net: amd-xgbe: fix comparison to bitshift when dealing with
a mask (bnc#1012628).
- ipv6 sit: work around bogus gcc-8 -Wrestrict warning
(bnc#1012628).
- hdlc_ppp: carrier detect ok, don't turn off negotiation
(bnc#1012628).
- fib_semantics: Don't match route with mismatching tclassid
(bnc#1012628).
- bridge: check brport attr show in brport_show (bnc#1012628).
- x86/cpu_entry_area: Sync cpu_entry_area to initial_page_table
(bnc#1012628).
- x86/platform/intel-mid: Handle Intel Edison reboot correctly
(bnc#1012628).
- x86/xen: Zero MSR_IA32_SPEC_CTRL before suspend (bnc#1012628).
- direct-io: Fix sleep in atomic due to sync AIO (bnc#1012628).
- dax: fix vma_is_fsdax() helper (bnc#1012628).
- cpufreq: s3c24xx: Fix broken s3c_cpufreq_init() (bnc#1012628).
- vfio: disable filesystem-dax page pinning (bnc#1012628).
- block: pass inclusive 'lend' parameter to
truncate_inode_pages_range (bnc#1012628).
- block: kyber: fix domain token leak during requeue
(bnc#1012628).
- block: fix the count of PGPGOUT for WRITE_SAME (bnc#1012628).
- btrfs: use proper endianness accessors for super_copy
(bnc#1012628).
- parisc: Hide virtual kernel memory layout (bnc#1012628).
- parisc: Fix ordering of cache and TLB flushes (bnc#1012628).
- parisc: Reduce irq overhead when run in qemu (bnc#1012628).
- parisc: Use cr16 interval timers unconditionally on qemu
(bnc#1012628).
- timers: Forward timer base before migrating timers
(bnc#1012628).
- mmc: dw_mmc: Fix out-of-bounds access for slot's caps
(bnc#1012628).
- mmc: dw_mmc: Factor out dw_mci_init_slot_caps (bnc#1012628).
- mmc: dw_mmc: Avoid accessing registers in runtime suspended
state (bnc#1012628).
- mmc: dw_mmc-k3: Fix out-of-bounds access through DT alias
(bnc#1012628).
- mmc: sdhci-pci: Fix S0i3 for Intel BYT-based controllers
(bnc#1012628).
- ALSA: hda - Fix pincfg at resume on Lenovo T470 dock
(bnc#1012628).
- ALSA: hda: Add a power_save blacklist (bnc#1012628).
- ALSA: x86: Fix missing spinlock and mutex initializations
(bnc#1012628).
- ALSA: control: Fix memory corruption risk in snd_ctl_elem_read
(bnc#1012628).
- ALSA: usb-audio: Add a quirck for B&W PX headphones
(bnc#1012628).
- tpm_tis: fix potential buffer overruns caused by bit glitches
on the bus (bnc#1012628).
- tpm_i2c_nuvoton: fix potential buffer overruns caused by bit
glitches on the bus (bnc#1012628).
- tpm_i2c_infineon: fix potential buffer overruns caused by bit
glitches on the bus (bnc#1012628).
- tpm: fix potential buffer overruns caused by bit glitches on
the bus (bnc#1012628).
- tpm: st33zp24: fix potential buffer overruns caused by bit
glitches on the bus (bnc#1012628).
- ixgbe: fix crash in build_skb Rx code path (bnc#1012628).
- Bluetooth: btusb: Use DMI matching for QCA reset_resume quirking
(bnc#1012628).
- powerpc/pseries: Enable RAS hotplug events later (bnc#1012628).
- platform/x86: dell-laptop: Allocate buffer on heap rather than
globally (bnc#1012628).
- ipmi_si: Fix error handling of platform device (bnc#1012628).
- hrtimer: Ensure POSIX compliance (relative CLOCK_REALTIME
hrtimers) (bnc#1012628).
- vsprintf: avoid misleading "(null)" for %px (bnc#1012628).
- commit 67f0889
-------------------------------------------------------------------
Thu Mar 8 22:34:05 CET 2018 - msuchanek@suse.de
- mkspec: do not build dtbs for architectures with no kernel.
- commit 8394abf
-------------------------------------------------------------------
Thu Mar 8 16:52:18 CET 2018 - jeffm@suse.com
- kconfig: move SUSE options from init/Kconfig to init/Kconfig.suse
- commit b7f69ff
-------------------------------------------------------------------
Wed Mar 7 18:39:49 CET 2018 - afaerber@suse.de
- config: arm64: Update to 4.16-rc4
- commit 0bb9ed4
-------------------------------------------------------------------
Wed Mar 7 16:31:26 CET 2018 - tiwai@suse.de
- rpm/kernel-binary.spec.in: Check module licenses (bsc#1083215,bsc#1083527)
- commit e41de0c
-------------------------------------------------------------------
Wed Mar 7 16:09:53 CET 2018 - tiwai@suse.de
- x86/kvm/vmx: do not use vm-exit instruction length for fast
MMIO when running nested (bsc#1081431).
- commit 4e5b14d
-------------------------------------------------------------------
Tue Mar 6 14:08:41 CET 2018 - jslaby@suse.cz
- Update config files.
Enable module signing (bnc#1082905):
* CONFIG_MODULE_SIG=y
* # CONFIG_MODULE_SIG_FORCE is not set
* # CONFIG_MODULE_SIG_ALL is not set
* # CONFIG_MODULE_SIG_SHA1 is not set
* # CONFIG_MODULE_SIG_SHA224 is not set
* CONFIG_MODULE_SIG_SHA256=y
* # CONFIG_MODULE_SIG_SHA384 is not set
* # CONFIG_MODULE_SIG_SHA512 is not set
* CONFIG_MODULE_SIG_HASH="sha256"
* CONFIG_MODULE_SIG_KEY="certs/signing_key.pem"
* CONFIG_SECONDARY_TRUSTED_KEYRING=y
* CONFIG_SYSTEM_BLACKLIST_KEYRING=y
* CONFIG_SYSTEM_BLACKLIST_HASH_LIST=""
This commit synchronizes these options with SLE15.
We do not add patches for loading keys from the shim layer (as in
SLE15) for the time being. They were rejected multiple times in
upstream and we do not want to forward-port them infinitely. This only
means that loading KMPs with none/invalid signatures generates this:
<module_name>: loading out-of-tree module taints kernel.
<module_name>: module verification failed: signature and/or required key missing - tainting kernel
But the modules load fine after that as we have MODULE_SIG_FORCE set
to 'n'.
Tested in qemu+OVMF and bare metal and everything looks fine.
- commit 12abbef
-------------------------------------------------------------------
Mon Mar 5 15:44:09 CET 2018 - msuchanek@suse.de
- rpm: provide %name%-srchash = <kernel-source commit hash> (FATE#325312).
- Also use for kernel-obs-build dependency.
- commit b6fccdf
-------------------------------------------------------------------
Mon Mar 5 01:51:15 CET 2018 - jeffm@suse.com
- Refresh
patches.suse/0001-x86-speculation-Add-basic-IBRS-support-infrastructur.patch.
- Refresh
patches.suse/0002-x86-speculation-Add-inlines-to-control-Indirect-Bran.patch.
- Refresh
patches.suse/0005-x86-enter-Use-IBRS-on-syscall-and-interrupts.patch.
- commit 1ba5305
-------------------------------------------------------------------
Mon Mar 5 01:49:13 CET 2018 - jeffm@suse.com
- Update to 4.16-rc4.
- IBRS patches need review.
- commit 061459a
-------------------------------------------------------------------
Sun Mar 4 13:36:38 CET 2018 - msuchanek@suse.de
- arch-symbols: use bash as interpreter since the script uses bashism.
- commit 4cdfb23
-------------------------------------------------------------------
Fri Mar 2 14:05:08 CET 2018 - msuchanek@suse.de
- kernel-binary: do not BuildIgnore m4.
It is actually needed for regenerating zconf when it is not up-to-date
due to merge.
- commit 967b28b
-------------------------------------------------------------------
Fri Mar 2 13:43:16 CET 2018 - mkubecek@suse.cz
- rpm/kernel-binary.spec.in: add build requirement for flex
In addition to bison, we also need flex for "make oldconfig".
- commit 83d831c
-------------------------------------------------------------------
Fri Mar 2 13:33:50 CET 2018 - mkubecek@suse.cz
- rpm/kernel-binary.spec.in: remove m4 from BuildIgnore list
As bison depends on m4, we cannot set !BuildIgnore for it any more.
- commit d7695e1
-------------------------------------------------------------------
Fri Mar 2 12:52:26 CET 2018 - tiwai@suse.de
- Documentation/sphinx: Fix Directive import error (bsc#1083694).
- commit 7f94eb1
-------------------------------------------------------------------
Wed Feb 28 16:00:41 CET 2018 - msuchanek@suse.de
- bs-upload-kernel: do not set %opensuse_bs
Since SLE15 it is not set in the distribution project so do not set it
for kernel projects either.
- commit d696aa0
-------------------------------------------------------------------
Wed Feb 28 13:17:31 CET 2018 - jslaby@suse.cz
- Linux 4.15.7 (bnc#1012628).
- microblaze: fix endian handling (bnc#1012628).
- drm/i915/breadcrumbs: Ignore unsubmitted signalers
(bnc#1012628).
- arm64: __show_regs: Only resolve kernel symbols when running
at EL1 (bnc#1012628).
- drm/amdgpu: add new device to use atpx quirk (bnc#1012628).
- drm/amdgpu: Avoid leaking PM domain on driver unbind (v2)
(bnc#1012628).
- drm/amdgpu: add atpx quirk handling (v2) (bnc#1012628).
- drm/amdgpu: only check mmBIF_IOV_FUNC_IDENTIFIER on tonga/fiji
(bnc#1012628).
- drm/amdgpu: Add dpm quirk for Jet PRO (v2) (bnc#1012628).
- drm/amdgpu: fix VA hole handling on Vega10 v3 (bnc#1012628).
- drm/amdgpu: disable MMHUB power gating on raven (bnc#1012628).
- drm: Handle unexpected holes in color-eviction (bnc#1012628).
- drm/atomic: Fix memleak on ERESTARTSYS during non-blocking
commits (bnc#1012628).
- drm/cirrus: Load lut in crtc_commit (bnc#1012628).
- usb: renesas_usbhs: missed the "running" flag in usb_dmac with
rx path (bnc#1012628).
- usb: gadget: f_fs: Use config_ep_by_speed() (bnc#1012628).
- usb: gadget: f_fs: Process all descriptors during bind
(bnc#1012628).
- Revert "usb: musb: host: don't start next rx urb if current
one failed" (bnc#1012628).
- usb: ldusb: add PIDs for new CASSY devices supported by this
driver (bnc#1012628).
- usb: phy: mxs: Fix NULL pointer dereference on i.MX23/28
(bnc#1012628).
- usb: dwc3: ep0: Reset TRB counter for ep0 IN (bnc#1012628).
- usb: dwc3: gadget: Set maxpacket size for ep0 IN (bnc#1012628).
- usb: host: ehci: use correct device pointer for dma ops
(bnc#1012628).
- drm/edid: Add 6 bpc quirk for CPT panel in Asus UX303LA
(bnc#1012628).
- Add delay-init quirk for Corsair K70 RGB keyboards
(bnc#1012628).
- arm64: cpufeature: Fix CTR_EL0 field definitions (bnc#1012628).
- arm64: Disable unhandled signal log messages by default
(bnc#1012628).
- arm64: Remove unimplemented syscall log message (bnc#1012628).
- usb: ohci: Proper handling of ed_rm_list to handle race
condition between usb_kill_urb() and finish_unlinks()
(bnc#1012628).
- ohci-hcd: Fix race condition caused by ohci_urb_enqueue()
and io_watchdog_func() (bnc#1012628).
- net: thunderbolt: Run disconnect flow asynchronously when
logout is received (bnc#1012628).
- net: thunderbolt: Tear down connection properly on suspend
(bnc#1012628).
- PCI/cxgb4: Extend T3 PCI quirk to T4+ devices (bnc#1012628).
- irqchip/mips-gic: Avoid spuriously handling masked interrupts
(bnc#1012628).
- irqchip/gic-v3: Use wmb() instead of smb_wmb() in
gic_raise_softirq() (bnc#1012628).
- uapi/if_ether.h: move __UAPI_DEF_ETHHDR libc define
(bnc#1012628).
- mm: don't defer struct page initialization for Xen pv guests
(bnc#1012628).
- mm, swap, frontswap: fix THP swap if frontswap enabled
(bnc#1012628).
- x86/oprofile: Fix bogus GCC-8 warning in nmi_setup()
(bnc#1012628).
- x86/apic/vector: Handle vector release on CPU unplug correctly
(bnc#1012628).
- Kbuild: always define endianess in kconfig.h (bnc#1012628).
- iio: adis_lib: Initialize trigger before requesting interrupt
(bnc#1012628).
- iio: buffer: check if a buffer has been set up when poll is
called (bnc#1012628).
- iio: srf08: fix link error "devm_iio_triggered_buffer_setup"
undefined (bnc#1012628).
- iio: adc: stm32: fix stm32h7_adc_enable error handling
(bnc#1012628).
- RDMA/uverbs: Sanitize user entered port numbers prior to access
it (bnc#1012628).
- RDMA/uverbs: Fix circular locking dependency (bnc#1012628).
- RDMA/uverbs: Fix bad unlock balance in ib_uverbs_close_xrcd
(bnc#1012628).
- RDMA/uverbs: Protect from command mask overflow (bnc#1012628).
- RDMA/uverbs: Protect from races between lookup and destroy of
uobjects (bnc#1012628).
- genirq/matrix: Handle CPU offlining proper (bnc#1012628).
- extcon: int3496: process id-pin first so that we start with
the right status (bnc#1012628).
- PKCS#7: fix certificate blacklisting (bnc#1012628).
- PKCS#7: fix certificate chain verification (bnc#1012628).
- X.509: fix NULL dereference when restricting key with
unsupported_sig (bnc#1012628).
- X.509: fix BUG_ON() when hash algorithm is unsupported
(bnc#1012628).
- i2c: bcm2835: Set up the rising/falling edge delays
(bnc#1012628).
- i2c: designware: must wait for enable (bnc#1012628).
- cfg80211: fix cfg80211_beacon_dup (bnc#1012628).
- MIPS: Drop spurious __unused in struct compat_flock
(bnc#1012628).
- scsi: ibmvfc: fix misdefined reserved field in
ibmvfc_fcp_rsp_info (bnc#1012628).
- xtensa: fix high memory/reserved memory collision (bnc#1012628).
- MIPS: boot: Define __ASSEMBLY__ for its.S build (bnc#1012628).
- kconfig.h: Include compiler types to avoid missed struct
attributes (bnc#1012628).
- arm64: mm: don't write garbage into TTBR1_EL1 register
(bnc#1012628).
- netfilter: drop outermost socket lock in getsockopt()
(bnc#1012628).
- commit 48cfb35
-------------------------------------------------------------------
Wed Feb 28 05:01:08 CET 2018 - jeffm@suse.com
- kernel: add product-identifying information to kernel build (FATE#325281).
- commit 450b8db
-------------------------------------------------------------------
Tue Feb 27 17:11:36 CET 2018 - msuchanek@suse.de
- Revert "rpm/kernel-binary.spec.in: Also require m4 for build."
This reverts commit 0d7b4b3f948c2efb67b7d1b95b5e1dcae225991c.
- commit f5686d2
-------------------------------------------------------------------
Tue Feb 27 09:53:18 CET 2018 - tiwai@suse.de
- kernel-binary: do not package extract-cert when not signing modules
(boo#1080250).
- commit 10a8bc4
-------------------------------------------------------------------
Mon Feb 26 23:13:22 CET 2018 - jeffm@suse.com
- Update to 4.16-rc3.
- Eliminated 2 patches.
- commit a1d0a5c
-------------------------------------------------------------------
Mon Feb 26 23:07:17 CET 2018 - jeffm@suse.com
- Update to 4.16-rc2.
- Eliminated 1 patch.
- Config changes:
- i386:
- X86_MINIMUM_CPU_FAMILY (set automatically by oldconfig).
- commit 70f217f
-------------------------------------------------------------------
Mon Feb 26 23:01:57 CET 2018 - jeffm@suse.com
- Update to 4.16-rc1.
- Eliminated 624 patches (mostly 4.15.x).
- ARM configs need updating.
- Config changes:
- General:
- CC_STACKPROTECTOR_REGULAR=y
- x86:
- ACPI_SPCR_TABLE=y
- ACER_WIRELESS=m
- GPD_POCKET_FAN=m
- INTEL_CHTDC_TI_PWRBTN=m
- MELLANOX_PLATFORM=y
- MLXREG_HOTPLUG=m
- JAILHOUSE_GUEST=y
- i386:
- MLX_PLATFORM=m
- DEFERRED_STRUCT_PAGE_INIT=y
- powerpc:
- PPC_MEM_KEYS=y
- OCXL=m
- PPC_IRQ_SOFT_MASK_DEBUG=n
- s390:
- KERNEL_NOBP=y
- EXPOLINE=y
- EXPOLINE_FULL=y
- PCI:
- PCIE_CADENCE_HOST=y
- PCIE_CADENCE_EP=y
- Network:
- NF_FLOW_TABLE=m
- NF_FLOW_TABLE_IPV4=m
- NF_FLOW_TABLE_IPV6=m
- IP6_NF_MATCH_SRH=m
- BT_HCIBTUSB_AUTOSUSPEND=y
- NET_VENDOR_CORTINA=y
- GEMINI_ETHERNET=m
- NET_VENDOR_SOCIONEXT=y
- NETDEVSIM=n
- NFT_FLOW_OFFLOAD=m
- NF_FLOW_TABLE_INET=m
- Block:
- BLK_DEV_NULL_BLK_FAULT_INJECTION=n
- SATA_MOBILE_LPM_POLICY=0
- DM_UNSTRIPED=m
- MMC_SDHCI_F_SDH30=n
- Misc:
- MISC_RTSX_PCI=m
- MISC_RTSX_USB=m
- HW_RANDOM_TPM=y (from m)
- I2C_GPIO_FAULT_INJECTOR=n
- PINCTRL_AXP209=m
- GPIO_WINBOND=m
- GPIO_PCIE_IDIO_24=m
- SENSORS_W83773G=m
- MFD_CROS_EC_CHARDEV=n
- RAVE_SP_CORE=n
CAVIUM_PTP=m
- Graphics:
- DRM_PANEL_ILITEK_IL9322=n
- TINYDRM_ILI9225=n
- TINYDRM_ST7735R=n
- Sound:
- SND_SOC_INTEL_SST_TOPLEVEL=y
- SND_SST_ATOM_HIFI2_PLATFORM_PCI=m
- SND_SOC_INTEL_BYT_MAX98090_MACH=m
- SND_SOC_INTEL_BYT_RT5640_MACH=m
- SND_SOC_MAX98373=n
- SND_SOC_PCM186X_I2C=n
- SND_SOC_PCM186X_SPI=n
- SND_SOC_TAS6424=n
- SND_SOC_TLV320AIC32X4_I2C=n
- SND_SOC_TLV320AIC32X4_SPI=n
- SND_SOC_TSCS42XX=n
- SOUNDWIRE=y
- SOUNDWIRE_INTEL=m
- Input:
- HID_JABRA=n
- USB:
- USB_XHCI_DBGCAP=n
- LEDS:
- LEDS_LM3692X=n
- LEDS_TRIGGER_NETDEV=m
- LEDS_LP8860=n
- RTC:
- RTC_DRV_CROS_EC=n
- VIRT:
- VBOXGUEST=m
- VIRTIO_MENU=y
- KVM_AMD_SEV=y
- Filesystems:
- CIFS_SMB_DIRECT=n (still experimental)
- Other:
- UNISYSSPAR=n
- XILINX_VCU=n
- IIO_BUFFER_HW_CONSUMER=n
- SD_ADC_MODULATOR=n
- OF_FPGA_REGION=n
- SIOX=n
- SLIMBUS=n
- BPF_KPROBE_OVERRIDE=n
- FPGA_BRIDGE=n
- Sensors:
- ST_UVIS25=n
- ZOPT2201=n
- Media:
- MT76x2E=m
- LIRC=y
- DVB_MMAP=n (still experimental)
- DVB_ULE_DEBUG=n
- Testing:
- FAIL_FUNCTION=n
- RUNTIME_TESTING_MENU=y
- FIND_BIT_BENCHMARK=n
- Crypto:
- CHELSIO_IPSEC_INLINE=n
- CRYPTO_DEV_SP_PSP=y
- commit 955d7ce
-------------------------------------------------------------------
Sun Feb 25 19:49:03 CET 2018 - jslaby@suse.cz
- bpf: cpumap: use GFP_KERNEL instead of GFP_ATOMIC in
__cpu_map_entry_alloc() (git-fixes).
- commit b050949
-------------------------------------------------------------------
Sun Feb 25 19:48:38 CET 2018 - jslaby@suse.cz
- ptr_ring: prevent integer overflow when calculating size
(git-fixes).
- commit f95a8d4
-------------------------------------------------------------------
Sun Feb 25 19:37:06 CET 2018 - jslaby@suse.cz
- Linux 4.15.6 (bnc#1012628).
- vmalloc: fix __GFP_HIGHMEM usage for vmalloc_32 on 32b systems
(bnc#1012628).
- mei: me: add cannon point device ids for 4th device
(bnc#1012628).
- mei: me: add cannon point device ids (bnc#1012628).
- crypto: s5p-sss - Fix kernel Oops in AES-ECB mode (bnc#1012628).
- usbip: keep usbip_device sockfd state in sync with tcp_socket
(bnc#1012628).
- xhci: fix xhci debugfs errors in xhci_stop (bnc#1012628).
- xhci: xhci debugfs device nodes weren't removed after device
plugged out (bnc#1012628).
- xhci: Fix xhci debugfs devices node disappearance after
hibernation (bnc#1012628).
- xhci: Fix NULL pointer in xhci debugfs (bnc#1012628).
- staging: iio: ad5933: switch buffer mode to software
(bnc#1012628).
- staging: iio: adc: ad7192: fix external frequency setting
(bnc#1012628).
- staging: fsl-mc: fix build testing on x86 (bnc#1012628).
- binder: replace "%p" with "%pK" (bnc#1012628).
- binder: check for binder_thread allocation failure in
binder_poll() (bnc#1012628).
- staging: android: ashmem: Fix a race condition in pin ioctls
(bnc#1012628).
- ANDROID: binder: synchronize_rcu() when using POLLFREE
(bnc#1012628).
- ANDROID: binder: remove WARN() for redundant txn error
(bnc#1012628).
- dn_getsockoptdecnet: move nf_{get/set}sockopt outside sock lock
(bnc#1012628).
- arm64: dts: add #cooling-cells to CPU nodes (bnc#1012628).
- ARM: 8743/1: bL_switcher: add MODULE_LICENSE tag (bnc#1012628).
- video: fbdev/mmp: add MODULE_LICENSE (bnc#1012628).
- ASoC: ux500: add MODULE_LICENSE tag (bnc#1012628).
- soc: qcom: rmtfs_mem: add missing
MODULE_DESCRIPTION/AUTHOR/LICENSE (bnc#1012628).
- net_sched: gen_estimator: fix lockdep splat (bnc#1012628).
- net: avoid skb_warn_bad_offload on IS_ERR (bnc#1012628).
- rds: tcp: atomically purge entries from rds_tcp_conn_list
during netns delete (bnc#1012628).
- rds: tcp: correctly sequence cleanup on netns deletion
(bnc#1012628).
- netfilter: xt_RATEEST: acquire xt_rateest_mutex for hash insert
(bnc#1012628).
- netfilter: xt_cgroup: initialize info->priv in
cgroup_mt_check_v1() (bnc#1012628).
- netfilter: on sockopt() acquire sock lock only in the required
scope (bnc#1012628).
- netfilter: ipt_CLUSTERIP: fix out-of-bounds accesses in
clusterip_tg_check() (bnc#1012628).
- netfilter: x_tables: avoid out-of-bounds reads in
xt_request_find_{match|target} (bnc#1012628).
- netfilter: x_tables: fix int overflow in xt_alloc_table_info()
(bnc#1012628).
- kcov: detect double association with a single task
(bnc#1012628).
- KVM: x86: fix escape of guest dr6 to the host (bnc#1012628).
- blk_rq_map_user_iov: fix error override (bnc#1012628).
- staging: android: ion: Switch from WARN to pr_warn
(bnc#1012628).
- staging: android: ion: Add __GFP_NOWARN for system contig heap
(bnc#1012628).
- crypto: x86/twofish-3way - Fix %rbp usage (bnc#1012628).
- media: pvrusb2: properly check endpoint types (bnc#1012628).
- selinux: skip bounded transition processing if the policy
isn't loaded (bnc#1012628).
- selinux: ensure the context is NUL terminated in
security_context_to_sid_core() (bnc#1012628).
- ptr_ring: try vmalloc() when kmalloc() fails (bnc#1012628).
- ptr_ring: fail early if queue occupies more than
KMALLOC_MAX_SIZE (bnc#1012628).
- tun: fix tun_napi_alloc_frags() frag allocator (bnc#1012628).
- commit 71fd692
-------------------------------------------------------------------
Thu Feb 22 22:47:39 CET 2018 - jslaby@suse.cz
- powerpc/pseries: Add empty update_numa_cpu_lookup_table()
for NUMA=n (git-fixes).
- commit 4a82466
-------------------------------------------------------------------
Thu Feb 22 22:01:26 CET 2018 - jslaby@suse.cz
- Linux 4.15.5 (bnc#1012628).
- scsi: smartpqi: allow static build ("built-in") (bnc#1012628).
- IB/umad: Fix use of unprotected device pointer (bnc#1012628).
- IB/qib: Fix comparison error with qperf compare/swap test
(bnc#1012628).
- IB/mlx4: Fix incorrectly releasing steerable UD QPs when have
only ETH ports (bnc#1012628).
- IB/core: Fix two kernel warnings triggered by rxe registration
(bnc#1012628).
- IB/core: Fix ib_wc structure size to remain in 64 bytes boundary
(bnc#1012628).
- IB/core: Avoid a potential OOPs for an unused optional parameter
(bnc#1012628).
- selftests: seccomp: fix compile error seccomp_bpf (bnc#1012628).
- kselftest: fix OOM in memory compaction test (bnc#1012628).
- RDMA/rxe: Fix a race condition related to the QP error state
(bnc#1012628).
- RDMA/rxe: Fix a race condition in rxe_requester() (bnc#1012628).
- RDMA/rxe: Fix rxe_qp_cleanup() (bnc#1012628).
- cpufreq: powernv: Dont assume distinct pstate values for
nominal and pmin (bnc#1012628).
- swiotlb: suppress warning when __GFP_NOWARN is set
(bnc#1012628).
- PM / devfreq: Propagate error from devfreq_add_device()
(bnc#1012628).
- mwifiex: resolve reset vs. remove()/shutdown() deadlocks
(bnc#1012628).
- ocfs2: try a blocking lock before return AOP_TRUNCATED_PAGE
(bnc#1012628).
- trace_uprobe: Display correct offset in uprobe_events
(bnc#1012628).
- powerpc/radix: Remove trace_tlbie call from radix__flush_tlb_all
(bnc#1012628).
- powerpc/kernel: Block interrupts when updating TIDR
(bnc#1012628).
- powerpc/vas: Don't set uses_vas for kernel windows
(bnc#1012628).
- powerpc/numa: Invalidate numa_cpu_lookup_table on cpu remove
(bnc#1012628).
- powerpc/mm: Flush radix process translations when setting MMU
type (bnc#1012628).
- powerpc/xive: Use hw CPU ids when configuring the CPU queues
(bnc#1012628).
- dma-buf: fix reservation_object_wait_timeout_rcu once more v2
(bnc#1012628).
- s390: fix handling of -1 in set{,fs}[gu]id16 syscalls
(bnc#1012628).
- arm64: dts: msm8916: Correct ipc references for smsm
(bnc#1012628).
- ARM: lpc3250: fix uda1380 gpio numbers (bnc#1012628).
- ARM: dts: STi: Add gpio polarity for "hdmi,hpd-gpio" property
(bnc#1012628).
- ARM: dts: nomadik: add interrupt-parent for clcd (bnc#1012628).
- arm: dts: mt7623: fix card detection issue on bananapi-r2
(bnc#1012628).
- arm: spear600: Add missing interrupt-parent of rtc
(bnc#1012628).
- arm: spear13xx: Fix dmas cells (bnc#1012628).
- arm: spear13xx: Fix spics gpio controller's warning
(bnc#1012628).
- x86/gpu: add CFL to early quirks (bnc#1012628).
- x86/kexec: Make kexec (mostly) work in 5-level paging mode
(bnc#1012628).
- x86/xen: init %gs very early to avoid page faults with stack
protector (bnc#1012628).
- x86: PM: Make APM idle driver initialize polling state
(bnc#1012628).
- mm, memory_hotplug: fix memmap initialization (bnc#1012628).
- x86/entry/64: Clear extra registers beyond syscall arguments,
to reduce speculation attack surface (bnc#1012628).
- x86/entry/64/compat: Clear registers for compat syscalls,
to reduce speculation attack surface (bnc#1012628).
- compiler-gcc.h: Introduce __optimize function attribute
(bnc#1012628).
- compiler-gcc.h: __nostackprotector needs gcc-4.4 and up
(bnc#1012628).
- crypto: sun4i_ss_prng - fix return value of
sun4i_ss_prng_generate (bnc#1012628).
- crypto: sun4i_ss_prng - convert lock to _bh in
sun4i_ss_prng_generate (bnc#1012628).
- powerpc/mm/radix: Split linear mapping on hot-unplug
(bnc#1012628).
- x86/mm/pti: Fix PTI comment in entry_SYSCALL_64() (bnc#1012628).
- x86/speculation: Update Speculation Control microcode blacklist
(bnc#1012628).
- x86/speculation: Correct Speculation Control microcode blacklist
again (bnc#1012628).
- Revert "x86/speculation: Simplify
indirect_branch_prediction_barrier()" (bnc#1012628).
- KVM/x86: Reduce retpoline performance impact in
slot_handle_level_range(), by always inlining iterator helper
methods (bnc#1012628).
- X86/nVMX: Properly set spec_ctrl and pred_cmd before merging
MSRs (bnc#1012628).
- KVM/nVMX: Set the CPU_BASED_USE_MSR_BITMAPS if we have a valid
L02 MSR bitmap (bnc#1012628).
- x86/speculation: Clean up various Spectre related details
(bnc#1012628).
- PM / runtime: Update links_count also if !CONFIG_SRCU
(bnc#1012628).
- PM: cpuidle: Fix cpuidle_poll_state_init() prototype
(bnc#1012628).
- platform/x86: wmi: fix off-by-one write in wmi_dev_probe()
(bnc#1012628).
- x86/entry/64: Clear registers for exceptions/interrupts,
to reduce speculation attack surface (bnc#1012628).
- x86/entry/64: Merge SAVE_C_REGS and SAVE_EXTRA_REGS, remove
unused extensions (bnc#1012628).
- x86/entry/64: Merge the POP_C_REGS and POP_EXTRA_REGS macros
into a single POP_REGS macro (bnc#1012628).
- x86/entry/64: Interleave XOR register clearing with PUSH
instructions (bnc#1012628).
- x86/entry/64: Introduce the PUSH_AND_CLEAN_REGS macro
(bnc#1012628).
- x86/entry/64: Use PUSH_AND_CLEAN_REGS in more cases
(bnc#1012628).
- x86/entry/64: Get rid of the ALLOC_PT_GPREGS_ON_STACK and
SAVE_AND_CLEAR_REGS macros (bnc#1012628).
- x86/entry/64: Indent PUSH_AND_CLEAR_REGS and POP_REGS properly
(bnc#1012628).
- x86/entry/64: Fix paranoid_entry() frame pointer warning
(bnc#1012628).
- x86/entry/64: Remove the unused 'icebp' macro (bnc#1012628).
- selftests/x86: Fix vDSO selftest segfault for vsyscall=none
(bnc#1012628).
- selftests/x86: Clean up and document sscanf() usage
(bnc#1012628).
- selftests/x86/pkeys: Remove unused functions (bnc#1012628).
- selftests/x86: Fix build bug caused by the 5lvl test which
has been moved to the VM directory (bnc#1012628).
- selftests/x86: Do not rely on "int $0x80" in test_mremap_vdso.c
(bnc#1012628).
- gfs2: Fixes to "Implement iomap for block_map" (bnc#1012628).
- selftests/x86: Do not rely on "int $0x80" in
single_step_syscall.c (bnc#1012628).
- selftests/x86: Disable tests requiring 32-bit support on pure
64-bit systems (bnc#1012628).
- objtool: Fix segfault in ignore_unreachable_insn()
(bnc#1012628).
- x86/debug, objtool: Annotate WARN()-related UD2 as reachable
(bnc#1012628).
- x86/debug: Use UD2 for WARN() (bnc#1012628).
- x86/speculation: Fix up array_index_nospec_mask() asm constraint
(bnc#1012628).
- nospec: Move array_index_nospec() parameter checking into
separate macro (bnc#1012628).
- x86/speculation: Add <asm/msr-index.h> dependency (bnc#1012628).
- x86/mm: Rename flush_tlb_single() and flush_tlb_one() to
__flush_tlb_one_[user|kernel]() (bnc#1012628).
- selftests/x86/mpx: Fix incorrect bounds with old _sigfault
(bnc#1012628).
- x86/cpu: Rename cpu_data.x86_mask to cpu_data.x86_stepping
(bnc#1012628).
- x86/spectre: Fix an error message (bnc#1012628).
- x86/cpu: Change type of x86_cache_size variable to unsigned int
(bnc#1012628).
- x86/entry/64: Fix CR3 restore in paranoid_exit() (bnc#1012628).
- drm/ttm: Don't add swapped BOs to swap-LRU list (bnc#1012628).
- drm/ttm: Fix 'buf' pointer update in ttm_bo_vm_access_kmap()
(v2) (bnc#1012628).
- drm/qxl: unref cursor bo when finished with it (bnc#1012628).
- drm/qxl: reapply cursor after resetting primary (bnc#1012628).
- drm/amd/powerplay: Fix smu_table_entry.handle type
(bnc#1012628).
- drm/ast: Load lut in crtc_commit (bnc#1012628).
- drm: Check for lessee in DROP_MASTER ioctl (bnc#1012628).
- arm64: Add missing Falkor part number for branch predictor
hardening (bnc#1012628).
- drm/radeon: Add dpm quirk for Jet PRO (v2) (bnc#1012628).
- drm/radeon: adjust tested variable (bnc#1012628).
- x86/smpboot: Fix uncore_pci_remove() indexing bug when
hot-removing a physical CPU (bnc#1012628).
- rtc-opal: Fix handling of firmware error codes, prevent busy
loops (bnc#1012628).
- mbcache: initialize entry->e_referenced in
mb_cache_entry_create() (bnc#1012628).
- mmc: sdhci: Implement an SDHCI-specific bounce buffer
(bnc#1012628).
- mmc: bcm2835: Don't overwrite max frequency unconditionally
(bnc#1012628).
- Revert "mmc: meson-gx: include tx phase in the tuning process"
(bnc#1012628).
- mlx5: fix mlx5_get_vector_affinity to start from completion
vector 0 (bnc#1012628).
- Revert "apple-gmux: lock iGP IO to protect from vgaarb changes"
(bnc#1012628).
- jbd2: fix sphinx kernel-doc build warnings (bnc#1012628).
- ext4: fix a race in the ext4 shutdown path (bnc#1012628).
- ext4: save error to disk in __ext4_grp_locked_error()
(bnc#1012628).
- ext4: correct documentation for grpid mount option
(bnc#1012628).
- mm: hide a #warning for COMPILE_TEST (bnc#1012628).
- mm: Fix memory size alignment in devm_memremap_pages_release()
(bnc#1012628).
- MIPS: Fix typo BIG_ENDIAN to CPU_BIG_ENDIAN (bnc#1012628).
- MIPS: CPS: Fix MIPS_ISA_LEVEL_RAW fallout (bnc#1012628).
- MIPS: Fix incorrect mem=X@Y handling (bnc#1012628).
- PCI: Disable MSI for HiSilicon Hip06/Hip07 only in Root Port
mode (bnc#1012628).
- PCI: iproc: Fix NULL pointer dereference for BCMA (bnc#1012628).
- PCI: pciehp: Assume NoCompl+ for Thunderbolt ports
(bnc#1012628).
- PCI: keystone: Fix interrupt-controller-node lookup
(bnc#1012628).
- video: fbdev: atmel_lcdfb: fix display-timings lookup
(bnc#1012628).
- console/dummy: leave .con_font_get set to NULL (bnc#1012628).
- rbd: whitelist RBD_FEATURE_OPERATIONS feature bit (bnc#1012628).
- xen: Fix {set,clear}_foreign_p2m_mapping on autotranslating
guests (bnc#1012628).
- xenbus: track caller request id (bnc#1012628).
- seq_file: fix incomplete reset on read from zero offset
(bnc#1012628).
- tracing: Fix parsing of globs with a wildcard at the beginning
(bnc#1012628).
- mpls, nospec: Sanitize array index in mpls_label_ok()
(bnc#1012628).
- rtlwifi: rtl8821ae: Fix connection lost problem correctly
(bnc#1012628).
- arm64: proc: Set PTE_NG for table entries to avoid traversing
them twice (bnc#1012628).
- xprtrdma: Fix calculation of ri_max_send_sges (bnc#1012628).
- xprtrdma: Fix BUG after a device removal (bnc#1012628).
- blk-wbt: account flush requests correctly (bnc#1012628).
- target/iscsi: avoid NULL dereference in CHAP auth error path
(bnc#1012628).
- iscsi-target: make sure to wake up sleeping login worker
(bnc#1012628).
- dm: correctly handle chained bios in dec_pending()
(bnc#1012628).
- Btrfs: fix deadlock in run_delalloc_nocow (bnc#1012628).
- Btrfs: fix crash due to not cleaning up tree log block's dirty
bits (bnc#1012628).
- Btrfs: fix extent state leak from tree log (bnc#1012628).
- Btrfs: fix use-after-free on root->orphan_block_rsv
(bnc#1012628).
- Btrfs: fix unexpected -EEXIST when creating new inode
(bnc#1012628).
- 9p/trans_virtio: discard zero-length reply (bnc#1012628).
- mtd: nand: vf610: set correct ooblayout (bnc#1012628).
- ALSA: hda - Fix headset mic detection problem for two Dell
machines (bnc#1012628).
- ALSA: usb-audio: Fix UAC2 get_ctl request with a RANGE attribute
(bnc#1012628).
- ALSA: hda/realtek - Add headset mode support for Dell laptop
(bnc#1012628).
- ALSA: hda/realtek - Enable Thinkpad Dock device for ALC298
platform (bnc#1012628).
- ALSA: hda/realtek: PCI quirk for Fujitsu U7x7 (bnc#1012628).
- ALSA: usb-audio: add implicit fb quirk for Behringer UFX1204
(bnc#1012628).
- ALSA: usb: add more device quirks for USB DSD devices
(bnc#1012628).
- ALSA: seq: Fix racy pool initializations (bnc#1012628).
- mvpp2: fix multicast address filter (bnc#1012628).
- usb: Move USB_UHCI_BIG_ENDIAN_* out of USB_SUPPORT
(bnc#1012628).
- x86/mm, mm/hwpoison: Don't unconditionally unmap kernel 1:1
pages (bnc#1012628).
- ARM: dts: exynos: fix RTC interrupt for exynos5410
(bnc#1012628).
- ARM: pxa/tosa-bt: add MODULE_LICENSE tag (bnc#1012628).
- arm64: dts: msm8916: Add missing #phy-cells (bnc#1012628).
- ARM: dts: s5pv210: add interrupt-parent for ohci (bnc#1012628).
- arm: dts: mt7623: Update ethsys binding (bnc#1012628).
- arm: dts: mt2701: Add reset-cells (bnc#1012628).
- ARM: dts: Delete bogus reference to the charlcd (bnc#1012628).
- media: r820t: fix r820t_write_reg for KASAN (bnc#1012628).
- mmc: sdhci-of-esdhc: fix eMMC couldn't work after kexec
(bnc#1012628).
- mmc: sdhci-of-esdhc: fix the mmc error after sleep on ls1046ardb
(bnc#1012628).
- Refresh
patches.suse/0001-x86-speculation-Add-basic-IBRS-support-infrastructur.patch.
- Refresh
patches.suse/0002-x86-speculation-Add-inlines-to-control-Indirect-Bran.patch.
- Refresh
patches.suse/0005-x86-enter-Use-IBRS-on-syscall-and-interrupts.patch.
- commit 078aac5
-------------------------------------------------------------------
Thu Feb 22 18:02:01 CET 2018 - lpechacek@suse.com
- rpm/kernel-spec-macros: Try harder to detect Build Service environment (bsc#1078788)
- commit a5f1a4a
-------------------------------------------------------------------
Wed Feb 21 19:58:12 CET 2018 - msuchanek@suse.de
- kernel-binary undefine unique_debug_names
Some tools do not understand names like
usr/lib/debug/boot/vmlinux-4.12.14-11.10-default-4.12.14-11.10.ppc64le.debug
- commit 2b90c1e
-------------------------------------------------------------------
Tue Feb 20 03:32:14 CET 2018 - jeffm@suse.com
- config: enable IMA and EVM
- commit 8c97198
-------------------------------------------------------------------
Sun Feb 18 18:33:25 CET 2018 - afaerber@suse.de
- config: arm64: Enable MAX77620 for Nvidia Jetson TX1 (boo#1081473)
- commit 5cbffaf
-------------------------------------------------------------------
Sat Feb 17 09:41:04 CET 2018 - jslaby@suse.cz
- Linux 4.15.4 (bnc#1012628).
- watchdog: indydog: Add dependency on SGI_HAS_INDYDOG
(bnc#1012628).
- cifs: Fix missing put_xid in cifs_file_strict_mmap
(bnc#1012628).
- cifs: Fix autonegotiate security settings mismatch
(bnc#1012628).
- CIFS: zero sensitive data when freeing (bnc#1012628).
- cpufreq: mediatek: add mediatek related projects into blacklist
(bnc#1012628).
- dmaengine: dmatest: fix container_of member in dmatest_callback
(bnc#1012628).
- ssb: Do not disable PCI host on non-Mips (bnc#1012628).
- watchdog: gpio_wdt: set WDOG_HW_RUNNING in gpio_wdt_stop
(bnc#1012628).
- Revert "drm/i915: mark all device info struct with __initconst"
(bnc#1012628).
- sched/rt: Use container_of() to get root domain in
rto_push_irq_work_func() (bnc#1012628).
- sched/rt: Up the root domain ref count when passing it around
via IPIs (bnc#1012628).
- media: dvb-usb-v2: lmedm04: Improve logic checking of warm start
(bnc#1012628).
- media: dvb-usb-v2: lmedm04: move ts2020 attach to
dm04_lme2510_tuner (bnc#1012628).
- media: hdpvr: Fix an error handling path in hdpvr_probe()
(bnc#1012628).
- arm64: cpu_errata: Add Kryo to Falkor 1003 errata (bnc#1012628).
- arm64: kpti: Make use of nG dependent on
arm64_kernel_unmapped_at_el0() (bnc#1012628).
- arm64: mm: Permit transitioning from Global to Non-Global
without BBM (bnc#1012628).
- arm64: kpti: Add ->enable callback to remap swapper using nG
mappings (bnc#1012628).
- arm64: Force KPTI to be disabled on Cavium ThunderX
(bnc#1012628).
- arm64: entry: Reword comment about post_ttbr_update_workaround
(bnc#1012628).
- arm64: idmap: Use "awx" flags for .idmap.text .pushsection
directives (bnc#1012628).
- arm64: barrier: Add CSDB macros to control data-value prediction
(bnc#1012628).
- arm64: Implement array_index_mask_nospec() (bnc#1012628).
- arm64: Make USER_DS an inclusive limit (bnc#1012628).
- arm64: Use pointer masking to limit uaccess speculation
(bnc#1012628).
- arm64: entry: Ensure branch through syscall table is bounded
under speculation (bnc#1012628).
- arm64: uaccess: Prevent speculative use of the current
addr_limit (bnc#1012628).
- arm64: uaccess: Don't bother eliding access_ok checks in __{get,
put}_user (bnc#1012628).
- arm64: uaccess: Mask __user pointers for __arch_{clear,
copy_*}_user (bnc#1012628).
- arm64: futex: Mask __user pointers prior to dereference
(bnc#1012628).
- arm64: cpufeature: __this_cpu_has_cap() shouldn't stop early
(bnc#1012628).
- arm64: entry: Apply BP hardening for high-priority synchronous
exceptions (bnc#1012628).
- arm64: entry: Apply BP hardening for suspicious interrupts
from EL0 (bnc#1012628).
- arm64: KVM: Increment PC after handling an SMC trap
(bnc#1012628).
- arm/arm64: KVM: Consolidate the PSCI include files
(bnc#1012628).
- arm/arm64: KVM: Add PSCI_VERSION helper (bnc#1012628).
- arm/arm64: KVM: Add smccc accessors to PSCI code (bnc#1012628).
- arm/arm64: KVM: Implement PSCI 1.0 support (bnc#1012628).
- arm/arm64: KVM: Advertise SMCCC v1.1 (bnc#1012628).
- arm/arm64: KVM: Turn kvm_psci_version into a static inline
(bnc#1012628).
- arm64: KVM: Report SMCCC_ARCH_WORKAROUND_1 BP hardening support
(bnc#1012628).
- arm64: KVM: Add SMCCC_ARCH_WORKAROUND_1 fast handling
(bnc#1012628).
- firmware/psci: Expose PSCI conduit (bnc#1012628).
- firmware/psci: Expose SMCCC version through psci_ops
(bnc#1012628).
- arm/arm64: smccc: Make function identifiers an unsigned quantity
(bnc#1012628).
- arm/arm64: smccc: Implement SMCCC v1.1 inline primitive
(bnc#1012628).
- arm64: Add ARM_SMCCC_ARCH_WORKAROUND_1 BP hardening support
(bnc#1012628).
- arm64: Kill PSCI_GET_VERSION as a variant-2 workaround
(bnc#1012628).
- mtd: cfi: convert inline functions to macros (bnc#1012628).
- mtd: nand: brcmnand: Disable prefetch by default (bnc#1012628).
- mtd: nand: Fix nand_do_read_oob() return value (bnc#1012628).
- mtd: nand: sunxi: Fix ECC strength choice (bnc#1012628).
- ubi: Fix race condition between ubi volume creation and udev
(bnc#1012628).
- ubi: fastmap: Erase outdated anchor PEBs during attach
(bnc#1012628).
- ubi: block: Fix locking for idr_alloc/idr_remove (bnc#1012628).
- ubifs: free the encrypted symlink target (bnc#1012628).
- nfs/pnfs: fix nfs_direct_req ref leak when i/o falls back to
the mds (bnc#1012628).
- nfs41: do not return ENOMEM on LAYOUTUNAVAILABLE (bnc#1012628).
- NFS: Add a cond_resched() to nfs_commit_release_pages()
(bnc#1012628).
- NFS: Fix nfsstat breakage due to LOOKUPP (bnc#1012628).
- NFS: commit direct writes even if they fail partially
(bnc#1012628).
- NFS: reject request for id_legacy key without auxdata
(bnc#1012628).
- NFS: Fix a race between mmap() and O_DIRECT (bnc#1012628).
- nfsd: Detect unhashed stids in nfsd4_verify_open_stid()
(bnc#1012628).
- kernfs: fix regression in kernfs_fop_write caused by wrong type
(bnc#1012628).
- ahci: Annotate PCI ids for mobile Intel chipsets as such
(bnc#1012628).
- ahci: Add PCI ids for Intel Bay Trail, Cherry Trail and Apollo
Lake AHCI (bnc#1012628).
- ahci: Add Intel Cannon Lake PCH-H PCI ID (bnc#1012628).
- crypto: hash - introduce crypto_hash_alg_has_setkey()
(bnc#1012628).
- crypto: cryptd - pass through absence of ->setkey()
(bnc#1012628).
- crypto: mcryptd - pass through absence of ->setkey()
(bnc#1012628).
- crypto: poly1305 - remove ->setkey() method (bnc#1012628).
- crypto: hash - annotate algorithms taking optional key
(bnc#1012628).
- crypto: hash - prevent using keyed hashes without setting key
(bnc#1012628).
- media: v4l2-ioctl.c: use check_fmt for enum/g/s/try_fmt
(bnc#1012628).
- media: v4l2-ioctl.c: don't copy back the result for -ENOTTY
(bnc#1012628).
- media: v4l2-compat-ioctl32.c: add missing VIDIOC_PREPARE_BUF
(bnc#1012628).
- media: v4l2-compat-ioctl32.c: fix the indentation (bnc#1012628).
- media: v4l2-compat-ioctl32.c: move 'helper' functions to
__get/put_v4l2_format32 (bnc#1012628).
- media: v4l2-compat-ioctl32.c: avoid sizeof(type) (bnc#1012628).
- media: v4l2-compat-ioctl32.c: copy m.userptr in put_v4l2_plane32
(bnc#1012628).
- media: v4l2-compat-ioctl32.c: fix ctrl_is_pointer (bnc#1012628).
- media: v4l2-compat-ioctl32.c: copy clip list in
put_v4l2_window32 (bnc#1012628).
- media: v4l2-compat-ioctl32.c: drop pr_info for unknown buffer
type (bnc#1012628).
- media: v4l2-compat-ioctl32.c: don't copy back the result for
certain errors (bnc#1012628).
- media: v4l2-compat-ioctl32.c: refactor compat ioctl32 logic
(bnc#1012628).
- media: v4l2-compat-ioctl32.c: make ctrl_is_pointer work for
subdevs (bnc#1012628).
- crypto: caam - fix endless loop when DECO acquire fails
(bnc#1012628).
- crypto: sha512-mb - initialize pending lengths correctly
(bnc#1012628).
- crypto: talitos - fix Kernel Oops on hashing an empty file
(bnc#1012628).
- arm: KVM: Fix SMCCC handling of unimplemented SMC/HVC calls
(bnc#1012628).
- KVM: nVMX: Fix races when sending nested PI while dest
enters/leaves L2 (bnc#1012628).
- KVM: nVMX: Fix bug of injecting L2 exception into L1
(bnc#1012628).
- KVM: PPC: Book3S HV: Make sure we don't re-enter guest without
XIVE loaded (bnc#1012628).
- KVM: PPC: Book3S HV: Drop locks before reading guest memory
(bnc#1012628).
- KVM: arm/arm64: Handle CPU_PM_ENTER_FAILED (bnc#1012628).
- KVM: PPC: Book3S PR: Fix broken select due to misspelling
(bnc#1012628).
- ASoC: acpi: fix machine driver selection based on quirk
(bnc#1012628).
- ASoC: rockchip: i2s: fix playback after runtime resume
(bnc#1012628).
- ASoC: skl: Fix kernel warning due to zero NHTL entry
(bnc#1012628).
- ASoC: compress: Correct handling of copy callback (bnc#1012628).
- watchdog: imx2_wdt: restore previous timeout after
suspend+resume (bnc#1012628).
- afs: Add missing afs_put_cell() (bnc#1012628).
- afs: Need to clear responded flag in addr cursor (bnc#1012628).
- afs: Fix missing cursor clearance (bnc#1012628).
- afs: Fix server list handling (bnc#1012628).
- btrfs: Handle btrfs_set_extent_delalloc failure in fixup worker
(bnc#1012628).
- Btrfs: raid56: iterate raid56 internal bio with
bio_for_each_segment_all (bnc#1012628).
- kasan: don't emit builtin calls when sanitization is off
(bnc#1012628).
- kasan: rework Kconfig settings (bnc#1012628).
- media: dvb_frontend: be sure to init dvb_frontend_handle_ioctl()
return code (bnc#1012628).
- media: dvb-frontends: fix i2c access helpers for KASAN
(bnc#1012628).
- media: dt-bindings/media/cec-gpio.txt: mention the CEC/HPD
max voltages (bnc#1012628).
- media: ts2020: avoid integer overflows on 32 bit machines
(bnc#1012628).
- media: vivid: fix module load error when enabling fb and
no_error_inj=1 (bnc#1012628).
- media: cxusb, dib0700: ignore XC2028_I2C_FLUSH (bnc#1012628).
- fs/proc/kcore.c: use probe_kernel_read() instead of memcpy()
(bnc#1012628).
- kernel/async.c: revert "async: simplify lowest_in_progress()"
(bnc#1012628).
- kernel/relay.c: revert "kernel/relay.c: fix potential memory
leak" (bnc#1012628).
- pipe: actually allow root to exceed the pipe buffer limits
(bnc#1012628).
- pipe: fix off-by-one error when checking buffer limits
(bnc#1012628).
- HID: quirks: Fix keyboard + touchpad on Toshiba Click Mini
not working (bnc#1012628).
- Bluetooth: btsdio: Do not bind to non-removable BCM43341
(bnc#1012628).
- Revert "Bluetooth: btusb: fix QCA Rome suspend/resume"
(bnc#1012628).
- Bluetooth: btusb: Restore QCA Rome suspend/resume fix with a
"rewritten" version (bnc#1012628).
- ipmi: use dynamic memory for DMI driver override (bnc#1012628).
- signal/openrisc: Fix do_unaligned_access to send the proper
signal (bnc#1012628).
- signal/sh: Ensure si_signo is initialized in do_divide_error
(bnc#1012628).
- alpha: fix crash if pthread_create races with signal delivery
(bnc#1012628).
- alpha: osf_sys.c: fix put_tv32 regression (bnc#1012628).
- alpha: Fix mixed up args in EXC macro in futex operations
(bnc#1012628).
- alpha: fix reboot on Avanti platform (bnc#1012628).
- alpha: fix formating of stack content (bnc#1012628).
- xtensa: fix futex_atomic_cmpxchg_inatomic (bnc#1012628).
- EDAC, octeon: Fix an uninitialized variable warning
(bnc#1012628).
- genirq: Make legacy autoprobing work again (bnc#1012628).
- pinctrl: intel: Initialize GPIO properly when used through
irqchip (bnc#1012628).
- pinctrl: mcp23s08: fix irq setup order (bnc#1012628).
- pinctrl: sx150x: Unregister the pinctrl on release
(bnc#1012628).
- pinctrl: sx150x: Register pinctrl before adding the gpiochip
(bnc#1012628).
- pinctrl: sx150x: Add a static gpio/pinctrl pin range mapping
(bnc#1012628).
- pktcdvd: Fix pkt_setup_dev() error path (bnc#1012628).
- pktcdvd: Fix a recently introduced NULL pointer dereference
(bnc#1012628).
- blk-mq: quiesce queue before freeing queue (bnc#1012628).
- clocksource/drivers/stm32: Fix kernel panic with multiple timers
(bnc#1012628).
- lib/ubsan.c: s/missaligned/misaligned/ (bnc#1012628).
- lib/ubsan: add type mismatch handler for new GCC/Clang
(bnc#1012628).
- objtool: Fix switch-table detection (bnc#1012628).
- arm64: dts: marvell: add Ethernet aliases (bnc#1012628).
- drm/i915: Avoid PPS HW/SW state mismatch due to rounding
(bnc#1012628).
- ACPI: sbshc: remove raw pointer from printk() message
(bnc#1012628).
- acpi, nfit: fix register dimm error handling (bnc#1012628).
- ovl: force r/o mount when index dir creation fails
(bnc#1012628).
- ovl: fix failure to fsync lower dir (bnc#1012628).
- ovl: take mnt_want_write() for work/index dir setup
(bnc#1012628).
- ovl: take mnt_want_write() for removing impure xattr
(bnc#1012628).
- ovl: hash directory inodes for fsnotify (bnc#1012628).
- mn10300/misalignment: Use SIGSEGV SEGV_MAPERR to report a
failed user copy (bnc#1012628).
- devpts: fix error handling in devpts_mntget() (bnc#1012628).
- ftrace: Remove incorrect setting of glob search field
(bnc#1012628).
- scsi: core: Ensure that the SCSI error handler gets woken up
(bnc#1012628).
- scsi: lpfc: Fix crash after bad bar setup on driver attachment
(bnc#1012628).
- scsi: cxlflash: Reset command ioasc (bnc#1012628).
- rcu: Export init_rcu_head() and destroy_rcu_head() to GPL
modules (bnc#1012628).
- media: dvb_frontend: fix return error code (git-fixes).
- mtd: ubi: wl: Fix error return code in ubi_wl_init()
(git-fixes).
- Update config files.
- Linux 4.15.4 (bnc#1012628).
- watchdog: indydog: Add dependency on SGI_HAS_INDYDOG
(bnc#1012628).
- cifs: Fix missing put_xid in cifs_file_strict_mmap
(bnc#1012628).
- cifs: Fix autonegotiate security settings mismatch
(bnc#1012628).
- CIFS: zero sensitive data when freeing (bnc#1012628).
- cpufreq: mediatek: add mediatek related projects into blacklist
(bnc#1012628).
- dmaengine: dmatest: fix container_of member in dmatest_callback
(bnc#1012628).
- ssb: Do not disable PCI host on non-Mips (bnc#1012628).
- watchdog: gpio_wdt: set WDOG_HW_RUNNING in gpio_wdt_stop
(bnc#1012628).
- Revert "drm/i915: mark all device info struct with __initconst"
(bnc#1012628).
- sched/rt: Use container_of() to get root domain in
rto_push_irq_work_func() (bnc#1012628).
- sched/rt: Up the root domain ref count when passing it around
via IPIs (bnc#1012628).
- media: dvb-usb-v2: lmedm04: Improve logic checking of warm start
(bnc#1012628).
- media: dvb-usb-v2: lmedm04: move ts2020 attach to
dm04_lme2510_tuner (bnc#1012628).
- media: hdpvr: Fix an error handling path in hdpvr_probe()
(bnc#1012628).
- arm64: cpu_errata: Add Kryo to Falkor 1003 errata (bnc#1012628).
- arm64: kpti: Make use of nG dependent on
arm64_kernel_unmapped_at_el0() (bnc#1012628).
- arm64: mm: Permit transitioning from Global to Non-Global
without BBM (bnc#1012628).
- arm64: kpti: Add ->enable callback to remap swapper using nG
mappings (bnc#1012628).
- arm64: Force KPTI to be disabled on Cavium ThunderX
(bnc#1012628).
- arm64: entry: Reword comment about post_ttbr_update_workaround
(bnc#1012628).
- arm64: idmap: Use "awx" flags for .idmap.text .pushsection
directives (bnc#1012628).
- arm64: barrier: Add CSDB macros to control data-value prediction
(bnc#1012628).
- arm64: Implement array_index_mask_nospec() (bnc#1012628).
- arm64: Make USER_DS an inclusive limit (bnc#1012628).
- arm64: Use pointer masking to limit uaccess speculation
(bnc#1012628).
- arm64: entry: Ensure branch through syscall table is bounded
under speculation (bnc#1012628).
- arm64: uaccess: Prevent speculative use of the current
addr_limit (bnc#1012628).
- arm64: uaccess: Don't bother eliding access_ok checks in __{get,
put}_user (bnc#1012628).
- arm64: uaccess: Mask __user pointers for __arch_{clear,
copy_*}_user (bnc#1012628).
- arm64: futex: Mask __user pointers prior to dereference
(bnc#1012628).
- arm64: cpufeature: __this_cpu_has_cap() shouldn't stop early
(bnc#1012628).
- arm64: entry: Apply BP hardening for high-priority synchronous
exceptions (bnc#1012628).
- arm64: entry: Apply BP hardening for suspicious interrupts
from EL0 (bnc#1012628).
- arm64: KVM: Increment PC after handling an SMC trap
(bnc#1012628).
- arm/arm64: KVM: Consolidate the PSCI include files
(bnc#1012628).
- arm/arm64: KVM: Add PSCI_VERSION helper (bnc#1012628).
- arm/arm64: KVM: Add smccc accessors to PSCI code (bnc#1012628).
- arm/arm64: KVM: Implement PSCI 1.0 support (bnc#1012628).
- arm/arm64: KVM: Advertise SMCCC v1.1 (bnc#1012628).
- arm/arm64: KVM: Turn kvm_psci_version into a static inline
(bnc#1012628).
- arm64: KVM: Report SMCCC_ARCH_WORKAROUND_1 BP hardening support
(bnc#1012628).
- arm64: KVM: Add SMCCC_ARCH_WORKAROUND_1 fast handling
(bnc#1012628).
- firmware/psci: Expose PSCI conduit (bnc#1012628).
- firmware/psci: Expose SMCCC version through psci_ops
(bnc#1012628).
- arm/arm64: smccc: Make function identifiers an unsigned quantity
(bnc#1012628).
- arm/arm64: smccc: Implement SMCCC v1.1 inline primitive
(bnc#1012628).
- arm64: Add ARM_SMCCC_ARCH_WORKAROUND_1 BP hardening support
(bnc#1012628).
- arm64: Kill PSCI_GET_VERSION as a variant-2 workaround
(bnc#1012628).
- mtd: cfi: convert inline functions to macros (bnc#1012628).
- mtd: nand: brcmnand: Disable prefetch by default (bnc#1012628).
- mtd: nand: Fix nand_do_read_oob() return value (bnc#1012628).
- mtd: nand: sunxi: Fix ECC strength choice (bnc#1012628).
- ubi: Fix race condition between ubi volume creation and udev
(bnc#1012628).
- ubi: fastmap: Erase outdated anchor PEBs during attach
(bnc#1012628).
- ubi: block: Fix locking for idr_alloc/idr_remove (bnc#1012628).
- ubifs: free the encrypted symlink target (bnc#1012628).
- nfs/pnfs: fix nfs_direct_req ref leak when i/o falls back to
the mds (bnc#1012628).
- nfs41: do not return ENOMEM on LAYOUTUNAVAILABLE (bnc#1012628).
- NFS: Add a cond_resched() to nfs_commit_release_pages()
(bnc#1012628).
- NFS: Fix nfsstat breakage due to LOOKUPP (bnc#1012628).
- NFS: commit direct writes even if they fail partially
(bnc#1012628).
- NFS: reject request for id_legacy key without auxdata
(bnc#1012628).
- NFS: Fix a race between mmap() and O_DIRECT (bnc#1012628).
- nfsd: Detect unhashed stids in nfsd4_verify_open_stid()
(bnc#1012628).
- kernfs: fix regression in kernfs_fop_write caused by wrong type
(bnc#1012628).
- ahci: Annotate PCI ids for mobile Intel chipsets as such
(bnc#1012628).
- ahci: Add PCI ids for Intel Bay Trail, Cherry Trail and Apollo
Lake AHCI (bnc#1012628).
- ahci: Add Intel Cannon Lake PCH-H PCI ID (bnc#1012628).
- crypto: hash - introduce crypto_hash_alg_has_setkey()
(bnc#1012628).
- crypto: cryptd - pass through absence of ->setkey()
(bnc#1012628).
- crypto: mcryptd - pass through absence of ->setkey()
(bnc#1012628).
- crypto: poly1305 - remove ->setkey() method (bnc#1012628).
- crypto: hash - annotate algorithms taking optional key
(bnc#1012628).
- crypto: hash - prevent using keyed hashes without setting key
(bnc#1012628).
- media: v4l2-ioctl.c: use check_fmt for enum/g/s/try_fmt
(bnc#1012628).
- media: v4l2-ioctl.c: don't copy back the result for -ENOTTY
(bnc#1012628).
- media: v4l2-compat-ioctl32.c: add missing VIDIOC_PREPARE_BUF
(bnc#1012628).
- media: v4l2-compat-ioctl32.c: fix the indentation (bnc#1012628).
- media: v4l2-compat-ioctl32.c: move 'helper' functions to
__get/put_v4l2_format32 (bnc#1012628).
- media: v4l2-compat-ioctl32.c: avoid sizeof(type) (bnc#1012628).
- media: v4l2-compat-ioctl32.c: copy m.userptr in put_v4l2_plane32
(bnc#1012628).
- media: v4l2-compat-ioctl32.c: fix ctrl_is_pointer (bnc#1012628).
- media: v4l2-compat-ioctl32.c: copy clip list in
put_v4l2_window32 (bnc#1012628).
- media: v4l2-compat-ioctl32.c: drop pr_info for unknown buffer
type (bnc#1012628).
- media: v4l2-compat-ioctl32.c: don't copy back the result for
certain errors (bnc#1012628).
- media: v4l2-compat-ioctl32.c: refactor compat ioctl32 logic
(bnc#1012628).
- media: v4l2-compat-ioctl32.c: make ctrl_is_pointer work for
subdevs (bnc#1012628).
- crypto: caam - fix endless loop when DECO acquire fails
(bnc#1012628).
- crypto: sha512-mb - initialize pending lengths correctly
(bnc#1012628).
- crypto: talitos - fix Kernel Oops on hashing an empty file
(bnc#1012628).
- arm: KVM: Fix SMCCC handling of unimplemented SMC/HVC calls
(bnc#1012628).
- KVM: nVMX: Fix races when sending nested PI while dest
enters/leaves L2 (bnc#1012628).
- KVM: nVMX: Fix bug of injecting L2 exception into L1
(bnc#1012628).
- KVM: PPC: Book3S HV: Make sure we don't re-enter guest without
XIVE loaded (bnc#1012628).
- KVM: PPC: Book3S HV: Drop locks before reading guest memory
(bnc#1012628).
- KVM: arm/arm64: Handle CPU_PM_ENTER_FAILED (bnc#1012628).
- KVM: PPC: Book3S PR: Fix broken select due to misspelling
(bnc#1012628).
- ASoC: acpi: fix machine driver selection based on quirk
(bnc#1012628).
- ASoC: rockchip: i2s: fix playback after runtime resume
(bnc#1012628).
- ASoC: skl: Fix kernel warning due to zero NHTL entry
(bnc#1012628).
- ASoC: compress: Correct handling of copy callback (bnc#1012628).
- watchdog: imx2_wdt: restore previous timeout after
suspend+resume (bnc#1012628).
- afs: Add missing afs_put_cell() (bnc#1012628).
- afs: Need to clear responded flag in addr cursor (bnc#1012628).
- afs: Fix missing cursor clearance (bnc#1012628).
- afs: Fix server list handling (bnc#1012628).
- btrfs: Handle btrfs_set_extent_delalloc failure in fixup worker
(bnc#1012628).
- Btrfs: raid56: iterate raid56 internal bio with
bio_for_each_segment_all (bnc#1012628).
- kasan: don't emit builtin calls when sanitization is off
(bnc#1012628).
- kasan: rework Kconfig settings (bnc#1012628).
- media: dvb_frontend: be sure to init dvb_frontend_handle_ioctl()
return code (bnc#1012628).
- media: dvb-frontends: fix i2c access helpers for KASAN
(bnc#1012628).
- media: dt-bindings/media/cec-gpio.txt: mention the CEC/HPD
max voltages (bnc#1012628).
- media: ts2020: avoid integer overflows on 32 bit machines
(bnc#1012628).
- media: vivid: fix module load error when enabling fb and
no_error_inj=1 (bnc#1012628).
- media: cxusb, dib0700: ignore XC2028_I2C_FLUSH (bnc#1012628).
- fs/proc/kcore.c: use probe_kernel_read() instead of memcpy()
(bnc#1012628).
- kernel/async.c: revert "async: simplify lowest_in_progress()"
(bnc#1012628).
- kernel/relay.c: revert "kernel/relay.c: fix potential memory
leak" (bnc#1012628).
- pipe: actually allow root to exceed the pipe buffer limits
(bnc#1012628).
- pipe: fix off-by-one error when checking buffer limits
(bnc#1012628).
- HID: quirks: Fix keyboard + touchpad on Toshiba Click Mini
not working (bnc#1012628).
- Bluetooth: btsdio: Do not bind to non-removable BCM43341
(bnc#1012628).
- Revert "Bluetooth: btusb: fix QCA Rome suspend/resume"
(bnc#1012628).
- Bluetooth: btusb: Restore QCA Rome suspend/resume fix with a
"rewritten" version (bnc#1012628).
- ipmi: use dynamic memory for DMI driver override (bnc#1012628).
- signal/openrisc: Fix do_unaligned_access to send the proper
signal (bnc#1012628).
- signal/sh: Ensure si_signo is initialized in do_divide_error
(bnc#1012628).
- alpha: fix crash if pthread_create races with signal delivery
(bnc#1012628).
- alpha: osf_sys.c: fix put_tv32 regression (bnc#1012628).
- alpha: Fix mixed up args in EXC macro in futex operations
(bnc#1012628).
- alpha: fix reboot on Avanti platform (bnc#1012628).
- alpha: fix formating of stack content (bnc#1012628).
- xtensa: fix futex_atomic_cmpxchg_inatomic (bnc#1012628).
- EDAC, octeon: Fix an uninitialized variable warning
(bnc#1012628).
- genirq: Make legacy autoprobing work again (bnc#1012628).
- pinctrl: intel: Initialize GPIO properly when used through
irqchip (bnc#1012628).
- pinctrl: mcp23s08: fix irq setup order (bnc#1012628).
- pinctrl: sx150x: Unregister the pinctrl on release
(bnc#1012628).
- pinctrl: sx150x: Register pinctrl before adding the gpiochip
(bnc#1012628).
- pinctrl: sx150x: Add a static gpio/pinctrl pin range mapping
(bnc#1012628).
- pktcdvd: Fix pkt_setup_dev() error path (bnc#1012628).
- pktcdvd: Fix a recently introduced NULL pointer dereference
(bnc#1012628).
- blk-mq: quiesce queue before freeing queue (bnc#1012628).
- clocksource/drivers/stm32: Fix kernel panic with multiple timers
(bnc#1012628).
- lib/ubsan.c: s/missaligned/misaligned/ (bnc#1012628).
- lib/ubsan: add type mismatch handler for new GCC/Clang
(bnc#1012628).
- objtool: Fix switch-table detection (bnc#1012628).
- arm64: dts: marvell: add Ethernet aliases (bnc#1012628).
- drm/i915: Avoid PPS HW/SW state mismatch due to rounding
(bnc#1012628).
- ACPI: sbshc: remove raw pointer from printk() message
(bnc#1012628).
- acpi, nfit: fix register dimm error handling (bnc#1012628).
- ovl: force r/o mount when index dir creation fails
(bnc#1012628).
- ovl: fix failure to fsync lower dir (bnc#1012628).
- ovl: take mnt_want_write() for work/index dir setup
(bnc#1012628).
- ovl: take mnt_want_write() for removing impure xattr
(bnc#1012628).
- ovl: hash directory inodes for fsnotify (bnc#1012628).
- mn10300/misalignment: Use SIGSEGV SEGV_MAPERR to report a
failed user copy (bnc#1012628).
- devpts: fix error handling in devpts_mntget() (bnc#1012628).
- ftrace: Remove incorrect setting of glob search field
(bnc#1012628).
- scsi: core: Ensure that the SCSI error handler gets woken up
(bnc#1012628).
- scsi: lpfc: Fix crash after bad bar setup on driver attachment
(bnc#1012628).
- scsi: cxlflash: Reset command ioasc (bnc#1012628).
- rcu: Export init_rcu_head() and destroy_rcu_head() to GPL
modules (bnc#1012628).
- Update config files.
- commit 4d42c34
-------------------------------------------------------------------
Thu Feb 15 14:30:11 CET 2018 - jmoreira@suse.de
- Add kernel livepatch-devel package
Resolving non-exported static symbols in kernel livepatches cannot
be done implicitly. For such, the relocations of these symbols must
follow a specially crafted format in the respective elf file. Willing
to make the task of converting the elf into the right format, a tool
named klp-convert was proposed. Through a file that maps all kernel
symbols into their respective kernel object, this tool infers which
non-exported symbol is relative to a livepatch relocation and
automatically formats the final elf file.
Add support to the generation of a map file right after the kernel
compilation.
Add the package kernel-<flavour>-livepatch-devel that brings
the map file.
- commit 0b1b4d3
-------------------------------------------------------------------
Tue Feb 13 08:58:21 CET 2018 - jslaby@suse.cz
- Linux 4.15.3 (bnc#1012628).
- crypto: tcrypt - fix S/G table for test_aead_speed()
(bnc#1012628).
- gpio: uniphier: fix mismatch between license text and
MODULE_LICENSE (bnc#1012628).
- media: tegra-cec: add missing MODULE_DESCRIPTION/AUTHOR/LICENSE
(bnc#1012628).
- media: soc_camera: soc_scale_crop: add missing
MODULE_DESCRIPTION/AUTHOR/LICENSE (bnc#1012628).
- media: mtk-vcodec: add missing MODULE_LICENSE/DESCRIPTION
(bnc#1012628).
- net: sched: fix use-after-free in tcf_block_put_ext
(bnc#1012628).
- net_sched: get rid of rcu_barrier() in tcf_block_put_ext()
(bnc#1012628).
- soreuseport: fix mem leak in reuseport_add_sock() (bnc#1012628).
- ipv6: Fix SO_REUSEPORT UDP socket with implicit sk_ipv6only
(bnc#1012628).
- cls_u32: add missing RCU annotation (bnc#1012628).
- tcp_bbr: fix pacing_gain to always be unity when using lt_bw
(bnc#1012628).
- rocker: fix possible null pointer dereference in
rocker_router_fib_event_work (bnc#1012628).
- net: ipv6: send unsolicited NA after DAD (bnc#1012628).
- Revert "defer call to mem_cgroup_sk_alloc()" (bnc#1012628).
- ipv6: change route cache aging logic (bnc#1012628).
- ipv6: addrconf: break critical section in addrconf_verify_rtnl()
(bnc#1012628).
- vhost_net: stop device during reset owner (bnc#1012628).
- tcp: release sk_frag.page in tcp_disconnect (bnc#1012628).
- r8169: fix RTL8168EP take too long to complete driver
initialization (bnc#1012628).
- qmi_wwan: Add support for Quectel EP06 (bnc#1012628).
- qlcnic: fix deadlock bug (bnc#1012628).
- net: igmp: add a missing rcu locking section (bnc#1012628).
- ip6mr: fix stale iterator (bnc#1012628).
- commit e7bb737
-------------------------------------------------------------------
Tue Feb 13 08:55:21 CET 2018 - jslaby@suse.cz
- ext4: llseek, do not crop offset on 32bit (bsc#1079747).
- commit c6ab9c6
-------------------------------------------------------------------
Sun Feb 11 02:20:43 CET 2018 - afaerber@suse.de
- config: arm64: Enable Hi6421 PMU (bsc#1080449)
- commit 77a784c
-------------------------------------------------------------------
Fri Feb 9 16:48:09 CET 2018 - jslaby@suse.cz
- Update i386 config files.
Switch from almost unusable UNWINDER_GUESS to UNWINDER_FRAME_POINTER
(which enables FRAME_POINTERs). It will slow down the kernel a bit,
but improves the stack traces by the order of magnitude. Sad is that
we have no ORCs on i386...
- commit 1d39996
-------------------------------------------------------------------
Fri Feb 9 16:05:51 CET 2018 - jslaby@suse.cz
- Update config files.
Sync vanilla configs to 4.15.
- commit 85c7881
-------------------------------------------------------------------
Thu Feb 8 16:37:42 CET 2018 - msuchanek@suse.de
- rpm/kernel-binary.spec.in: Also require m4 for build.
- commit 0d7b4b3
-------------------------------------------------------------------
Thu Feb 8 07:52:04 CET 2018 - jslaby@suse.cz
- Linux 4.15.2 (bnc#1012628).
- KVM: x86: Make indirect calls in emulator speculation safe
(bnc#1012628).
- KVM: VMX: Make indirect call speculation safe (bnc#1012628).
- x86/alternative: Print unadorned pointers (bnc#1012628).
- auxdisplay: img-ascii-lcd: add missing
MODULE_DESCRIPTION/AUTHOR/LICENSE (bnc#1012628).
- iio: adc/accel: Fix up module licenses (bnc#1012628).
- pinctrl: pxa: pxa2xx: add missing
MODULE_DESCRIPTION/AUTHOR/LICENSE (bnc#1012628).
- ASoC: pcm512x: add missing MODULE_DESCRIPTION/AUTHOR/LICENSE
(bnc#1012628).
- KVM: nVMX: Eliminate vmcs02 pool (bnc#1012628).
- KVM: VMX: introduce alloc_loaded_vmcs (bnc#1012628).
- objtool: Improve retpoline alternative handling (bnc#1012628).
- objtool: Add support for alternatives at the end of a section
(bnc#1012628).
- objtool: Warn on stripped section symbol (bnc#1012628).
- x86/mm: Fix overlap of i386 CPU_ENTRY_AREA with FIX_BTMAP
(bnc#1012628).
- x86/entry/64: Remove the SYSCALL64 fast path (bnc#1012628).
- x86/entry/64: Push extra regs right away (bnc#1012628).
- x86/asm: Move 'status' from thread_struct to thread_info
(bnc#1012628).
- x86/spectre: Fix spelling mistake: "vunerable"-> "vulnerable"
(bnc#1012628).
- x86/paravirt: Remove 'noreplace-paravirt' cmdline option
(bnc#1012628).
- KVM: VMX: make MSR bitmaps per-VCPU (bnc#1012628).
- x86/kvm: Update spectre-v1 mitigation (bnc#1012628).
- x86/retpoline: Avoid retpolines for built-in __init functions
(bnc#1012628).
- x86/speculation: Fix typo IBRS_ATT, which should be IBRS_ALL
(bnc#1012628).
- KVM/x86: Update the reverse_cpuid list to include CPUID_7_EDX
(bnc#1012628).
- KVM/x86: Add IBPB support (bnc#1012628).
- KVM/VMX: Emulate MSR_IA32_ARCH_CAPABILITIES (bnc#1012628).
- KVM/VMX: Allow direct access to MSR_IA32_SPEC_CTRL
(bnc#1012628).
- KVM/SVM: Allow direct access to MSR_IA32_SPEC_CTRL
(bnc#1012628).
- serial: core: mark port as initialized after successful IRQ
change (bnc#1012628).
- fpga: region: release of_parse_phandle nodes after use
(bnc#1012628).
- commit 54903ae
-------------------------------------------------------------------
Wed Feb 7 16:06:12 CET 2018 - msuchanek@suse.de
- rpm/kernel-binary.spec.in: install ksym-provides tool (bsc#1077692).
- commit 679f5c5
-------------------------------------------------------------------
Wed Feb 7 15:54:20 CET 2018 - msuchanek@suse.de
- rpm/kernel-binary.spec.in: require bison for build.
linux-next tends to have outdated generated files so it needs bison to
regenerate them.
- commit 4ad1ede
-------------------------------------------------------------------
Wed Feb 7 15:46:22 CET 2018 - msuchanek@suse.de
- Add ksym-provides tool (bsc#1077692).
- commit 9cd1e1e
-------------------------------------------------------------------
Mon Feb 5 15:52:19 CET 2018 - jslaby@suse.cz
- x86/pti: Mark constant arrays as __initconst (bsc#1068032
CVE-2017-5753).
- x86/cpuid: Fix up "virtual" IBRS/IBPB/STIBP feature bits on
Intel (bsc#1068032 CVE-2017-5753).
- commit 7d4f257
-------------------------------------------------------------------
Mon Feb 5 14:15:56 CET 2018 - jslaby@suse.cz
- x86/speculation: Add basic IBRS support infrastructure
(bsc#1068032 CVE-2017-5753).
- x86/pti: Do not enable PTI on CPUs which are not vulnerable
to Meltdown (bsc#1068032 CVE-2017-5753).
- x86/cpufeature: Blacklist SPEC_CTRL/PRED_CMD on early Spectre
v2 microcodes (bsc#1068032 CVE-2017-5753).
- x86/nospec: Fix header guards names (bsc#1068032 CVE-2017-5753).
- x86/bugs: Drop one "mitigation" from dmesg (bsc#1068032
CVE-2017-5753).
- x86/cpu/bugs: Make retpoline module warning conditional
(bsc#1068032 CVE-2017-5753).
- x86/cpufeatures: Clean up Spectre v2 related CPUID flags
(bsc#1068032 CVE-2017-5753).
- x86/retpoline: Simplify vmexit_fill_RSB() (bsc#1068032
CVE-2017-5753).
- x86/speculation: Simplify indirect_branch_prediction_barrier()
(bsc#1068032 CVE-2017-5753).
- module/retpoline: Warn about missing retpoline in module
(bsc#1068032 CVE-2017-5753).
- x86/spectre: Check CONFIG_RETPOLINE in command line parser
(bsc#1068032 CVE-2017-5753).
- x86/speculation: Use Indirect Branch Prediction Barrier in
context switch (bsc#1068032 CVE-2017-5753).
- Refresh
patches.suse/0001-x86-cpufeatures-Add-CPUID_7_EDX-CPUID-leaf.patch.
- Refresh
patches.suse/0002-x86-cpufeatures-Add-Intel-feature-bits-for-Speculati.patch.
- Refresh
patches.suse/0003-x86-cpufeatures-Add-AMD-feature-bits-for-Speculation.patch.
- Refresh
patches.suse/0004-x86-msr-Add-definitions-for-new-speculation-control-.patch.
- Refresh
patches.suse/0007-x86-speculation-Add-basic-IBPB-Indirect-Branch-Predi.patch.
- Refresh patches.suse/supported-flag.
- Delete
patches.suse/0005-x86-pti-Do-not-enable-PTI-on-processors-which-are-no.patch.
- Delete
patches.suse/0006-x86-cpufeature-Blacklist-SPEC_CTRL-on-early-Spectre-.patch.
- Delete patches.suse/0008-x86-kvm-Add-IBPB-support.patch.
- Delete
patches.suse/0009-x86-speculation-Use-Indirect-Branch-Prediction-Barri.patch.
- Delete
patches.suse/0010-x86-mm-Only-flush-indirect-branches-when-switching-i.patch.
- Delete
patches.suse/0011-x86-speculation-Add-basic-IBRS-support-infrastructur.patch.
- Delete
patches.suse/0017-x86-ibrs-Add-new-helper-macros-to-save-restore-MSR_I-fix.patch.
- Delete
patches.suse/0017-x86-ibrs-Add-new-helper-macros-to-save-restore-MSR_I.patch.
- Delete
patches.suse/0018-x86-vmx-Direct-access-to-MSR_IA32_SPEC_CTRL.patch.
Update to the patches from 4.16-rc1 and the updated IBRS patches from
the dwmw's repo.
- commit cd20d46
-------------------------------------------------------------------
Mon Feb 5 10:50:15 CET 2018 - jslaby@suse.cz
- Documentation: Document array_index_nospec (bsc#1068032
CVE-2017-5715).
- array_index_nospec: Sanitize speculative array de-references
(bsc#1068032 CVE-2017-5715).
- x86: Implement array_index_mask_nospec (bsc#1068032
CVE-2017-5715).
- x86: Introduce barrier_nospec (bsc#1068032 CVE-2017-5715).
- x86: Introduce __uaccess_begin_nospec() and uaccess_try_nospec
(bsc#1068032 CVE-2017-5715).
- x86/usercopy: Replace open coded stac/clac with
__uaccess_{begin, end} (bsc#1068032 CVE-2017-5715).
- x86/syscall: Sanitize syscall table de-references under
speculation (bsc#1068032 CVE-2017-5715).
- nl80211: Sanitize array index in parse_txq_params (bsc#1068032
CVE-2017-5715).
- x86/spectre: Report get_user mitigation for spectre_v1
(bsc#1068032 CVE-2017-5715).
- Delete patches.suse/0001-Documentation-document-array_ptr.patch.
- Delete
patches.suse/0002-asm-nospec-array_ptr-sanitize-speculative-array-de-r.patch.
- Delete patches.suse/0003-x86-implement-array_ptr_mask.patch.
- Delete
patches.suse/0004-x86-introduce-__uaccess_begin_nospec-and-ifence.patch.
- Delete
patches.suse/0007-x86-narrow-out-of-bounds-syscalls-to-sys_read-under-.patch.
- Delete
patches.suse/0009-kvm-x86-update-spectre-v1-mitigation.patch.
- Delete
patches.suse/0010-nl80211-sanitize-array-index-in-parse_txq_params.patch.
Replace by the patches from 4.16-rc1.
- commit 8343cab
-------------------------------------------------------------------
Mon Feb 5 10:43:09 CET 2018 - jslaby@suse.cz
- scsi: aacraid: remove redundant setting of variable c
(git-fixes).
- commit 143e25c
-------------------------------------------------------------------
Sun Feb 4 18:58:19 CET 2018 - jslaby@suse.cz
- Linux 4.15.1 (bnc#1012628).
- x86/efi: Clarify that reset attack mitigation needs appropriate
userspace (bnc#1012628).
- Input: synaptics-rmi4 - do not delete interrupt memory too early
(bnc#1012628).
- Input: synaptics-rmi4 - unmask F03 interrupts when port is
opened (bnc#1012628).
- test_firmware: fix missing unlock on error in
config_num_requests_store() (bnc#1012628).
- iio: chemical: ccs811: Fix output of IIO_CONCENTRATION channels
(bnc#1012628).
- iio: adc: stm32: fix scan of multiple channels with DMA
(bnc#1012628).
- spi: imx: do not access registers while clocks disabled
(bnc#1012628).
- serial: imx: Only wakeup via RTSDEN bit if the system has
RTS/CTS (bnc#1012628).
- serial: 8250_dw: Revert "Improve clock rate setting"
(bnc#1012628).
- serial: 8250_uniphier: fix error return code in
uniphier_uart_probe() (bnc#1012628).
- serial: 8250_of: fix return code when probe function fails to
get reset (bnc#1012628).
- mei: me: allow runtime pm for platform with D0i3 (bnc#1012628).
- android: binder: use VM_ALLOC to get vm area (bnc#1012628).
- ANDROID: binder: remove waitqueue when thread exits
(bnc#1012628).
- usb/gadget: Fix "high bandwidth" check in
usb_gadget_ep_match_desc() (bnc#1012628).
- usb: uas: unconditionally bring back host after reset
(bnc#1012628).
- usb: f_fs: Prevent gadget unbind if it is already unbound
(bnc#1012628).
- USB: serial: simple: add Motorola Tetra driver (bnc#1012628).
- usbip: list: don't list devices attached to vhci_hcd
(bnc#1012628).
- usbip: prevent bind loops on devices attached to vhci_hcd
(bnc#1012628).
- USB: serial: io_edgeport: fix possible sleep-in-atomic
(bnc#1012628).
- CDC-ACM: apply quirk for card reader (bnc#1012628).
- USB: cdc-acm: Do not log urb submission errors on disconnect
(bnc#1012628).
- USB: serial: pl2303: new device id for Chilitag (bnc#1012628).
- usb: option: Add support for FS040U modem (bnc#1012628).
- tty: fix data race between tty_init_dev and flush of buf
(bnc#1012628).
- staging: ccree: fix fips event irq handling build (bnc#1012628).
- staging: ccree: NULLify backup_info when unused (bnc#1012628).
- staging: lustre: separate a connection destroy from free struct
kib_conn (bnc#1012628).
- scsi: storvsc: missing error code in storvsc_probe()
(bnc#1012628).
- scsi: aacraid: Fix hang in kdump (bnc#1012628).
- scsi: aacraid: Fix udev inquiry race condition (bnc#1012628).
- ima/policy: fix parsing of fsuuid (bnc#1012628).
- igb: Free IRQs when device is hotplugged (bnc#1012628).
- mtd: nand: denali_pci: add missing
MODULE_DESCRIPTION/AUTHOR/LICENSE (bnc#1012628).
- gpio: ath79: add missing MODULE_DESCRIPTION/LICENSE
(bnc#1012628).
- gpio: iop: add missing MODULE_DESCRIPTION/AUTHOR/LICENSE
(bnc#1012628).
- power: reset: zx-reboot: add missing
MODULE_DESCRIPTION/AUTHOR/LICENSE (bnc#1012628).
- HID: wacom: Fix reporting of touch toggle
(WACOM_HID_WD_MUTE_DEVICE) events (bnc#1012628).
- HID: wacom: EKR: ensure devres groups at higher indexes are
released (bnc#1012628).
- crypto: af_alg - whitelist mask and type (bnc#1012628).
- crypto: sha3-generic - fixes for alignment and big endian
operation (bnc#1012628).
- crypto: inside-secure - avoid unmapping DMA memory that was
not mapped (bnc#1012628).
- crypto: inside-secure - fix hash when length is a multiple of
a block (bnc#1012628).
- crypto: aesni - Fix out-of-bounds access of the AAD buffer in
generic-gcm-aesni (bnc#1012628).
- crypto: aesni - Fix out-of-bounds access of the data buffer
in generic-gcm-aesni (bnc#1012628).
- crypto: aesni - add wrapper for generic gcm(aes) (bnc#1012628).
- crypto: aesni - fix typo in generic_gcmaes_decrypt
(bnc#1012628).
- crypto: aesni - handle zero length dst buffer (bnc#1012628).
- crypto: ecdh - fix typo in KPP dependency of CRYPTO_ECDH
(bnc#1012628).
- ALSA: hda - Reduce the suspend time consumption for ALC256
(bnc#1012628).
- gpio: Fix kernel stack leak to userspace (bnc#1012628).
- gpio: stmpe: i2c transfer are forbiden in atomic context
(bnc#1012628).
- tools/gpio: Fix build error with musl libc (bnc#1012628).
- Bluetooth: hci_serdev: Init hci_uart proto_lock to avoid oops
(bnc#1012628).
- Linux 4.15.1 (bnc#1012628).
- x86/efi: Clarify that reset attack mitigation needs appropriate
userspace (bnc#1012628).
- Input: synaptics-rmi4 - do not delete interrupt memory too early
(bnc#1012628).
- Input: synaptics-rmi4 - unmask F03 interrupts when port is
opened (bnc#1012628).
- test_firmware: fix missing unlock on error in
config_num_requests_store() (bnc#1012628).
- iio: chemical: ccs811: Fix output of IIO_CONCENTRATION channels
(bnc#1012628).
- iio: adc: stm32: fix scan of multiple channels with DMA
(bnc#1012628).
- spi: imx: do not access registers while clocks disabled
(bnc#1012628).
- serial: imx: Only wakeup via RTSDEN bit if the system has
RTS/CTS (bnc#1012628).
- serial: 8250_dw: Revert "Improve clock rate setting"
(bnc#1012628).
- serial: 8250_uniphier: fix error return code in
uniphier_uart_probe() (bnc#1012628).
- serial: 8250_of: fix return code when probe function fails to
get reset (bnc#1012628).
- mei: me: allow runtime pm for platform with D0i3 (bnc#1012628).
- android: binder: use VM_ALLOC to get vm area (bnc#1012628).
- ANDROID: binder: remove waitqueue when thread exits
(bnc#1012628).
- usb/gadget: Fix "high bandwidth" check in
usb_gadget_ep_match_desc() (bnc#1012628).
- usb: uas: unconditionally bring back host after reset
(bnc#1012628).
- usb: f_fs: Prevent gadget unbind if it is already unbound
(bnc#1012628).
- USB: serial: simple: add Motorola Tetra driver (bnc#1012628).
- usbip: list: don't list devices attached to vhci_hcd
(bnc#1012628).
- usbip: prevent bind loops on devices attached to vhci_hcd
(bnc#1012628).
- USB: serial: io_edgeport: fix possible sleep-in-atomic
(bnc#1012628).
- CDC-ACM: apply quirk for card reader (bnc#1012628).
- USB: cdc-acm: Do not log urb submission errors on disconnect
(bnc#1012628).
- USB: serial: pl2303: new device id for Chilitag (bnc#1012628).
- usb: option: Add support for FS040U modem (bnc#1012628).
- tty: fix data race between tty_init_dev and flush of buf
(bnc#1012628).
- staging: ccree: fix fips event irq handling build (bnc#1012628).
- staging: ccree: NULLify backup_info when unused (bnc#1012628).
- staging: lustre: separate a connection destroy from free struct
kib_conn (bnc#1012628).
- scsi: storvsc: missing error code in storvsc_probe()
(bnc#1012628).
- scsi: aacraid: Fix hang in kdump (bnc#1012628).
- scsi: aacraid: Fix udev inquiry race condition (bnc#1012628).
- ima/policy: fix parsing of fsuuid (bnc#1012628).
- igb: Free IRQs when device is hotplugged (bnc#1012628).
- mtd: nand: denali_pci: add missing
MODULE_DESCRIPTION/AUTHOR/LICENSE (bnc#1012628).
- gpio: ath79: add missing MODULE_DESCRIPTION/LICENSE
(bnc#1012628).
- gpio: iop: add missing MODULE_DESCRIPTION/AUTHOR/LICENSE
(bnc#1012628).
- power: reset: zx-reboot: add missing
MODULE_DESCRIPTION/AUTHOR/LICENSE (bnc#1012628).
- HID: wacom: Fix reporting of touch toggle
(WACOM_HID_WD_MUTE_DEVICE) events (bnc#1012628).
- HID: wacom: EKR: ensure devres groups at higher indexes are
released (bnc#1012628).
- crypto: af_alg - whitelist mask and type (bnc#1012628).
- crypto: sha3-generic - fixes for alignment and big endian
operation (bnc#1012628).
- crypto: inside-secure - avoid unmapping DMA memory that was
not mapped (bnc#1012628).
- crypto: inside-secure - fix hash when length is a multiple of
a block (bnc#1012628).
- crypto: aesni - Fix out-of-bounds access of the AAD buffer in
generic-gcm-aesni (bnc#1012628).
- crypto: aesni - Fix out-of-bounds access of the data buffer
in generic-gcm-aesni (bnc#1012628).
- crypto: aesni - add wrapper for generic gcm(aes) (bnc#1012628).
- crypto: aesni - fix typo in generic_gcmaes_decrypt
(bnc#1012628).
- crypto: aesni - handle zero length dst buffer (bnc#1012628).
- crypto: ecdh - fix typo in KPP dependency of CRYPTO_ECDH
(bnc#1012628).
- ALSA: hda - Reduce the suspend time consumption for ALC256
(bnc#1012628).
- gpio: Fix kernel stack leak to userspace (bnc#1012628).
- gpio: stmpe: i2c transfer are forbiden in atomic context
(bnc#1012628).
- tools/gpio: Fix build error with musl libc (bnc#1012628).
- Bluetooth: hci_serdev: Init hci_uart proto_lock to avoid oops
(bnc#1012628).
- commit 671bf29
-------------------------------------------------------------------
Thu Feb 1 19:51:30 CET 2018 - matwey.kornilov@gmail.com
- config: arm64: Enable RockChip 8xx
We need RockChip RK-808 support to run openSUSE on Rock64 board (RK3328).
Currently, even voltage regulator is missed.
- commit a348749
-------------------------------------------------------------------
Wed Jan 31 07:51:04 CET 2018 - jslaby@suse.cz
- Update to 4.15-final.
- Eliminated 5 patches.
- Config changes:
- Security:
- GENERIC_CPU_VULNERABILITIES=y
- commit 978c9b0
-------------------------------------------------------------------
Tue Jan 30 13:15:01 CET 2018 - mkubecek@suse.cz
- Update
patches.kernel.org/4.14.14-036-RDS-Heap-OOB-write-in-rds_message_alloc_sgs.patch
upstream references (add CVE-2018-5332 bsc#1075621).
- commit 510de01
-------------------------------------------------------------------
Tue Jan 30 13:13:54 CET 2018 - mkubecek@suse.cz
- Update
patches.kernel.org/4.14.14-037-RDS-null-pointer-dereference-in-rds_atomic_fr.patch
upstream references (add CVE-2018-5333 bsc#1075617).
- commit e6cf845
-------------------------------------------------------------------
Mon Jan 29 22:13:57 CET 2018 - jeffm@suse.com
- Update to 4.15-final.
- Eliminated 5 patches.
- commit 36830f7
-------------------------------------------------------------------
Mon Jan 29 18:11:00 CET 2018 - jeffm@suse.com
- btrfs: fix btrfs_evict_inode to handle abnormal inodes correctly (bsc#1078019).
- commit d3f1d2c
-------------------------------------------------------------------
Mon Jan 29 09:15:43 CET 2018 - jslaby@suse.cz
- Revert "module: Add retpoline tag to VERMAGIC" (fix loading
of KMPs).
- commit 9a6fca5
-------------------------------------------------------------------
Fri Jan 26 14:10:46 CET 2018 - yousaf.kaukab@suse.com
- config: arm64: enable UNMAP_KERNEL_AT_EL0 and HARDEN_BRANCH_PREDICTOR
- commit c41900c
-------------------------------------------------------------------
Fri Jan 26 14:08:19 CET 2018 - yousaf.kaukab@suse.com
- arm64: Turn on KPTI only on CPUs that need it (bsc#1068032).
- arm64: Branch predictor hardening for Cavium ThunderX2
(bsc#1068032).
- arm64: Run enable method for errata work arounds on late CPUs
(bsc#1068032).
- arm64: Move BP hardening to check_and_switch_context
(bsc#1068032).
- arm: KVM: Invalidate icache on guest exit for Cortex-A15
(bsc#1068032).
- arm: Invalidate icache on prefetch abort outside of user
mapping on Cortex-A15 (bsc#1068032).
- arm: Add icache invalidation on switch_mm for Cortex-A15
(bsc#1068032).
- arm: KVM: Invalidate BTB on guest exit (bsc#1068032).
- arm: Invalidate BTB on prefetch abort outside of user mapping
on Cortex A8, A9, A12 and A17 (bsc#1068032).
- arm: Add BTB invalidation on switch_mm for Cortex-A9, A12 and
A17 (bsc#1068032).
- arm64: cputype: Add MIDR values for Cavium ThunderX2 CPUs
(bsc#1068032).
- arm64: Implement branch predictor hardening for Falkor
(bsc#1068032).
- arm64: Implement branch predictor hardening for affected
Cortex-A CPUs (bsc#1068032).
- arm64: cputype: Add missing MIDR values for Cortex-A72 and
Cortex-A75 (bsc#1068032).
- arm64: KVM: Make PSCI_VERSION a fast path (bsc#1068032).
- arm64: KVM: Use per-CPU vector when BP hardening is enabled
(bsc#1068032).
- arm64: Add skeleton to harden the branch predictor against
aliasing attacks (bsc#1068032).
- arm64: Move post_ttbr_update_workaround to C code (bsc#1068032).
- drivers/firmware: Expose psci_get_version through psci_ops
structure (bsc#1068032).
- arm64: Take into account ID_AA64PFR0_EL1.CSV3 (bsc#1068032).
- arm64: Kconfig: Reword UNMAP_KERNEL_AT_EL0 kconfig entry
(bsc#1068032).
- arm64: use RET instruction for exiting the trampoline
(bsc#1068032).
- arm64: capabilities: Handle duplicate entries for a capability
(bsc#1068032).
- arm64: cpufeature: Pass capability structure to ->enable
callback (bsc#1068032).
- arm64: kpti: Fix the interaction between ASID switching and
software PAN (bsc#1068032).
- arm64: kaslr: Put kernel vectors address in separate data page
(bsc#1068032).
- arm64: mm: Introduce TTBR_ASID_MASK for getting at the ASID
in the TTBR (bsc#1068032).
- perf: arm_spe: Fail device probe when
arm64_kernel_unmapped_at_el0() (bsc#1068032).
- arm64: Kconfig: Add CONFIG_UNMAP_KERNEL_AT_EL0 (bsc#1068032).
- arm64: entry: Add fake CPU feature for unmapping the kernel
at EL0 (bsc#1068032).
- arm64: tls: Avoid unconditional zeroing of tpidrro_el0 for
native tasks (bsc#1068032).
- arm64: erratum: Work around Falkor erratum #E1003 in trampoline
code (bsc#1068032).
- arm64: entry: Hook up entry trampoline to exception vectors
(bsc#1068032).
- arm64: entry: Explicitly pass exception level to kernel_ventry
macro (bsc#1068032).
- arm64: mm: Map entry trampoline into trampoline and kernel
page tables (bsc#1068032).
- arm64: entry: Add exception trampoline page for exceptions
from EL0 (bsc#1068032).
- arm64: mm: Invalidate both kernel and user ASIDs when performing
TLBI (bsc#1068032).
- arm64: mm: Add arm64_kernel_unmapped_at_el0 helper
(bsc#1068032).
- arm64: mm: Allocate ASIDs in pairs (bsc#1068032).
- arm64: mm: Fix and re-enable ARM64_SW_TTBR0_PAN (bsc#1068032).
- arm64: mm: Rename post_ttbr0_update_workaround (bsc#1068032).
- arm64: mm: Remove pre_ttbr0_update_workaround for Falkor
erratum #E1003 (bsc#1068032).
- arm64: mm: Move ASID from TTBR0 to TTBR1 (bsc#1068032).
- arm64: mm: Temporarily disable ARM64_SW_TTBR0_PAN (bsc#1068032).
- arm64: mm: Use non-global mappings for kernel space
(bsc#1068032).
- commit cdf2ded
-------------------------------------------------------------------
Fri Jan 26 11:24:49 CET 2018 - yousaf.kaukab@suse.com
- config: arm64: enable rk3399 missing drivers
These drivers are required for Rockchip RK3399 Sapphire board
- commit 94b8551
-------------------------------------------------------------------
Fri Jan 26 09:52:08 CET 2018 - jslaby@suse.cz
- Refresh
patches.suse/netfilter-nfnetlink_cthelper-Add-missing-permission-.patch.
- Refresh
patches.suse/netfilter-xt_osf-Add-missing-permission-checks.patch.
- Refresh patches.suse/scsi-libfc-fix-ELS-request-handling.patch.
Update upstream status.
- commit 12e5c10
-------------------------------------------------------------------
Fri Jan 26 08:40:10 CET 2018 - jslaby@suse.cz
- x86/ibrs: Add new helper macros to save/restore
MSR_IA32_SPEC_CTRL fix (bsc#1068032 CVE-2017-5753).
- commit 13295d4
-------------------------------------------------------------------
Thu Jan 25 21:47:49 CET 2018 - jslaby@suse.cz
- Delete patches.suse/orc-fix-retpolines-segfaults.patch.
No longer needed.
- commit 9e84548
-------------------------------------------------------------------
Thu Jan 25 21:46:34 CET 2018 - jslaby@suse.cz
- x86/cpufeatures: Add Intel feature bits for Speculation Control
(bsc#1068032 CVE-2017-5715).
- x86/cpufeatures: Add AMD feature bits for Speculation Control
(bsc#1068032 CVE-2017-5715).
- x86/pti: Do not enable PTI on processors which are not
vulnerable to Meltdown (bsc#1068032 CVE-2017-5715).
- x86/cpufeature: Blacklist SPEC_CTRL on early Spectre v2
microcodes (bsc#1068032 CVE-2017-5715).
- x86/speculation: Add basic IBPB (Indirect Branch Prediction
Barrier) support (bsc#1068032 CVE-2017-5715).
- x86/kvm: Add IBPB support (bsc#1068032 CVE-2017-5715).
- x86/speculation: Use Indirect Branch Prediction Barrier in
context switch (bsc#1068032 CVE-2017-5715).
- x86/mm: Only flush indirect branches when switching into non
dumpable process (bsc#1068032 CVE-2017-5715).
- x86/speculation: Add basic IBRS support infrastructure
(bsc#1068032 CVE-2017-5715).
- x86/speculation: Add inlines to control Indirect Branch
Speculation (bsc#1068032 CVE-2017-5715).
- x86: Simplify spectre_v2 command line parsing (bsc#1068032
CVE-2017-5715).
- x86/idle: Control Indirect Branch Speculation in idle
(bsc#1068032 CVE-2017-5715).
- x86/enter: Create macros to restrict/unrestrict Indirect Branch
Speculation (bsc#1068032 CVE-2017-5715).
- x86/enter: Use IBRS on syscall and interrupts (bsc#1068032
CVE-2017-5715).
- x86/ibrs: Add new helper macros to save/restore
MSR_IA32_SPEC_CTRL (bsc#1068032 CVE-2017-5715).
- x86/vmx: Direct access to MSR_IA32_SPEC_CTRL (bsc#1068032
CVE-2017-5715).
- Delete
patches.suse/0002-x86-cpufeatures-Add-AMD-feature-bits-for-Prediction-.patch.
- Delete
patches.suse/0004-x86-feature-Report-presence-of-IBPB-and-IBRS-control.patch.
- Delete
patches.suse/0005-x86-enter-MACROS-to-set-clear-IBRS-and-set-IBPB.patch.
- Delete
patches.suse/0006-x86-Add-macro-that-does-not-save-rax-rcx-rdx-on-stac.patch.
- Delete
patches.suse/0007-x86-enter-Use-IBRS-on-syscall-and-interrupts.patch.
- Delete
patches.suse/0008-x86-spec_ctrl-save-IBRS-MSR-value-in-paranoid_entry.patch.
- Delete
patches.suse/0009-x86-idle-Disable-IBRS-entering-idle-and-enable-it-on.patch.
- Delete
patches.suse/0010-x86-idle-Disable-IBRS-when-offlining-cpu-and-re-enab.patch.
- Delete
patches.suse/0011-x86-mm-Set-IBPB-upon-context-switch.patch.
- Delete
patches.suse/0012-x86-mm-Only-set-IBPB-when-the-new-thread-cannot-ptra.patch.
- Delete
patches.suse/0013-x86-entry-Stuff-RSB-for-entry-to-kernel-for-non-SMEP.patch.
- Delete
patches.suse/0014-x86-syscall-Clear-unused-extra-registers-on-syscall-.patch.
- Delete
patches.suse/0015-x86-syscall-Clear-unused-extra-registers-on-32-bit-c.patch.
- Delete
patches.suse/0016-x86-kvm-add-MSR_IA32_SPEC_CTRL-and-MSR_IA32_PRED_CMD.patch.
- Delete
patches.suse/0017-x86-kvm-Set-IBPB-when-switching-VM.patch.
- Delete
patches.suse/0018-x86-kvm-Toggle-IBRS-on-VM-entry-and-exit.patch.
- Delete
patches.suse/0021-x86-spec_ctrl-Add-sysctl-knobs-to-enable-disable-SPE.patch.
- Delete
patches.suse/0022-x86-spec_ctrl-Add-lock-to-serialize-changes-to-ibrs-.patch.
- Delete
patches.suse/0023-x86-Move-IBRS-IBPB-feature-detection-to-scattered.c.patch.
- Delete
patches.suse/0024-x86-microcode-Recheck-IBRS-and-IBPB-feature-on-micro.patch.
- Delete
patches.suse/0025-x86-cpu-AMD-Add-speculative-control-support-for-AMD.patch.
- Delete
patches.suse/0028-x86-svm-Set-IBPB-when-running-a-different-VCPU.patch.
- Delete
patches.suse/0029-kvm-svm-add-MSR_IA32_SPEC_CTRL-and-MSR_IA32_PRED_CMD.patch.
- Delete patches.suse/0030-Use-the-ibrs_inuse-variable.patch.
- Delete
patches.suse/0031-Reverting-the-commit-e5247c4f209530-to-replace.patch.
- Delete
patches.suse/0032-kvm-vmx-add-MSR_IA32_SPEC_CTRL-and-MSR_IA32_PRED_CMD.patch.
- Delete
patches.suse/0033-KVM-x86-add-SPEC_CTRL-to-MSR-and-CPUID-lists.patch.
- Delete
patches.suse/0034-Remove-the-code-that-uses-MSR-save-restore-list.patch.
- Delete patches.suse/0035-Use-the-ibpb_inuse-variable.patch.
- Delete
patches.suse/0037-Set-IBPB-when-running-a-different-VCPU.patch.
Update spectre_v1 to the upstream patches.
- commit 67b0a37
-------------------------------------------------------------------
Thu Jan 25 14:26:16 CET 2018 - jslaby@suse.cz
- Revert "futex: Prevent overflow by strengthen input validation"
(4.14.15-fix).
- commit 5b3d0ce
-------------------------------------------------------------------
Thu Jan 25 08:23:15 CET 2018 - jslaby@suse.cz
- x86/cpufeature: Move processor tracing out of scattered features
(bsc#1068032 CVE-2017-5753).
- Refresh
patches.suse/0001-x86-cpufeatures-Add-CPUID_7_EDX-CPUID-leaf.patch.
- Refresh
patches.suse/0007-x86-speculation-Add-basic-IBPB-Indirect-Branch-Predi.patch.
- commit 8d8b718
-------------------------------------------------------------------
Wed Jan 24 20:19:27 CET 2018 - jslaby@suse.cz
- x86/retpoline: Add LFENCE to the retpoline/RSB filling RSB
macros (bsc#1068032 CVE-2017-5753).
- commit 8dc7c71
-------------------------------------------------------------------
Wed Jan 24 20:17:09 CET 2018 - jslaby@suse.cz
- x86/vmx: Direct access to MSR_IA32_SPEC_CTRL (bsc#1068032
CVE-2017-5753).
- x86/ibrs: Add new helper macros to save/restore
MSR_IA32_SPEC_CTRL (bsc#1068032 CVE-2017-5753).
- x86/enter: Use IBRS on syscall and interrupts (bsc#1068032
CVE-2017-5753).
- x86/enter: Create macros to restrict/unrestrict Indirect Branch
Speculation (bsc#1068032 CVE-2017-5753).
- x86/idle: Control Indirect Branch Speculation in idle
(bsc#1068032 CVE-2017-5753).
- x86: Simplify spectre_v2 command line parsing (bsc#1068032
CVE-2017-5753).
- x86/speculation: Add inlines to control Indirect Branch
Speculation (bsc#1068032 CVE-2017-5753).
- x86/speculation: Add basic IBRS support infrastructure
(bsc#1068032 CVE-2017-5753).
- x86/mm: Only flush indirect branches when switching into non
dumpable process (bsc#1068032 CVE-2017-5753).
- x86/speculation: Use Indirect Branch Prediction Barrier in
context switch (bsc#1068032 CVE-2017-5753).
- x86/kvm: Add IBPB support (bsc#1068032 CVE-2017-5753).
- x86/speculation: Add basic IBPB (Indirect Branch Prediction
Barrier) support (bsc#1068032 CVE-2017-5753).
- x86/cpufeature: Blacklist SPEC_CTRL on early Spectre v2
microcodes (bsc#1068032 CVE-2017-5753).
- x86/pti: Do not enable PTI on processors which are not
vulnerable to Meltdown (bsc#1068032 CVE-2017-5753).
- x86/msr: Add definitions for new speculation control MSRs
(bsc#1068032 CVE-2017-5753).
- x86/cpufeatures: Add AMD feature bits for Speculation Control
(bsc#1068032 CVE-2017-5753).
- x86/cpufeatures: Add Intel feature bits for Speculation Control
(bsc#1068032 CVE-2017-5753).
- x86/cpufeatures: Add CPUID_7_EDX CPUID leaf (bsc#1068032
CVE-2017-5753).
- x86/retpoline: Optimize inline assembler for vmexit_fill_RSB
(bsc#1068032 CVE-2017-5753).
- x86/retpoline: Fill RSB on context switch for affected CPUs
(bsc#1068032 CVE-2017-5753).
- commit e36ab4f
-------------------------------------------------------------------
Wed Jan 24 19:41:00 CET 2018 - jslaby@suse.cz
- Documentation: document array_ptr (bsc#1068032 CVE-2017-5715).
- asm/nospec, array_ptr: sanitize speculative array de-references
(bsc#1068032 CVE-2017-5715).
- x86: implement array_ptr_mask() (bsc#1068032 CVE-2017-5715).
- x86: introduce __uaccess_begin_nospec and ifence (bsc#1068032
CVE-2017-5715).
- x86, __get_user: use __uaccess_begin_nospec (bsc#1068032
CVE-2017-5715).
- x86, get_user: use pointer masking to limit speculation
(bsc#1068032 CVE-2017-5715).
- x86: narrow out of bounds syscalls to sys_read under speculation
(bsc#1068032 CVE-2017-5715).
- vfs, fdtable: prevent bounds-check bypass via speculative
execution (bsc#1068032 CVE-2017-5715).
- kvm, x86: update spectre-v1 mitigation (bsc#1068032
CVE-2017-5715).
- nl80211: sanitize array index in parse_txq_params (bsc#1068032
CVE-2017-5715).
- Delete
patches.suse/0003-locking-barriers-introduce-new-observable-speculatio.patch.
- Delete
patches.suse/0004-bpf-prevent-speculative-execution-in-eBPF-interprete.patch.
- Delete
patches.suse/0005-x86-bpf-jit-prevent-speculative-execution-when-JIT-i.patch.
- Delete
patches.suse/0006-uvcvideo-prevent-speculative-execution.patch.
- Delete
patches.suse/0007-carl9170-prevent-speculative-execution.patch.
- Delete
patches.suse/0008-p54-prevent-speculative-execution.patch.
- Delete
patches.suse/0009-qla2xxx-prevent-speculative-execution.patch.
- Delete
patches.suse/0010-cw1200-prevent-speculative-execution.patch.
- Delete
patches.suse/0011-Thermal-int340x-prevent-speculative-execution.patch.
- Delete
patches.suse/0012-ipv4-prevent-speculative-execution.patch.
- Delete
patches.suse/0013-ipv6-prevent-speculative-execution.patch.
- Delete patches.suse/0014-fs-prevent-speculative-execution.patch.
- Delete
patches.suse/0015-net-mpls-prevent-speculative-execution.patch.
- Delete
patches.suse/0016-udf-prevent-speculative-execution.patch.
- Delete
patches.suse/0017-userns-prevent-speculative-execution.patch.
Replace by the potential upstream solution.
- commit 804f8a1
-------------------------------------------------------------------
Wed Jan 24 19:31:26 CET 2018 - jslaby@suse.cz
- Documentation: document array_ptr (bsc#1068032 CVE-2017-5715).
- asm/nospec, array_ptr: sanitize speculative array de-references
(bsc#1068032 CVE-2017-5715).
- x86: implement array_ptr_mask() (bsc#1068032 CVE-2017-5715).
- x86: introduce __uaccess_begin_nospec and ifence (bsc#1068032
CVE-2017-5715).
- x86, __get_user: use __uaccess_begin_nospec (bsc#1068032
CVE-2017-5715).
- x86, get_user: use pointer masking to limit speculation
(bsc#1068032 CVE-2017-5715).
- x86: narrow out of bounds syscalls to sys_read under speculation
(bsc#1068032 CVE-2017-5715).
- vfs, fdtable: prevent bounds-check bypass via speculative
execution (bsc#1068032 CVE-2017-5715).
- kvm, x86: update spectre-v1 mitigation (bsc#1068032
CVE-2017-5715).
- nl80211: sanitize array index in parse_txq_params (bsc#1068032
CVE-2017-5715).
- Delete
patches.suse/0003-locking-barriers-introduce-new-observable-speculatio.patch.
- Delete
patches.suse/0005-x86-bpf-jit-prevent-speculative-execution-when-JIT-i.patch.
- Delete
patches.suse/0006-uvcvideo-prevent-speculative-execution.patch.
- Delete
patches.suse/0007-carl9170-prevent-speculative-execution.patch.
- Delete
patches.suse/0008-p54-prevent-speculative-execution.patch.
- Delete
patches.suse/0009-qla2xxx-prevent-speculative-execution.patch.
- Delete
patches.suse/0010-cw1200-prevent-speculative-execution.patch.
- Delete
patches.suse/0011-Thermal-int340x-prevent-speculative-execution.patch.
- Delete
patches.suse/0012-ipv4-prevent-speculative-execution.patch.
- Delete
patches.suse/0013-ipv6-prevent-speculative-execution.patch.
- Delete patches.suse/0014-fs-prevent-speculative-execution.patch.
- Delete
patches.suse/0015-net-mpls-prevent-speculative-execution.patch.
- Delete
patches.suse/0016-udf-prevent-speculative-execution.patch.
- Delete
patches.suse/0017-userns-prevent-speculative-execution.patch.
Replace intel's shit by the potential upstream solution for spectre_v1.
- commit 6fdb1df
-------------------------------------------------------------------
Tue Jan 23 21:12:07 CET 2018 - jslaby@suse.cz
- Linux 4.14.15 (bnc#1012628).
- tools/objtool/Makefile: don't assume sync-check.sh is executable
(bnc#1012628).
- objtool: Fix seg fault with clang-compiled objects
(bnc#1012628).
- objtool: Fix Clang enum conversion warning (bnc#1012628).
- objtool: Fix seg fault caused by missing parameter
(bnc#1012628).
- powerpc/pseries: Add H_GET_CPU_CHARACTERISTICS flags & wrapper
(bnc#1012628).
- powerpc/64: Add macros for annotating the destination of
rfid/hrfid (bnc#1012628).
- powerpc/64s: Simple RFI macro conversions (bnc#1012628).
- powerpc/64: Convert the syscall exit path to use
RFI_TO_USER/KERNEL (bnc#1012628).
- powerpc/64: Convert fast_exception_return to use
RFI_TO_USER/KERNEL (bnc#1012628).
- powerpc/64s: Convert slb_miss_common to use RFI_TO_USER/KERNEL
(bnc#1012628).
- powerpc/64s: Add support for RFI flush of L1-D cache
(bnc#1012628).
- powerpc/64s: Support disabling RFI flush with no_rfi_flush
and nopti (bnc#1012628).
- powerpc/pseries: Query hypervisor for RFI flush settings
(bnc#1012628).
- powerpc/powernv: Check device-tree for RFI flush settings
(bnc#1012628).
- futex: Avoid violating the 10th rule of futex (bnc#1012628).
- futex: Prevent overflow by strengthen input validation
(bnc#1012628).
- ALSA: pcm: Remove yet superfluous WARN_ON() (bnc#1012628).
- ALSA: hda - Apply headphone noise quirk for another Dell XPS
13 variant (bnc#1012628).
- ALSA: hda - Apply the existing quirk to iMac 14,1 (bnc#1012628).
- IB/hfi1: Prevent a NULL dereference (bnc#1012628).
- RDMA/mlx5: Fix out-of-bound access while querying AH
(bnc#1012628).
- timers: Unconditionally check deferrable base (bnc#1012628).
- af_key: fix buffer overread in verify_address_len()
(bnc#1012628).
- af_key: fix buffer overread in parse_exthdrs() (bnc#1012628).
- iser-target: Fix possible use-after-free in connection
establishment error (bnc#1012628).
- delayacct: Account blkio completion on the correct task
(bnc#1012628).
- objtool: Fix seg fault with gold linker (bnc#1012628).
- mmc: sdhci-esdhc-imx: Fix i.MX53 eSDHCv3 clock (bnc#1012628).
- x86/kasan: Panic if there is not enough memory to boot
(bnc#1012628).
- objtool: Improve error message for bad file argument
(bnc#1012628).
- x86/cpufeature: Move processor tracing out of scattered features
(bnc#1012628).
- x86/intel_rdt/cqm: Prevent use after free (bnc#1012628).
- x86/mm/pkeys: Fix fill_sig_info_pkey (bnc#1012628).
- x86/idt: Mark IDT tables __initconst (bnc#1012628).
- x86/tsc: Future-proof native_calibrate_tsc() (bnc#1012628).
- x86/tsc: Fix erroneous TSC rate on Skylake Xeon (bnc#1012628).
- pipe: avoid round_pipe_size() nr_pages overflow on 32-bit
(bnc#1012628).
- x86/apic/vector: Fix off by one in error path (bnc#1012628).
- x86/mm: Clean up register saving in the __enc_copy() assembly
code (bnc#1012628).
- x86/mm: Use a struct to reduce parameters for SME PGD mapping
(bnc#1012628).
- x86/mm: Centralize PMD flags in sme_encrypt_kernel()
(bnc#1012628).
- x86/mm: Prepare sme_encrypt_kernel() for PAGE aligned encryption
(bnc#1012628).
- ARM: OMAP3: hwmod_data: add missing module_offs for MMC3
(bnc#1012628).
- x86/mm: Encrypt the initrd earlier for BSP microcode update
(bnc#1012628).
- Input: ALPS - fix multi-touch decoding on SS4 plus touchpads
(bnc#1012628).
- Input: synaptics-rmi4 - prevent UAF reported by KASAN
(bnc#1012628).
- Input: 88pm860x-ts - fix child-node lookup (bnc#1012628).
- Input: twl6040-vibra - fix child-node lookup (bnc#1012628).
- Input: twl4030-vibra - fix sibling-node lookup (bnc#1012628).
- tracing: Fix converting enum's from the map in
trace_event_eval_update() (bnc#1012628).
- phy: work around 'phys' references to usb-nop-xceiv devices
(bnc#1012628).
- ARM64: dts: marvell: armada-cp110: Fix clock resources for
various node (bnc#1012628).
- ARM: sunxi_defconfig: Enable CMA (bnc#1012628).
- ARM: dts: kirkwood: fix pin-muxing of MPP7 on OpenBlocks A7
(bnc#1012628).
- can: peak: fix potential bug in packet fragmentation
(bnc#1012628).
- can: af_can: can_rcv(): replace WARN_ONCE by pr_warn_once
(bnc#1012628).
- can: af_can: canfd_rcv(): replace WARN_ONCE by pr_warn_once
(bnc#1012628).
- i2c: core-smbus: prevent stack corruption on read I2C_BLOCK_DATA
(bnc#1012628).
- scripts/gdb/linux/tasks.py: fix get_thread_info (bnc#1012628).
- proc: fix coredump vs read /proc/*/stat race (bnc#1012628).
- libata: apply MAX_SEC_1024 to all LITEON EP1 series devices
(bnc#1012628).
- scsi: libsas: Disable asynchronous aborts for SATA devices
(bnc#1012628).
- workqueue: avoid hard lockups in show_workqueue_state()
(bnc#1012628).
- drm/vmwgfx: fix memory corruption with legacy/sou connectors
(bnc#1012628).
- dm btree: fix serious bug in btree_split_beneath()
(bnc#1012628).
- dm thin metadata: THIN_MAX_CONCURRENT_LOCKS should be 6
(bnc#1012628).
- dm integrity: don't store cipher request on the stack
(bnc#1012628).
- dm crypt: fix crash by adding missing check for auth key size
(bnc#1012628).
- dm crypt: wipe kernel key copy after IV initialization
(bnc#1012628).
- dm crypt: fix error return code in crypt_ctr() (bnc#1012628).
- x86: Use __nostackprotect for sme_encrypt_kernel (bnc#1012628).
- alpha/PCI: Fix noname IRQ level detection (bnc#1012628).
- MIPS: CM: Drop WARN_ON(vp != 0) (bnc#1012628).
- KVM: arm/arm64: Check pagesize when allocating a hugepage at
Stage 2 (bnc#1012628).
- arm64: KVM: Fix SMCCC handling of unimplemented SMC/HVC calls
(bnc#1012628).
- x86/mce: Make machine check speculation protected (bnc#1012628).
- retpoline: Introduce start/end markers of indirect thunk
(bnc#1012628).
- kprobes/x86: Blacklist indirect thunk functions for kprobes
(bnc#1012628).
- kprobes/x86: Disable optimizing on the function jumps to
indirect thunk (bnc#1012628).
- x86/pti: Document fix wrong index (bnc#1012628).
- x86/retpoline: Optimize inline assembler for vmexit_fill_RSB
(bnc#1012628).
- x86/mm: Rework wbinvd, hlt operation in stop_this_cpu()
(bnc#1012628).
- mm, page_vma_mapped: Drop faulty pointer arithmetics in
check_pte() (bnc#1012628).
- net: mvpp2: do not disable GMAC padding (bnc#1012628).
- MIPS: AR7: ensure the port type's FCR value is used
(bnc#1012628).
- Refresh
patches.kernel.org/4.14.10-003-objtool-Move-kernel-headers-code-sync-check-t.patch.
- Refresh
patches.suse/0001-x86-cpufeatures-Add-Intel-feature-bits-for-Speculati.patch.
- Refresh
patches.suse/0002-x86-cpufeatures-Add-AMD-feature-bits-for-Prediction-.patch.
- commit fe1d712
-------------------------------------------------------------------
Mon Jan 22 13:29:31 CET 2018 - msuchanek@suse.de
- rpm/mkspec-dtb: Remove COPYING file (bsc#1076905).
It conflicts between different versions of dtb package.
- commit 0e5fcf9
-------------------------------------------------------------------
Sun Jan 21 14:58:37 CET 2018 - jslaby@suse.cz
- x86/cpufeatures: Add Intel feature bits for Speculation Control
(bsc#1068032 CVE-2017-5715).
- x86/cpufeatures: Add AMD feature bits for Prediction Command
(bsc#1068032 CVE-2017-5715).
- x86/msr: Add definitions for new speculation control MSRs
(bsc#1068032 CVE-2017-5715).
- module: Add retpoline tag to VERMAGIC (bsc#1068032
CVE-2017-5715).
- x86/retpoline: Add LFENCE to the retpoline/RSB filling RSB
macros (bsc#1068032 CVE-2017-5715).
- x86/retpoline: Fill RSB on context switch for affected CPUs
(bsc#1068032 CVE-2017-5715).
- Refresh
patches.suse/0005-x86-enter-MACROS-to-set-clear-IBRS-and-set-IBPB.patch.
- Refresh
patches.suse/0008-x86-spec_ctrl-save-IBRS-MSR-value-in-paranoid_entry.patch.
- Refresh
patches.suse/0009-x86-idle-Disable-IBRS-entering-idle-and-enable-it-on.patch.
- Refresh
patches.suse/0010-x86-idle-Disable-IBRS-when-offlining-cpu-and-re-enab.patch.
- Refresh
patches.suse/0011-x86-mm-Set-IBPB-upon-context-switch.patch.
- Refresh
patches.suse/0012-x86-mm-Only-set-IBPB-when-the-new-thread-cannot-ptra.patch.
- Refresh
patches.suse/0017-x86-kvm-Set-IBPB-when-switching-VM.patch.
- Refresh
patches.suse/0018-x86-kvm-Toggle-IBRS-on-VM-entry-and-exit.patch.
- Refresh
patches.suse/0021-x86-spec_ctrl-Add-sysctl-knobs-to-enable-disable-SPE.patch.
- Refresh
patches.suse/0023-x86-Move-IBRS-IBPB-feature-detection-to-scattered.c.patch.
- Refresh
patches.suse/0028-x86-svm-Set-IBPB-when-running-a-different-VCPU.patch.
- Refresh
patches.suse/0029-kvm-svm-add-MSR_IA32_SPEC_CTRL-and-MSR_IA32_PRED_CMD.patch.
- Refresh patches.suse/0030-Use-the-ibrs_inuse-variable.patch.
- Refresh
patches.suse/0032-kvm-vmx-add-MSR_IA32_SPEC_CTRL-and-MSR_IA32_PRED_CMD.patch.
- Refresh
patches.suse/0034-Remove-the-code-that-uses-MSR-save-restore-list.patch.
- Refresh patches.suse/0035-Use-the-ibpb_inuse-variable.patch.
- Refresh
patches.suse/0037-Set-IBPB-when-running-a-different-VCPU.patch.
- Delete
patches.suse/0001-x86-feature-Enable-the-x86-feature-to-control-Specul.patch.
- Delete
patches.suse/0002-x86-cpufeature-Add-X86_FEATURE_IA32_ARCH_CAPS-and-X8.patch.
- Delete
patches.suse/0003-x86-Add-STIBP-feature-enumeration.patch.
- commit 5790c9a
-------------------------------------------------------------------
Thu Jan 18 11:20:11 CET 2018 - jslaby@suse.cz
- Update config files (bsc#1068032 CVE-2017-5715).
Enable RETPOLINE -- the compiler is capable of them already.
- commit 5d5345e
-------------------------------------------------------------------
Wed Jan 17 16:02:16 CET 2018 - rjschwei@suse.com
- kernel-obs-build.spec.in: enable xfs module
This allows the public cloud team to build images with XFS
as root filesystem
- commit 95a2d6f
-------------------------------------------------------------------
Wed Jan 17 15:19:38 CET 2018 - msuchanek@suse.de
- macros.kernel-source: pass -f properly in module subpackage (boo#1076393).
- commit 66bd9b8
-------------------------------------------------------------------
Wed Jan 17 10:26:10 CET 2018 - jslaby@suse.cz
- Linux 4.14.14 (bnc#1012628).
- Delete
patches.kernel.org/4.14.14-053-Revert-Revert-xfrm-Fix-stack-out-of-bounds-re.patch.
- commit eef6178
-------------------------------------------------------------------
Tue Jan 16 18:01:44 CET 2018 - tiwai@suse.de
- ALSA: seq: Make ioctls race-free (CVE-2018-1000004,bsc#1076017).
- commit 2134862
-------------------------------------------------------------------
Tue Jan 16 14:38:07 CET 2018 - jslaby@suse.cz
- ORC: fix retpolines segfaults (bnc#1068032 CVE-2017-5715).
- commit 470cac2
-------------------------------------------------------------------
Tue Jan 16 13:54:06 CET 2018 - jslaby@suse.cz
- dm bufio: fix shrinker scans when (nr_to_scan < retain_target)
(bnc#1012628).
- KVM: Fix stack-out-of-bounds read in write_mmio (bnc#1012628).
- can: vxcan: improve handling of missing peer name attribute
(bnc#1012628).
- can: gs_usb: fix return value of the "set_bittiming" callback
(bnc#1012628).
- IB/srpt: Disable RDMA access by the initiator (bnc#1012628).
- IB/srpt: Fix ACL lookup during login (bnc#1012628).
- MIPS: Validate PR_SET_FP_MODE prctl(2) requests against the
ABI of the task (bnc#1012628).
- MIPS: Factor out NT_PRFPREG regset access helpers (bnc#1012628).
- MIPS: Guard against any partial write attempt with
PTRACE_SETREGSET (bnc#1012628).
- MIPS: Consistently handle buffer counter with PTRACE_SETREGSET
(bnc#1012628).
- MIPS: Fix an FCSR access API regression with NT_PRFPREG and MSA
(bnc#1012628).
- MIPS: Also verify sizeof `elf_fpreg_t' with PTRACE_SETREGSET
(bnc#1012628).
- MIPS: Disallow outsized PTRACE_SETREGSET NT_PRFPREG regset
accesses (bnc#1012628).
- cgroup: fix css_task_iter crash on CSS_TASK_ITER_PROC
(bnc#1012628).
- kvm: vmx: Scrub hardware GPRs at VM-exit (bnc#1012628
bnc#1068032 CVE-2017-5715).
- platform/x86: wmi: Call acpi_wmi_init() later (bnc#1012628).
- iw_cxgb4: only call the cq comp_handler when the cq is armed
(bnc#1012628).
- iw_cxgb4: atomically flush the qp (bnc#1012628).
- iw_cxgb4: only clear the ARMED bit if a notification is needed
(bnc#1012628).
- iw_cxgb4: reflect the original WR opcode in drain cqes
(bnc#1012628).
- iw_cxgb4: when flushing, complete all wrs in a chain
(bnc#1012628).
- x86/acpi: Handle SCI interrupts above legacy space gracefully
(bnc#1012628).
- ALSA: pcm: Remove incorrect snd_BUG_ON() usages (bnc#1012628).
- ALSA: pcm: Workaround for weird PulseAudio behavior on rewind
error (bnc#1012628).
- ALSA: pcm: Add missing error checks in OSS emulation plugin
builder (bnc#1012628).
- ALSA: pcm: Abort properly at pending signal in OSS read/write
loops (bnc#1012628).
- ALSA: pcm: Allow aborting mutex lock at OSS read/write loops
(bnc#1012628).
- ALSA: aloop: Release cable upon open error path (bnc#1012628).
- ALSA: aloop: Fix inconsistent format due to incomplete rule
(bnc#1012628).
- ALSA: aloop: Fix racy hw constraints adjustment (bnc#1012628).
- x86/acpi: Reduce code duplication in mp_override_legacy_irq()
(bnc#1012628).
- 8021q: fix a memory leak for VLAN 0 device (bnc#1012628).
- ip6_tunnel: disable dst caching if tunnel is dual-stack
(bnc#1012628).
- net: core: fix module type in sock_diag_bind (bnc#1012628).
- phylink: ensure we report link down when LOS asserted
(bnc#1012628).
- RDS: Heap OOB write in rds_message_alloc_sgs() (bnc#1012628).
- RDS: null pointer dereference in rds_atomic_free_op
(bnc#1012628).
- net: fec: restore dev_id in the cases of probe error
(bnc#1012628).
- net: fec: defer probe if regulator is not ready (bnc#1012628).
- net: fec: free/restore resource in related probe error pathes
(bnc#1012628).
- sctp: do not retransmit upon FragNeeded if PMTU discovery is
disabled (bnc#1012628).
- sctp: fix the handling of ICMP Frag Needed for too small MTUs
(bnc#1012628).
- sh_eth: fix TSU resource handling (bnc#1012628).
- net: stmmac: enable EEE in MII, GMII or RGMII only
(bnc#1012628).
- sh_eth: fix SH7757 GEther initialization (bnc#1012628).
- ipv6: fix possible mem leaks in ipv6_make_skb() (bnc#1012628).
- ethtool: do not print warning for applications using legacy API
(bnc#1012628).
- mlxsw: spectrum_router: Fix NULL pointer deref (bnc#1012628).
- net/sched: Fix update of lastuse in act modules implementing
stats_update (bnc#1012628).
- ipv6: sr: fix TLVs not being copied using setsockopt
(bnc#1012628).
- mlxsw: spectrum: Relax sanity checks during enslavement
(bnc#1012628).
- sfp: fix sfp-bus oops when removing socket/upstream
(bnc#1012628).
- Revert "Revert "xfrm: Fix stack-out-of-bounds read in
xfrm_state_find."" (bnc#1012628).
- membarrier: Disable preemption when calling
smp_call_function_many() (bnc#1012628).
- crypto: algapi - fix NULL dereference in crypto_remove_spawns()
(bnc#1012628).
- mmc: renesas_sdhi: Add MODULE_LICENSE (bnc#1012628).
- rbd: reacquire lock should update lock owner client id
(bnc#1012628).
- rbd: set max_segments to USHRT_MAX (bnc#1012628).
- iwlwifi: pcie: fix DMA memory mapping / unmapping (bnc#1012628).
- x86/microcode/intel: Extend BDW late-loading with a revision
check (bnc#1012628).
- KVM: x86: Add memory barrier on vmcs field lookup (bnc#1012628).
- KVM: PPC: Book3S PR: Fix WIMG handling under pHyp (bnc#1012628).
- KVM: PPC: Book3S HV: Drop prepare_done from struct
kvm_resize_hpt (bnc#1012628).
- KVM: PPC: Book3S HV: Fix use after free in case of multiple
resize requests (bnc#1012628).
- KVM: PPC: Book3S HV: Always flush TLB in
kvmppc_alloc_reset_hpt() (bnc#1012628).
- drm/vmwgfx: Don't cache framebuffer maps (bnc#1012628).
- drm/vmwgfx: Potential off by one in vmw_view_add()
(bnc#1012628).
- drm/i915/gvt: Clear the shadow page table entry after post-sync
(bnc#1012628).
- drm/i915: Whitelist SLICE_COMMON_ECO_CHICKEN1 on Geminilake
(bnc#1012628).
- drm/i915: Move init_clock_gating() back to where it was
(bnc#1012628).
- drm/i915: Fix init_clock_gating for resume (bnc#1012628).
- bpf: arsh is not supported in 32 bit alu thus reject it
(bnc#1012628).
- USB: serial: cp210x: add IDs for LifeScan OneTouch Verio IQ
(bnc#1012628).
- USB: serial: cp210x: add new device ID ELV ALC 8xxx
(bnc#1012628).
- usb: misc: usb3503: make sure reset is low for at least 100us
(bnc#1012628).
- USB: fix usbmon BUG trigger (bnc#1012628).
- USB: UDC core: fix double-free in usb_add_gadget_udc_release
(bnc#1012628).
- usbip: remove kernel addresses from usb device and urb debug
msgs (bnc#1012628).
- usbip: fix vudc_rx: harden CMD_SUBMIT path to handle malicious
input (bnc#1012628).
- usbip: vudc_tx: fix v_send_ret_submit() vulnerability to null
xfer buffer (bnc#1012628).
- staging: android: ashmem: fix a race condition in
ASHMEM_SET_SIZE ioctl (bnc#1012628).
- Bluetooth: Prevent stack info leak from the EFS element
(bnc#1012628).
- uas: ignore UAS for Norelsys NS1068(X) chips (bnc#1012628).
- mux: core: fix double get_device() (bnc#1012628).
- kdump: write correct address of mem_section into vmcoreinfo
(bnc#1012628).
- apparmor: fix ptrace label match when matching stacked labels
(bnc#1012628).
- e1000e: Fix e1000_check_for_copper_link_ich8lan return value
(bnc#1012628).
- x86/pti: Unbreak EFI old_memmap (bnc#1012628).
- x86/Documentation: Add PTI description (bnc#1012628).
- x86/cpufeatures: Add X86_BUG_SPECTRE_V[12] (bnc#1012628).
- sysfs/cpu: Add vulnerability folder (bnc#1012628).
- x86/cpu: Implement CPU vulnerabilites sysfs functions
(bnc#1012628).
- x86/tboot: Unbreak tboot with PTI enabled (bnc#1012628).
- x86/mm/pti: Remove dead logic in pti_user_pagetable_walk*()
(bnc#1012628).
- sysfs/cpu: Fix typos in vulnerability documentation
(bnc#1012628).
- x86/alternatives: Fix optimize_nops() checking (bnc#1012628).
- x86/pti: Make unpoison of pgd for trusted boot work for real
(bnc#1012628).
- objtool: Detect jumps to retpoline thunks (bnc#1012628).
- objtool: Allow alternatives to be ignored (bnc#1012628).
- x86/retpoline: Add initial retpoline support (bnc#1012628
bnc#1068032 CVE-2017-5715).
- x86/spectre: Add boot time option to select Spectre v2
mitigation (bnc#1012628).
- x86/retpoline/crypto: Convert crypto assembler indirect jumps
(bnc#1012628).
- x86/retpoline/entry: Convert entry assembler indirect jumps
(bnc#1012628).
- x86/retpoline/ftrace: Convert ftrace assembler indirect jumps
(bnc#1012628).
- x86/retpoline/hyperv: Convert assembler indirect jumps
(bnc#1012628).
- x86/retpoline/xen: Convert Xen hypercall indirect jumps
(bnc#1012628).
- x86/retpoline/checksum32: Convert assembler indirect jumps
(bnc#1012628).
- x86/retpoline/irq32: Convert assembler indirect jumps
(bnc#1012628).
- x86/retpoline: Fill return stack buffer on vmexit (bnc#1012628
bnc#1068032 CVE-2017-5715).
- selftests/x86: Add test_vsyscall (bnc#1012628).
- x86/pti: Fix !PCID and sanitize defines (bnc#1012628).
- security/Kconfig: Correct the Documentation reference for PTI
(bnc#1012628).
- x86,perf: Disable intel_bts when PTI (bnc#1012628).
- x86/retpoline: Remove compile time warning (bnc#1012628).
- Update config files.
- Refresh
patches.suse/0007-x86-enter-Use-IBRS-on-syscall-and-interrupts.patch.
- Refresh
patches.suse/0016-x86-kvm-add-MSR_IA32_SPEC_CTRL-and-MSR_IA32_PRED_CMD.patch.
- Refresh
patches.suse/0029-kvm-svm-add-MSR_IA32_SPEC_CTRL-and-MSR_IA32_PRED_CMD.patch.
- Refresh
patches.suse/0031-Reverting-the-commit-e5247c4f209530-to-replace.patch.
- Refresh
patches.suse/0032-kvm-vmx-add-MSR_IA32_SPEC_CTRL-and-MSR_IA32_PRED_CMD.patch.
- Delete patches.suse/0019-x86-kvm-Pad-RSB-on-VM-transition.patch.
- Delete
patches.suse/0020-x86-kvm-clear-registers-on-VM-exit.patch.
- Delete
patches.suse/0026-Revert-x86-kvm-Pad-RSB-on-VM-transition.patch.
- Delete patches.suse/0027-x86-kvm-Pad-RSB-on-VM-transition.patch.
- Delete
patches.suse/0036-Clear-the-host-registers-after-setbe.patch.
- commit edd3e75
-------------------------------------------------------------------
Mon Jan 15 15:08:48 CET 2018 - jeffm@suse.com
- Update to 4.15-rc8.
- Eliminated 3 patches.
- Config changes:
- Security:
- BPF_JIT_ALWAYS_ON=y
- RETPOLINE=n (depends on gcc with -mindirect-branch=thunk-extern)
- commit 05e4405
-------------------------------------------------------------------
Fri Jan 12 17:34:16 CET 2018 - jslaby@suse.cz
- bpf, array: fix overflow in max_entries and undefined behavior
in index_mask (bsc#1068032 CVE-2017-5753).
- commit 3283516
-------------------------------------------------------------------
Fri Jan 12 15:12:13 CET 2018 - jslaby@suse.cz
- Refresh
patches.suse/bpf-prevent-out-of-bounds-speculation.patch.
- Delete
patches.suse/0004-bpf-prevent-speculative-execution-in-eBPF-interprete.patch.
Update the upstream status of the former.
And removed the latter as it has been just replaced by the proper
upstream solution.
- commit 20ac09f
-------------------------------------------------------------------
Fri Jan 12 10:04:49 CET 2018 - jslaby@suse.cz
- bpf: prevent out-of-bounds speculation (bsc#1068032
CVE-2017-5753).
- commit 0eca303
-------------------------------------------------------------------
Thu Jan 11 19:57:16 CET 2018 - matwey.kornilov@gmail.com
- config: arm64: Enable Aardvark PCIe controller
Aardvark PCIe controller is a part of Marvel Armada 3700 SoC.
This option is required to support PCIe for JeOS-espressobin.
- commit b0bb655
-------------------------------------------------------------------
Thu Jan 11 16:39:36 CET 2018 - tiwai@suse.de
- drm/nouveau/disp/gf119: add missing drive vfunc ptr
(bsc#1075613).
- commit 80f2eaf
-------------------------------------------------------------------
Thu Jan 11 10:41:47 CET 2018 - lpechacek@suse.com
- rpm/kernel-binary.spec.in: more specific kGraft Provides: (fate#323682)
Follow openSUSE packaging practices described at
https://en.opensuse.org/openSUSE:Package_dependencies#Renaming_a_package.
- commit 050081b
-------------------------------------------------------------------
Thu Jan 11 09:01:53 CET 2018 - mkubecek@suse.cz
- Update
patches.kernel.org/4.14.9-161-bpf-fix-branch-pruning-logic.patch
references (add CVE-2017-17862 CVE-2017-17864 bsc#1073928).
- Update
patches.kernel.org/4.14.9-166-bpf-verifier-fix-bounds-calculation-on-BPF_RSH.patch
references (add CVE-2017-17853 bsc#1073928).
- Update
patches.kernel.org/4.14.9-167-bpf-fix-incorrect-sign-extension-in-check_alu_.patch
references (add CVE-2017-16995 bsc#1073928).
- Update
patches.kernel.org/4.14.9-168-bpf-fix-incorrect-tracking-of-register-size-tr.patch
references (add CVE-2017-16996 bsc#1073928).
- Update
patches.kernel.org/4.14.9-169-bpf-fix-32-bit-ALU-op-verification.patch
references (add CVE-2017-17852 bsc#1073928).
- Update
patches.kernel.org/4.14.9-170-bpf-fix-missing-error-return-in-check_stack_bo.patch
references (add CVE-2017-17857 bsc#1073928).
- Update
patches.kernel.org/4.14.9-171-bpf-force-strict-alignment-checks-for-stack-po.patch
references (add CVE-2017-17856 bsc#1073928).
- Update
patches.kernel.org/4.14.9-172-bpf-don-t-prune-branches-when-a-scalar-is-repl.patch
references (add CVE-2017-17855 bsc#1073928).
- Update
patches.kernel.org/4.14.9-173-bpf-fix-integer-overflows.patch
references (add CVE-2017-17854 bsc#1073928).
- Update
patches.kernel.org/4.14.9-174-selftests-bpf-add-tests-for-recent-bugfixes.patch
references (add bsc#1073928).
- commit 4a4cd97
-------------------------------------------------------------------
Thu Jan 11 08:55:30 CET 2018 - mkubecek@suse.cz
- Fix mainline reference:
patches.kernel.org/4.14.9-170-bpf-fix-missing-error-return-in-check_stack_bo.patch.
- commit 98662d2
-------------------------------------------------------------------
Thu Jan 11 08:42:23 CET 2018 - mkubecek@suse.cz
- Update
patches.kernel.org/4.14.11-084-net-Fix-double-free-and-memory-corruption-in-.patch
references (add CVE-2017-15129 bsc#1074839).
- commit 7acebb3
-------------------------------------------------------------------
Wed Jan 10 10:40:45 CET 2018 - jslaby@suse.cz
- x86/cpu/AMD: Make LFENCE a serializing instruction (bsc#1068032
CVE-2017-5754).
- x86/cpu/AMD: Use LFENCE_RDTSC in preference to MFENCE_RDTSC
(bsc#1068032 CVE-2017-5754).
- Delete
patches.suse/0001-x86-cpu-AMD-Make-the-LFENCE-instruction-serialized.patch.
- Delete
patches.suse/0002-x86-cpu-AMD-Remove-now-unused-definition-of-MFENCE_R.patch.
Use the variants from upstream (tip tree).
- commit 33b16eb
-------------------------------------------------------------------
Wed Jan 10 10:14:27 CET 2018 - jslaby@suse.cz
- Linux 4.14.13 (bnc#1012628).
- x86/mm: Set MODULES_END to 0xffffffffff000000 (bnc#1012628).
- x86/mm: Map cpu_entry_area at the same place on 4/5 level
(bnc#1012628).
- x86/kaslr: Fix the vaddr_end mess (bnc#1012628).
- x86/events/intel/ds: Use the proper cache flush method for
mapping ds buffers (bnc#1012628).
- x86/tlb: Drop the _GPL from the cpu_tlbstate export
(bnc#1012628).
- x86/alternatives: Add missing '\n' at end of ALTERNATIVE inline
asm (bnc#1012628).
- x86/pti: Rename BUG_CPU_INSECURE to BUG_CPU_MELTDOWN
(bnc#1012628).
- kernel/acct.c: fix the acct->needcheck check in
check_free_space() (bnc#1012628).
- mm/mprotect: add a cond_resched() inside change_pmd_range()
(bnc#1012628).
- mm/sparse.c: wrong allocation for mem_section (bnc#1012628).
- userfaultfd: clear the vma->vm_userfaultfd_ctx if
UFFD_EVENT_FORK fails (bnc#1012628).
- btrfs: fix refcount_t usage when deleting btrfs_delayed_nodes
(bnc#1012628).
- efi/capsule-loader: Reinstate virtual capsule mapping
(bnc#1012628).
- crypto: n2 - cure use after free (bnc#1012628).
- crypto: chacha20poly1305 - validate the digest size
(bnc#1012628).
- crypto: pcrypt - fix freeing pcrypt instances (bnc#1012628).
- crypto: chelsio - select CRYPTO_GF128MUL (bnc#1012628).
- drm/i915: Disable DC states around GMBUS on GLK (bnc#1012628).
- drm/i915: Apply Display WA #1183 on skl, kbl, and cfl
(bnc#1012628).
- sunxi-rsb: Include OF based modalias in device uevent
(bnc#1012628).
- fscache: Fix the default for fscache_maybe_release_page()
(bnc#1012628).
- x86 / CPU: Avoid unnecessary IPIs in arch_freq_get_on_cpu()
(bnc#1012628).
- x86 / CPU: Always show current CPU frequency in /proc/cpuinfo
(bnc#1012628).
- kernel/signal.c: protect the traced SIGNAL_UNKILLABLE tasks
from SIGKILL (bnc#1012628).
- kernel/signal.c: protect the SIGNAL_UNKILLABLE tasks from
!sig_kernel_only() signals (bnc#1012628).
- kernel/signal.c: remove the no longer needed SIGNAL_UNKILLABLE
check in complete_signal() (bnc#1012628).
- iommu/arm-smmu-v3: Don't free page table ops twice
(bnc#1012628).
- iommu/arm-smmu-v3: Cope with duplicated Stream IDs
(bnc#1012628).
- ARC: uaccess: dont use "l" gcc inline asm constraint modifier
(bnc#1012628).
- powerpc/mm: Fix SEGV on mapped region to return SEGV_ACCERR
(bnc#1012628).
- Input: elantech - add new icbody type 15 (bnc#1012628).
- apparmor: fix regression in mount mediation when feature set
is pinned (bnc#1012628).
- parisc: Fix alignment of pa_tlb_lock in assembly on 32-bit
SMP kernel (bnc#1012628).
- parisc: qemu idle sleep support (bnc#1012628).
- mtd: nand: pxa3xx: Fix READOOB implementation (bnc#1012628).
- KVM: s390: fix cmma migration for multiple memory slots
(bnc#1012628).
- KVM: s390: prevent buffer overrun on memory hotplug during
migration (bnc#1012628).
- commit bd444a0
-------------------------------------------------------------------
Tue Jan 9 14:35:46 CET 2018 - jslaby@suse.cz
- Refresh
patches.suse/0007-x86-enter-Use-IBRS-on-syscall-and-interrupts.patch.
- Refresh
patches.suse/0013-x86-entry-Stuff-RSB-for-entry-to-kernel-for-non-SMEP.patch.
- Refresh
patches.suse/0015-x86-syscall-Clear-unused-extra-registers-on-32-bit-c.patch.
Fix double fault in 32bit binaries (bnc#1074869, bnc#1074918,
bnc#1074920, bnc#1074921, bnc#1075018, bnc#1075034)
- commit f4b3cf0
-------------------------------------------------------------------
Mon Jan 8 11:23:11 CET 2018 - msuchanek@suse.de
- kernel-obs-build.spec.in: add --no-hostonly-cmdline to dracut invocation (boo#1062303).
call dracut with --no-hostonly-cmdline to avoid the random rootfs UUID
being added into the initrd's /etc/cmdline.d/95root-dev.conf
- commit da5186f
-------------------------------------------------------------------
Mon Jan 8 04:46:44 CET 2018 - jeffm@suse.com
- Update to 4.15-rc7.
- Eliminated 1 patch.
- commit b07c570
-------------------------------------------------------------------
Sat Jan 6 10:10:30 CET 2018 - jslaby@suse.cz
- rpm/constraints.in: lower kernel-syzkaller's mem requirements
OBS now reports that it needs only around 2G, so lower the limit to
8G, so that more compliant workers can be used.
- commit a73399a
-------------------------------------------------------------------
Fri Jan 5 19:15:55 CET 2018 - jslaby@suse.cz
- Linux 4.14.12 (bnc#1012628).
- exec: Weaken dumpability for secureexec (bnc#1012628).
- capabilities: fix buffer overread on very short xattr
(bnc#1012628).
- x86/cpu, x86/pti: Do not enable PTI on AMD processors
(bnc#1012628).
- x86/pti: Make sure the user/kernel PTEs match (bnc#1012628).
- x86/dumpstack: Fix partial register dumps (bnc#1012628).
- x86/dumpstack: Print registers for first stack frame
(bnc#1012628).
- x86/pti: Switch to kernel CR3 at early in entry_SYSCALL_compat()
(bnc#1012628).
- x86/process: Define cpu_tss_rw in same section as declaration
(bnc#1012628).
- Revert "xfrm: Fix stack-out-of-bounds read in
xfrm_state_find." (bnc#1012628).
- rtc: m41t80: m41t80_sqw_set_rate should return 0 on success
(bnc#1012628).
- rtc: m41t80: fix m41t80_sqw_round_rate return value
(bnc#1012628).
- rtc: m41t80: avoid i2c read in m41t80_sqw_recalc_rate
(bnc#1012628).
- rtc: m41t80: avoid i2c read in m41t80_sqw_is_prepared
(bnc#1012628).
- rtc: m41t80: remove unneeded checks from m41t80_sqw_set_rate
(bnc#1012628).
- Refresh
patches.suse/0002-x86-stacktrace-make-clear-the-success-paths.patch.
- Refresh
patches.suse/0007-x86-enter-Use-IBRS-on-syscall-and-interrupts.patch.
- Refresh
patches.suse/0013-x86-entry-Stuff-RSB-for-entry-to-kernel-for-non-SMEP.patch.
- Refresh
patches.suse/0015-x86-syscall-Clear-unused-extra-registers-on-32-bit-c.patch.
- commit 3cf399e
-------------------------------------------------------------------
Thu Jan 4 12:32:07 CET 2018 - jslaby@suse.cz
- Refresh
patches.suse/0027-x86-kvm-Pad-RSB-on-VM-transition.patch.
- Refresh
patches.suse/0031-Reverting-the-commit-e5247c4f209530-to-replace.patch.
Fix i386 build.
- commit c36893f
-------------------------------------------------------------------
Wed Jan 3 21:41:58 CET 2018 - jslaby@suse.cz
- Set IBPB when running a different VCPU (bnc#1068032
CVE-2017-5715).
- Clear the host registers after setbe (bnc#1068032
CVE-2017-5715).
- Use the ibpb_inuse variable (bnc#1068032 CVE-2017-5715).
- Remove the code that uses MSR save/restore list (bnc#1068032
CVE-2017-5715).
- KVM: x86: add SPEC_CTRL to MSR and CPUID lists (bnc#1068032
CVE-2017-5715).
- kvm: vmx: add MSR_IA32_SPEC_CTRL and MSR_IA32_PRED_CMD
(bnc#1068032 CVE-2017-5715).
- Reverting the commit e5247c4f209530 to replace (bnc#1068032
CVE-2017-5715).
- Use the "ibrs_inuse" variable (bnc#1068032 CVE-2017-5715).
- kvm: svm: add MSR_IA32_SPEC_CTRL and MSR_IA32_PRED_CMD
(bnc#1068032 CVE-2017-5715).
- x86/svm: Set IBPB when running a different VCPU (bnc#1068032
CVE-2017-5715).
- x86/kvm: Pad RSB on VM transition (bnc#1068032 CVE-2017-5715).
- Revert x86/kvm: Pad RSB on VM transition (bnc#1068032
CVE-2017-5715).
- x86/cpu/AMD: Add speculative control support for AMD
(bnc#1068032 CVE-2017-5715).
- x86/microcode: Recheck IBRS and IBPB feature on microcode reload
(bnc#1068032 CVE-2017-5715).
- x86: Move IBRS/IBPB feature detection to scattered.c
(bnc#1068032 CVE-2017-5715).
- x86/spec_ctrl: Add lock to serialize changes to ibrs and ibpb
control (bnc#1068032 CVE-2017-5715).
- x86/spec_ctrl: Add sysctl knobs to enable/disable SPEC_CTRL
feature (bnc#1068032 CVE-2017-5715).
- x86/kvm: clear registers on VM exit (bnc#1068032 CVE-2017-5715).
- x86/kvm: Pad RSB on VM transition (bnc#1068032 CVE-2017-5715).
- x86/kvm: Toggle IBRS on VM entry and exit (bnc#1068032
CVE-2017-5715).
- x86/kvm: Set IBPB when switching VM (bnc#1068032 CVE-2017-5715).
- x86/kvm: add MSR_IA32_SPEC_CTRL and MSR_IA32_PRED_CMD to kvm
(bnc#1068032 CVE-2017-5715).
- x86/syscall: Clear unused extra registers on 32-bit compatible
syscall entrance (bnc#1068032 CVE-2017-5715).
- x86/syscall: Clear unused extra registers on syscall entrance
(bnc#1068032 CVE-2017-5715).
- x86/entry: Stuff RSB for entry to kernel for non-SMEP platform
(bnc#1068032 CVE-2017-5715).
- x86/mm: Only set IBPB when the new thread cannot ptrace current
thread (bnc#1068032 CVE-2017-5715).
- x86/mm: Set IBPB upon context switch (bnc#1068032
CVE-2017-5715).
- x86/idle: Disable IBRS when offlining cpu and re-enable on
wakeup (bnc#1068032 CVE-2017-5715).
- x86/idle: Disable IBRS entering idle and enable it on wakeup
(bnc#1068032 CVE-2017-5715).
- x86/spec_ctrl: save IBRS MSR value in paranoid_entry
(bnc#1068032 CVE-2017-5715).
- x86/enter: Use IBRS on syscall and interrupts (bnc#1068032
CVE-2017-5715).
- x86: Add macro that does not save rax, rcx, rdx on stack to
disable IBRS (bnc#1068032 CVE-2017-5715).
- x86/enter: MACROS to set/clear IBRS and set IBPB (bnc#1068032
CVE-2017-5715).
- x86/feature: Report presence of IBPB and IBRS control
(bnc#1068032 CVE-2017-5715).
- x86: Add STIBP feature enumeration (bnc#1068032 CVE-2017-5715).
- x86/cpufeature: Add X86_FEATURE_IA32_ARCH_CAPS and
X86_FEATURE_IBRS_ATT (bnc#1068032 CVE-2017-5715).
- x86/feature: Enable the x86 feature to control Speculation
(bnc#1068032 CVE-2017-5715).
- commit 816f713
-------------------------------------------------------------------
Wed Jan 3 19:49:03 CET 2018 - jslaby@suse.cz
- userns: prevent speculative execution (bnc#1068032
CVE-2017-5753).
- udf: prevent speculative execution (bnc#1068032 CVE-2017-5753).
- net: mpls: prevent speculative execution (bnc#1068032
CVE-2017-5753).
- fs: prevent speculative execution (bnc#1068032 CVE-2017-5753).
- ipv6: prevent speculative execution (bnc#1068032 CVE-2017-5753).
- ipv4: prevent speculative execution (bnc#1068032 CVE-2017-5753).
- Thermal/int340x: prevent speculative execution (bnc#1068032
CVE-2017-5753).
- cw1200: prevent speculative execution (bnc#1068032
CVE-2017-5753).
- qla2xxx: prevent speculative execution (bnc#1068032
CVE-2017-5753).
- p54: prevent speculative execution (bnc#1068032 CVE-2017-5753).
- carl9170: prevent speculative execution (bnc#1068032
CVE-2017-5753).
- uvcvideo: prevent speculative execution (bnc#1068032
CVE-2017-5753).
- x86, bpf, jit: prevent speculative execution when JIT is enabled
(bnc#1068032 CVE-2017-5753).
- bpf: prevent speculative execution in eBPF interpreter
(bnc#1068032 CVE-2017-5753).
- locking/barriers: introduce new observable speculation barrier
(bnc#1068032 CVE-2017-5753).
- x86/cpu/AMD: Remove now unused definition of MFENCE_RDTSC
feature (bnc#1068032 CVE-2017-5753).
- x86/cpu/AMD: Make the LFENCE instruction serialized (bnc#1068032
CVE-2017-5753).
- commit cf46932
-------------------------------------------------------------------
Wed Jan 3 16:57:12 CET 2018 - jslaby@suse.cz
- Linux 4.14.11 (bnc#1012628).
- tracing: Remove extra zeroing out of the ring buffer page
(bnc#1012628).
- tracing: Fix possible double free on failure of allocating
trace buffer (bnc#1012628).
- tracing: Fix crash when it fails to alloc ring buffer
(bnc#1012628).
- x86/cpufeatures: Add X86_BUG_CPU_INSECURE (bnc#1012628).
- x86/mm/pti: Disable global pages if PAGE_TABLE_ISOLATION=y
(bnc#1012628).
- x86/mm/pti: Prepare the x86/entry assembly code for entry/exit
CR3 switching (bnc#1012628).
- x86/mm/pti: Add infrastructure for page table isolation
(bnc#1012628).
- x86/pti: Add the pti= cmdline option and documentation
(bnc#1012628).
- x86/mm/pti: Add mapping helper functions (bnc#1012628).
- x86/mm/pti: Allow NX poison to be set in p4d/pgd (bnc#1012628).
- x86/mm/pti: Allocate a separate user PGD (bnc#1012628).
- x86/mm/pti: Populate user PGD (bnc#1012628).
- x86/mm/pti: Add functions to clone kernel PMDs (bnc#1012628).
- x86/mm/pti: Force entry through trampoline when PTI active
(bnc#1012628).
- x86/mm/pti: Share cpu_entry_area with user space page tables
(bnc#1012628).
- x86/entry: Align entry text section to PMD boundary
(bnc#1012628).
- x86/mm/pti: Share entry text PMD (bnc#1012628).
- x86/mm/pti: Map ESPFIX into user space (bnc#1012628).
- x86/cpu_entry_area: Add debugstore entries to cpu_entry_area
(bnc#1012628).
- x86/events/intel/ds: Map debug buffers in cpu_entry_area
(bnc#1012628).
- x86/mm/64: Make a full PGD-entry size hole in the memory map
(bnc#1012628).
- x86/pti: Put the LDT in its own PGD if PTI is on (bnc#1012628).
- x86/pti: Map the vsyscall page if needed (bnc#1012628).
- x86/mm: Allow flushing for future ASID switches (bnc#1012628).
- x86/mm: Abstract switching CR3 (bnc#1012628).
- x86/mm: Use/Fix PCID to optimize user/kernel switches
(bnc#1012628).
- x86/mm: Optimize RESTORE_CR3 (bnc#1012628).
- x86/mm: Use INVPCID for __native_flush_tlb_single()
(bnc#1012628).
- x86/mm: Clarify the whole ASID/kernel PCID/user PCID naming
(bnc#1012628).
- x86/dumpstack: Indicate in Oops whether PTI is configured and
enabled (bnc#1012628).
- x86/mm/pti: Add Kconfig (bnc#1012628).
- x86/mm/dump_pagetables: Add page table directory to the debugfs
VFS hierarchy (bnc#1012628).
- x86/mm/dump_pagetables: Check user space page table for WX pages
(bnc#1012628).
- x86/mm/dump_pagetables: Allow dumping current pagetables
(bnc#1012628).
- x86/ldt: Make the LDT mapping RO (bnc#1012628).
- ring-buffer: Mask out the info bits when returning buffer page
length (bnc#1012628).
- ring-buffer: Do no reuse reader page if still in use
(bnc#1012628).
- iw_cxgb4: Only validate the MSN for successful completions
(bnc#1012628).
- ASoC: codecs: msm8916-wcd: Fix supported formats (bnc#1012628).
- ASoC: wm_adsp: Fix validation of firmware and coeff lengths
(bnc#1012628).
- ASoC: da7218: fix fix child-node lookup (bnc#1012628).
- ASoC: fsl_ssi: AC'97 ops need regmap, clock and cleaning up
on failure (bnc#1012628).
- ASoC: twl4030: fix child-node lookup (bnc#1012628).
- ASoC: tlv320aic31xx: Fix GPIO1 register definition
(bnc#1012628).
- gpio: fix "gpio-line-names" property retrieval (bnc#1012628).
- IB/hfi: Only read capability registers if the capability exists
(bnc#1012628).
- IB/mlx5: Serialize access to the VMA list (bnc#1012628).
- IB/uverbs: Fix command checking as part of
ib_uverbs_ex_modify_qp() (bnc#1012628).
- IB/core: Verify that QP is security enabled in create and
destroy (bnc#1012628).
- ALSA: hda: Drop useless WARN_ON() (bnc#1012628).
- ALSA: hda - Add MIC_NO_PRESENCE fixup for 2 HP machines
(bnc#1012628).
- ALSA: hda - change the location for one mic on a Lenovo machine
(bnc#1012628).
- ALSA: hda - fix headset mic detection issue on a Dell machine
(bnc#1012628).
- ALSA: hda - Fix missing COEF init for ALC225/295/299
(bnc#1012628).
- cpufreq: schedutil: Use idle_calls counter of the remote CPU
(bnc#1012628).
- block: fix blk_rq_append_bio (bnc#1012628).
- block: don't let passthrough IO go into .make_request_fn()
(bnc#1012628).
- kbuild: add '-fno-stack-check' to kernel build options
(bnc#1012628).
- ipv4: igmp: guard against silly MTU values (bnc#1012628).
- ipv6: mcast: better catch silly mtu values (bnc#1012628).
- net: fec: unmap the xmit buffer that are not transferred by DMA
(bnc#1012628).
- net: igmp: Use correct source address on IGMPv3 reports
(bnc#1012628).
- net: qmi_wwan: add Sierra EM7565 1199:9091 (bnc#1012628).
- net: reevalulate autoflowlabel setting after sysctl setting
(bnc#1012628).
- ptr_ring: add barriers (bnc#1012628).
- RDS: Check cmsg_len before dereferencing CMSG_DATA
(bnc#1012628).
- tcp_bbr: record "full bw reached" decision in new
full_bw_reached bit (bnc#1012628).
- tcp md5sig: Use skb's saddr when replying to an incoming segment
(bnc#1012628).
- tg3: Fix rx hang on MTU change with 5717/5719 (bnc#1012628).
- tcp_bbr: reset full pipe detection on loss recovery undo
(bnc#1012628).
- tcp_bbr: reset long-term bandwidth sampling on loss recovery
undo (bnc#1012628).
- s390/qeth: apply takeover changes when mode is toggled
(bnc#1012628).
- s390/qeth: don't apply takeover changes to RXIP (bnc#1012628).
- s390/qeth: lock IP table while applying takeover changes
(bnc#1012628).
- s390/qeth: update takeover IPs after configuration change
(bnc#1012628).
- net: ipv4: fix for a race condition in raw_sendmsg
(bnc#1012628).
- net: mvmdio: disable/unprepare clocks in EPROBE_DEFER case
(bnc#1012628).
- sctp: Replace use of sockets_allocated with specified macro
(bnc#1012628).
- adding missing rcu_read_unlock in ipxip6_rcv (bnc#1012628).
- ip6_gre: fix device features for ioctl setup (bnc#1012628).
- ipv4: Fix use-after-free when flushing FIB tables (bnc#1012628).
- net: bridge: fix early call to br_stp_change_bridge_id and
plug newlink leaks (bnc#1012628).
- net: Fix double free and memory corruption in get_net_ns_by_id()
(bnc#1012628).
- net: phy: micrel: ksz9031: reconfigure autoneg after phy
autoneg workaround (bnc#1012628).
- sock: free skb in skb_complete_tx_timestamp on error
(bnc#1012628).
- tcp: invalidate rate samples during SACK reneging (bnc#1012628).
- net/mlx5: Fix rate limit packet pacing naming and struct
(bnc#1012628).
- net/mlx5e: Fix possible deadlock of VXLAN lock (bnc#1012628).
- net/mlx5e: Fix features check of IPv6 traffic (bnc#1012628).
- net/mlx5e: Add refcount to VXLAN structure (bnc#1012628).
- net/mlx5e: Prevent possible races in VXLAN control flow
(bnc#1012628).
- net/mlx5: Fix error flow in CREATE_QP command (bnc#1012628).
- openvswitch: Fix pop_vlan action for double tagged frames
(bnc#1012628).
- sfc: pass valid pointers from efx_enqueue_unwind (bnc#1012628).
- net: dsa: bcm_sf2: Clear IDDQ_GLOBAL_PWR bit for PHY
(bnc#1012628).
- s390/qeth: fix error handling in checksum cmd callback
(bnc#1012628).
- sctp: make sure stream nums can match optlen in
sctp_setsockopt_reset_streams (bnc#1012628).
- tipc: fix hanging poll() for stream sockets (bnc#1012628).
- mlxsw: spectrum: Disable MAC learning for ovs port
(bnc#1012628).
- tcp: fix potential underestimation on rcv_rtt (bnc#1012628).
- net: phy: marvell: Limit 88m1101 autoneg errata to 88E1145 as
well (bnc#1012628).
- ipv6: Honor specified parameters in fibmatch lookup
(bnc#1012628).
- tcp: refresh tcp_mstamp from timers callbacks (bnc#1012628).
- net/mlx5: FPGA, return -EINVAL if size is zero (bnc#1012628).
- vxlan: restore dev->mtu setting based on lower device
(bnc#1012628).
- net: sched: fix static key imbalance in case of
ingress/clsact_init error (bnc#1012628).
- bnxt_en: Fix sources of spurious netpoll warnings (bnc#1012628).
- phylink: ensure the PHY interface mode is appropriately set
(bnc#1012628).
- phylink: ensure AN is enabled (bnc#1012628).
- ipv4: fib: Fix metrics match when deleting a route
(bnc#1012628).
- ipv6: set all.accept_dad to 0 by default (bnc#1012628).
- Revert "mlx5: move affinity hints assignments to generic code"
(bnc#1012628).
- skbuff: orphan frags before zerocopy clone (bnc#1012628).
- skbuff: skb_copy_ubufs must release uarg even without user frags
(bnc#1012628).
- skbuff: in skb_copy_ubufs unclone before releasing zerocopy
(bnc#1012628).
- sparc64: repair calling incorrect hweight function from stubs
(bnc#1012628).
- usbip: fix usbip bind writing random string after command in
match_busid (bnc#1012628).
- usbip: prevent leaking socket pointer address in messages
(bnc#1012628).
- usbip: stub: stop printing kernel pointer addresses in messages
(bnc#1012628).
- usbip: vhci: stop printing kernel pointer addresses in messages
(bnc#1012628).
- USB: chipidea: msm: fix ulpi-node lookup (bnc#1012628).
- USB: serial: ftdi_sio: add id for Airbus DS P8GR (bnc#1012628).
- USB: serial: qcserial: add Sierra Wireless EM7565 (bnc#1012628).
- USB: serial: option: add support for Telit ME910 PID 0x1101
(bnc#1012628).
- USB: serial: option: adding support for YUGA CLM920-NC5
(bnc#1012628).
- usb: Add device quirk for Logitech HD Pro Webcam C925e
(bnc#1012628).
- usb: add RESET_RESUME for ELSA MicroLink 56K (bnc#1012628).
- USB: Fix off by one in type-specific length check of BOS SSP
capability (bnc#1012628).
- usb: xhci: Add XHCI_TRUST_TX_LENGTH for Renesas uPD720201
(bnc#1012628).
- timers: Use deferrable base independent of base::nohz_active
(bnc#1012628).
- timers: Invoke timer_start_debug() where it makes sense
(bnc#1012628).
- timers: Reinitialize per cpu bases on hotplug (bnc#1012628).
- binder: fix proc->files use-after-free (bnc#1012628).
- phy: tegra: fix device-tree node lookups (bnc#1012628).
- drivers: base: cacheinfo: fix cache type for non-architected
system cache (bnc#1012628).
- staging: android: ion: Fix dma direction for
dma_sync_sg_for_cpu/device (bnc#1012628).
- nohz: Prevent a timer interrupt storm in
tick_nohz_stop_sched_tick() (bnc#1012628).
- x86/smpboot: Remove stale TLB flush invocations (bnc#1012628).
- x86/mm: Remove preempt_disable/enable() from
__native_flush_tlb() (bnc#1012628).
- x86-32: Fix kexec with stack canary (CONFIG_CC_STACKPROTECTOR)
(bnc#1012628).
- x86/espfix/64: Fix espfix double-fault handling on 5-level
systems (bnc#1012628).
- x86/ldt: Plug memory leak in error path (bnc#1012628).
- x86/ldt: Make LDT pgtable free conditional (bnc#1012628).
- n_tty: fix EXTPROC vs ICANON interaction with TIOCINQ (aka
FIONREAD) (bnc#1012628).
- tty: fix tty_ldisc_receive_buf() documentation (bnc#1012628).
- Update config files.
- commit 58fec0f
-------------------------------------------------------------------
Wed Jan 3 15:34:27 CET 2018 - jeffm@suse.com
- config: x86, PAGE_TABLE_ISOLATION=y (bsc#1068032).
- commit 4343d87
-------------------------------------------------------------------
Tue Jan 2 15:14:16 CET 2018 - jslaby@suse.cz
- userns: prevent speculative execution (bnc#1068032
CVE-2017-5753).
- udf: prevent speculative execution (bnc#1068032 CVE-2017-5753).
- net: mpls: prevent speculative execution (bnc#1068032
CVE-2017-5753).
- fs: prevent speculative execution (bnc#1068032 CVE-2017-5753).
- ipv6: prevent speculative execution (bnc#1068032 CVE-2017-5753).
- ipv4: prevent speculative execution (bnc#1068032 CVE-2017-5753).
- Thermal/int340x: prevent speculative execution (bnc#1068032
CVE-2017-5753).
- cw1200: prevent speculative execution (bnc#1068032
CVE-2017-5753).
- qla2xxx: prevent speculative execution (bnc#1068032
CVE-2017-5753).
- p54: prevent speculative execution (bnc#1068032 CVE-2017-5753).
- carl9170: prevent speculative execution (bnc#1068032
CVE-2017-5753).
- uvcvideo: prevent speculative execution (bnc#1068032
CVE-2017-5753).
- x86, bpf, jit: prevent speculative execution when JIT is enabled
(bnc#1068032 CVE-2017-5753).
- bpf: prevent speculative execution in eBPF interpreter
(bnc#1068032 CVE-2017-5753).
- locking/barriers: introduce new observable speculation barrier
(bnc#1068032 CVE-2017-5753).
- x86/cpu/AMD: Remove now unused definition of MFENCE_RDTSC
feature (bnc#1068032 CVE-2017-5753).
- x86/cpu/AMD: Make the LFENCE instruction serialized (bnc#1068032
CVE-2017-5753).
- commit ee4aa62
-------------------------------------------------------------------
Tue Jan 2 04:37:31 CET 2018 - jeffm@suse.com
- Update to 4.15-rc6.
- Config changes:
- x86: PAGE_TABLE_ISOLATION=n (default, performance)
- commit cd70bd8
-------------------------------------------------------------------
Mon Jan 1 09:55:10 CET 2018 - jslaby@suse.cz
- Update config files.
- i386: NR_CPUS 128->64
- 7bbcbd3d1cd (x86/Kconfig: Limit NR_CPUS on 32-bit to a sane
amount)
- commit 69201cf
-------------------------------------------------------------------
Fri Dec 29 19:51:33 CET 2017 - jslaby@suse.cz
- Linux 4.14.10 (bnc#1012628).
- Revert "ipmi_si: fix memory leak on new_smi" (bnc#1012628).
- net: mvneta: eliminate wrong call to handle rx descriptor error
(bnc#1012628).
- net: mvneta: use proper rxq_number in loop on rx queues
(bnc#1012628).
- net: mvneta: clear interface link status on port disable
(bnc#1012628).
- libnvdimm, pfn: fix start_pad handling for aligned namespaces
(bnc#1012628).
- libnvdimm, btt: Fix an incompatibility in the log layout
(bnc#1012628).
- libnvdimm, dax: fix 1GB-aligned namespaces vs physical
misalignment (bnc#1012628).
- drm/sun4i: Fix error path handling (bnc#1012628).
- drm/i915: Flush pending GTT writes before unbinding
(bnc#1012628).
- powerpc/perf: Dereference BHRB entries safely (bnc#1012628).
- clk: sunxi: sun9i-mmc: Implement reset callback for reset
controls (bnc#1012628).
- kvm: x86: fix RSM when PCID is non-zero (bnc#1012628).
- KVM: X86: Fix load RFLAGS w/o the fixed bit (bnc#1012628).
- KVM: MMU: Fix infinite loop when there is no available mmu page
(bnc#1012628).
- KVM: PPC: Book3S HV: Fix pending_pri value in
kvmppc_xive_get_icp() (bnc#1012628).
- KVM: PPC: Book3S: fix XIVE migration of pending interrupts
(bnc#1012628).
- KVM: arm/arm64: Fix HYP unmapping going off limits
(bnc#1012628).
- arm64: kvm: Prevent restoring stale PMSCR_EL1 for vcpu
(bnc#1012628).
- pinctrl: cherryview: Mask all interrupts on Intel_Strago based
systems (bnc#1012628).
- spi: a3700: Fix clk prescaling for coefficient over 15
(bnc#1012628).
- spi: xilinx: Detect stall with Unknown commands (bnc#1012628).
- Revert "parisc: Re-enable interrupts early" (bnc#1012628).
- parisc: Hide Diva-built-in serial aux and graphics card
(bnc#1012628).
- parisc: Fix indenting in puts() (bnc#1012628).
- parisc: Align os_hpmc_size on word boundary (bnc#1012628).
- block-throttle: avoid double charge (bnc#1012628).
- block: unalign call_single_data in struct request (bnc#1012628).
- PCI / PM: Force devices to D0 in pci_pm_thaw_noirq()
(bnc#1012628).
- ALSA: usb-audio: Fix the missing ctl name suffix at parsing SU
(bnc#1012628).
- ALSA: usb-audio: Add native DSD support for Esoteric D-05X
(bnc#1012628).
- ALSA: hda - Add vendor id for Cannonlake HDMI codec
(bnc#1012628).
- ALSA: hda/realtek - Fix Dell AIO LineOut issue (bnc#1012628).
- ALSA: rawmidi: Avoid racy info ioctl via ctl device
(bnc#1012628).
- mfd: twl6040: Fix child-node lookup (bnc#1012628).
- mfd: twl4030-audio: Fix sibling-node lookup (bnc#1012628).
- mfd: cros ec: spi: Don't send first message too soon
(bnc#1012628).
- crypto: af_alg - fix race accessing cipher request
(bnc#1012628).
- crypto: af_alg - wait for data at beginning of recvmsg
(bnc#1012628).
- crypto: mcryptd - protect the per-CPU queue with a lock
(bnc#1012628).
- crypto: skcipher - set walk.iv for zero-length inputs
(bnc#1012628).
- acpi, nfit: fix health event notification (bnc#1012628).
- ACPI: APEI / ERST: Fix missing error handling in erst_reader()
(bnc#1012628).
- x86/cpu_entry_area: Prevent wraparound in
setup_cpu_entry_area_ptes() on 32bit (bnc#1012628).
- init: Invoke init_espfix_bsp() from mm_init() (bnc#1012628).
- x86/cpu_entry_area: Move it out of the fixmap (bnc#1012628).
- x86/cpu_entry_area: Move it to a separate unit (bnc#1012628).
- x86/mm: Create asm/invpcid.h (bnc#1012628).
- x86/mm: Put MMU to hardware ASID translation in one place
(bnc#1012628).
- x86/mm: Remove hard-coded ASID limit checks (bnc#1012628).
- x86/mm: Move the CR3 construction functions to tlbflush.h
(bnc#1012628).
- x86/mm: Add comments to clarify which TLB-flush functions are
supposed to flush what (bnc#1012628).
- x86/mm: Remove superfluous barriers (bnc#1012628).
- x86/mm: Use __flush_tlb_one() for kernel memory (bnc#1012628).
- x86/microcode: Dont abuse the TLB-flush interface (bnc#1012628).
- x86/uv: Use the right TLB-flush API (bnc#1012628).
- x86/entry: Rename SYSENTER_stack to CPU_ENTRY_AREA_entry_stack
(bnc#1012628).
- x86/doc: Remove obvious weirdnesses from the x86 MM layout
documentation (bnc#1012628).
- x86/mm/64: Improve the memory map documentation (bnc#1012628).
- x86/ldt: Prevent LDT inheritance on exec (bnc#1012628).
- x86/ldt: Rework locking (bnc#1012628).
- arch, mm: Allow arch_dup_mmap() to fail (bnc#1012628).
- x86/vsyscall/64: Warn and fail vsyscall emulation in NATIVE mode
(bnc#1012628).
- x86/vsyscall/64: Explicitly set _PAGE_USER in the pagetable
hierarchy (bnc#1012628).
- x86/mm/dump_pagetables: Make the address hints correct and
readable (bnc#1012628).
- x86/mm/dump_pagetables: Check PAGE_PRESENT for real
(bnc#1012628).
- x86/Kconfig: Limit NR_CPUS on 32-bit to a sane amount
(bnc#1012628).
- x86/insn-eval: Add utility functions to get segment selector
(bnc#1012628).
- x86/decoder: Fix and update the opcodes map (bnc#1012628).
- objtool: Fix 64-bit build on 32-bit host (bnc#1012628).
- tools/headers: Sync objtool UAPI header (bnc#1012628).
- objtool: Fix cross-build (bnc#1012628).
- objtool: Move kernel headers/code sync check to a script
(bnc#1012628).
- objtool: Move synced files to their original relative locations
(bnc#1012628).
- Revert "ipv6: grab rt->rt6i_ref before allocating pcpu rt"
(bnc#1012628).
- commit a9b9f85
-------------------------------------------------------------------
Mon Dec 25 16:42:48 CET 2017 - jslaby@suse.cz
- Linux 4.14.9 (bnc#1012628).
- x86/asm: Remove unnecessary \n\t in front of CC_SET() from
asm templates (bnc#1012628).
- ACPI / APEI: adjust a local variable type in
ghes_ioremap_pfn_irq() (bnc#1012628).
- x86/fpu/debug: Remove unused 'x86_fpu_state' and
'x86_fpu_deactivate_state' tracepoints (bnc#1012628).
- bitops: Add clear/set_bit32() to linux/bitops.h (bnc#1012628).
- x86/cpuid: Add generic table for CPUID dependencies
(bnc#1012628).
- x86/fpu: Parse clearcpuid= as early XSAVE argument
(bnc#1012628).
- x86/fpu: Make XSAVE check the base CPUID features before
enabling (bnc#1012628).
- x86/fpu: Remove the explicit clearing of XSAVE dependent
features (bnc#1012628).
- x86/platform/UV: Convert timers to use timer_setup()
(bnc#1012628).
- x86/cpuid: Prevent out of bound access in do_clear_cpu_cap()
(bnc#1012628).
- mm/sparsemem: Allocate mem_section at runtime for
CONFIG_SPARSEMEM_EXTREME=y (bnc#1012628).
- x86/kasan: Use the same shadow offset for 4- and 5-level paging
(bnc#1012628).
- x86/xen: Provide pre-built page tables only for CONFIG_XEN_PV=y
and CONFIG_XEN_PVH=y (bnc#1012628).
- x86/xen: Drop 5-level paging support code from the XEN_PV code
(bnc#1012628).
- ACPI / APEI: remove the unused dead-code for SEA/NMI
notification type (bnc#1012628).
- x86/asm: Don't use the confusing '.ifeq' directive
(bnc#1012628).
- x86/build: Beautify build log of syscall headers (bnc#1012628).
- x86/mm/64: Rename the register_page_bootmem_memmap() 'size'
parameter to 'nr_pages' (bnc#1012628).
- x86/cpufeatures: Enable new SSE/AVX/AVX512 CPU features
(bnc#1012628).
- x86/mm: Relocate page fault error codes to traps.h
(bnc#1012628).
- x86/boot: Relocate definition of the initial state of CR0
(bnc#1012628).
- ptrace,x86: Make user_64bit_mode() available to 32-bit builds
(bnc#1012628).
- x86/entry/64: Remove the restore_c_regs_and_iret label
(bnc#1012628).
- x86/entry/64: Split the IRET-to-user and IRET-to-kernel paths
(bnc#1012628).
- x86/entry/64: Move SWAPGS into the common IRET-to-usermode path
(bnc#1012628).
- x86/entry/64: Simplify reg restore code in the standard IRET
paths (bnc#1012628).
- x86/entry/64: Shrink paranoid_exit_restore and make labels local
(bnc#1012628).
- x86/entry/64: Use pop instead of movq in
syscall_return_via_sysret (bnc#1012628).
- x86/entry/64: Merge the fast and slow SYSRET paths
(bnc#1012628).
- x86/entry/64: Use POP instead of MOV to restore regs on NMI
return (bnc#1012628).
- x86/entry/64: Remove the RESTORE_..._REGS infrastructure
(bnc#1012628).
- xen, x86/entry/64: Add xen NMI trap entry (bnc#1012628).
- x86/entry/64: De-Xen-ify our NMI code (bnc#1012628).
- x86/entry/32: Pull the MSR_IA32_SYSENTER_CS update code out
of native_load_sp0() (bnc#1012628).
- x86/entry/64: Pass SP0 directly to load_sp0() (bnc#1012628).
- x86/entry: Add task_top_of_stack() to find the top of a task's
stack (bnc#1012628).
- x86/xen/64, x86/entry/64: Clean up SP code in
cpu_initialize_context() (bnc#1012628).
- x86/entry/64: Stop initializing TSS.sp0 at boot (bnc#1012628).
- x86/entry/64: Remove all remaining direct thread_struct::sp0
reads (bnc#1012628).
- x86/entry/32: Fix cpu_current_top_of_stack initialization at
boot (bnc#1012628).
- x86/entry/64: Remove thread_struct::sp0 (bnc#1012628).
- x86/traps: Use a new on_thread_stack() helper to clean up an
assertion (bnc#1012628).
- x86/entry/64: Shorten TEST instructions (bnc#1012628).
- x86/cpuid: Replace set/clear_bit32() (bnc#1012628).
- bitops: Revert cbe96375025e ("bitops: Add clear/set_bit32()
to linux/bitops.h") (bnc#1012628).
- x86/mm: Define _PAGE_TABLE using _KERNPG_TABLE (bnc#1012628).
- x86/cpufeatures: Re-tabulate the X86_FEATURE definitions
(bnc#1012628).
- x86/cpufeatures: Fix various details in the feature definitions
(bnc#1012628).
- selftests/x86/ldt_gdt: Add infrastructure to test
set_thread_area() (bnc#1012628).
- selftests/x86/ldt_gdt: Run most existing LDT test cases against
the GDT as well (bnc#1012628).
- ACPI / APEI: Replace ioremap_page_range() with fixmap
(bnc#1012628).
- x86/virt, x86/platform: Merge 'struct x86_hyper' into 'struct
x86_platform' and 'struct x86_init' (bnc#1012628).
- x86/virt: Add enum for hypervisors to replace x86_hyper
(bnc#1012628).
- drivers/misc/intel/pti: Rename the header file to free up the
namespace (bnc#1012628).
- x86/cpufeature: Add User-Mode Instruction Prevention definitions
(bnc#1012628).
- x86: Make X86_BUG_FXSAVE_LEAK detectable in CPUID on AMD
(bnc#1012628).
- perf/x86: Enable free running PEBS for REGS_USER/INTR
(bnc#1012628).
- bpf: fix build issues on um due to mising bpf_perf_event.h
(bnc#1012628).
- locking/barriers: Add implicit smp_read_barrier_depends()
to READ_ONCE() (bnc#1012628).
- locking/barriers: Convert users of lockless_dereference()
to READ_ONCE() (bnc#1012628).
- x86/mm/kasan: Don't use vmemmap_populate() to initialize shadow
(bnc#1012628).
- x86/entry/64/paravirt: Use paravirt-safe macro to access eflags
(bnc#1012628).
- x86/unwinder/orc: Dont bail on stack overflow (bnc#1012628).
- x86/unwinder: Handle stack overflows more gracefully
(bnc#1012628).
- x86/irq: Remove an old outdated comment about context tracking
races (bnc#1012628).
- x86/irq/64: Print the offending IP in the stack overflow warning
(bnc#1012628).
- x86/entry/64: Allocate and enable the SYSENTER stack
(bnc#1012628).
- x86/dumpstack: Add get_stack_info() support for the SYSENTER
stack (bnc#1012628).
- x86/entry/gdt: Put per-CPU GDT remaps in ascending order
(bnc#1012628).
- x86/mm/fixmap: Generalize the GDT fixmap mechanism, introduce
struct cpu_entry_area (bnc#1012628).
- x86/kasan/64: Teach KASAN about the cpu_entry_area
(bnc#1012628).
- x86/entry: Fix assumptions that the HW TSS is at the beginning
of cpu_tss (bnc#1012628).
- x86/dumpstack: Handle stack overflow on all stacks
(bnc#1012628).
- x86/entry: Move SYSENTER_stack to the beginning of struct
tss_struct (bnc#1012628).
- x86/entry: Remap the TSS into the CPU entry area (bnc#1012628).
- x86/entry/64: Separate cpu_current_top_of_stack from TSS.sp0
(bnc#1012628).
- x86/espfix/64: Stop assuming that pt_regs is on the entry stack
(bnc#1012628).
- x86/entry/64: Use a per-CPU trampoline stack for IDT entries
(bnc#1012628).
- x86/entry/64: Return to userspace from the trampoline stack
(bnc#1012628).
- x86/entry/64: Create a per-CPU SYSCALL entry trampoline
(bnc#1012628).
- x86/entry/64: Move the IST stacks into struct cpu_entry_area
(bnc#1012628).
- x86/entry/64: Remove the SYSENTER stack canary (bnc#1012628).
- x86/entry: Clean up the SYSENTER_stack code (bnc#1012628).
- x86/entry/64: Make cpu_entry_area.tss read-only (bnc#1012628).
- x86/paravirt: Dont patch flush_tlb_single (bnc#1012628).
- x86/paravirt: Provide a way to check for hypervisors
(bnc#1012628).
- x86/cpufeatures: Make CPU bugs sticky (bnc#1012628).
- optee: fix invalid of_node_put() in optee_driver_init()
(bnc#1012628).
- backlight: pwm_bl: Fix overflow condition (bnc#1012628).
- drm: Add retries for lspcon mode detection (bnc#1012628).
- clk: sunxi-ng: nm: Check if requested rate is supported by
fractional clock (bnc#1012628).
- clk: sunxi-ng: sun5i: Fix bit offset of audio PLL post-divider
(bnc#1012628).
- crypto: crypto4xx - increase context and scatter ring buffer
elements (bnc#1012628).
- crypto: lrw - Fix an error handling path in 'create()'
(bnc#1012628).
- rtc: pl031: make interrupt optional (bnc#1012628).
- kvm, mm: account kvm related kmem slabs to kmemcg (bnc#1012628).
- net: phy: at803x: Change error to EINVAL for invalid MAC
(bnc#1012628).
- PCI: Avoid bus reset if bridge itself is broken (bnc#1012628).
- scsi: cxgb4i: fix Tx skb leak (bnc#1012628).
- scsi: mpt3sas: Fix IO error occurs on pulling out a drive from
RAID1 volume created on two SATA drive (bnc#1012628).
- PCI: Create SR-IOV virtfn/physfn links before attaching driver
(bnc#1012628).
- PM / OPP: Move error message to debug level (bnc#1012628).
- igb: check memory allocation failure (bnc#1012628).
- i40e: use the safe hash table iterator when deleting mac filters
(bnc#1012628).
- iio: st_sensors: add register mask for status register
(bnc#1012628).
- ixgbe: fix use of uninitialized padding (bnc#1012628).
- IB/rxe: check for allocation failure on elem (bnc#1012628).
- block,bfq: Disable writeback throttling (bnc#1012628).
- md: always set THREAD_WAKEUP and wake up wqueue if thread
existed (bnc#1012628).
- ip_gre: check packet length and mtu correctly in erspan tx
(bnc#1012628).
- ipv6: grab rt->rt6i_ref before allocating pcpu rt (bnc#1012628).
- leds: pca955x: Don't invert requested value in
pca955x_gpio_set_value() (bnc#1012628).
- Bluetooth: hci_uart_set_flow_control: Fix NULL deref when
using serdev (bnc#1012628).
- Bluetooth: hci_bcm: Fix setting of irq trigger type
(bnc#1012628).
- i40e/i40evf: spread CPU affinity hints across online CPUs only
(bnc#1012628).
- PCI/AER: Report non-fatal errors only to the affected endpoint
(bnc#1012628).
- tracing: Exclude 'generic fields' from histograms (bnc#1012628).
- ASoC: codecs: msm8916-wcd-analog: fix micbias level
(bnc#1012628).
- ASoC: img-parallel-out: Add pm_runtime_get/put to set_fmt
callback (bnc#1012628).
- powerpc/xmon: Avoid tripping SMP hardlockup watchdog
(bnc#1012628).
- powerpc/watchdog: Do not trigger SMP crash from
touch_nmi_watchdog (bnc#1012628).
- sctp: silence warns on sctp_stream_init allocations
(bnc#1012628).
- ASoC: codecs: msm8916-wcd-analog: fix module autoload
(bnc#1012628).
- fm10k: fix mis-ordered parameters in declaration for
.ndo_set_vf_bw (bnc#1012628).
- scsi: lpfc: Fix secure firmware updates (bnc#1012628).
- scsi: lpfc: PLOGI failures during NPIV testing (bnc#1012628).
- scsi: lpfc: Fix warning messages when NVME_TARGET_FC not defined
(bnc#1012628).
- i40e: fix client notify of VF reset (bnc#1012628).
- vfio/pci: Virtualize Maximum Payload Size (bnc#1012628).
- ARM: exynos_defconfig: Enable UAS support for Odroid HC1 board
(bnc#1012628).
- fm10k: ensure we process SM mbx when processing VF mbx
(bnc#1012628).
- ibmvnic: Set state UP (bnc#1012628).
- net: ipv6: send NS for DAD when link operationally up
(bnc#1012628).
- RDMA/hns: Avoid NULL pointer exception (bnc#1012628).
- staging: greybus: light: Release memory obtained by kasprintf
(bnc#1012628).
- clk: sunxi-ng: sun6i: Rename HDMI DDC clock to avoid name
collision (bnc#1012628).
- tcp: fix under-evaluated ssthresh in TCP Vegas (bnc#1012628).
- rtc: set the alarm to the next expiring timer (bnc#1012628).
- cpuidle: fix broadcast control when broadcast can not be entered
(bnc#1012628).
- drm/vc4: Avoid using vrefresh==0 mode in DSI htotal math
(bnc#1012628).
- IB/opa_vnic: Properly clear Mac Table Digest (bnc#1012628).
- IB/opa_vnic: Properly return the total MACs in UC MAC list
(bnc#1012628).
- thermal/drivers/hisi: Fix missing interrupt enablement
(bnc#1012628).
- thermal/drivers/hisi: Fix kernel panic on alarm interrupt
(bnc#1012628).
- thermal/drivers/hisi: Simplify the temperature/step computation
(bnc#1012628).
- thermal/drivers/hisi: Fix multiple alarm interrupts firing
(bnc#1012628).
- platform/x86: asus-wireless: send an EV_SYN/SYN_REPORT between
state changes (bnc#1012628).
- mm/sparsemem: Fix ARM64 boot crash when
CONFIG_SPARSEMEM_EXTREME=y (bnc#1012628).
- bpf: fix branch pruning logic (bnc#1012628).
- bpf: fix corruption on concurrent perf_event_output calls
(bnc#1012628).
- bpf, s390x: do not reload skb pointers in non-skb context
(bnc#1012628).
- bpf, ppc64: do not reload skb pointers in non-skb context
(bnc#1012628).
- bpf, sparc: fix usage of wrong reg for load_skb_regs after call
(bnc#1012628).
- bpf/verifier: fix bounds calculation on BPF_RSH (bnc#1012628).
- bpf: fix incorrect sign extension in check_alu_op()
(bnc#1012628).
- bpf: fix incorrect tracking of register size truncation
(bnc#1012628).
- bpf: fix 32-bit ALU op verification (bnc#1012628).
- bpf: fix missing error return in check_stack_boundary()
(bnc#1012628).
- bpf: force strict alignment checks for stack pointers
(bnc#1012628).
- bpf: don't prune branches when a scalar is replaced with a
pointer (bnc#1012628).
- bpf: fix integer overflows (bnc#1012628).
- selftests/bpf: add tests for recent bugfixes (bnc#1012628).
- linux/compiler.h: Split into compiler.h and compiler_types.h
(bnc#1012628).
- Refresh patches.suse/dm-mpath-accept-failed-paths.
- Refresh patches.suse/suse-hv-guest-os-id.patch.
- commit 9423ca2
-------------------------------------------------------------------
Mon Dec 25 03:42:33 CET 2017 - jeffm@suse.com
- config: disable BUG_ON_DATA_CORRUPTION
On its face this option makes sense but it brings along with it
DEBUG_LIST, which is very expensive and obvious on benchmarks.
- commit 7bfff34
-------------------------------------------------------------------
Mon Dec 25 01:45:31 CET 2017 - jeffm@suse.com
- config: refresh i386/default
Commit 4735d41aeeb added a disabled CONFIG_SPI_INTEL_SPI_PLATFORM option
that doesn't exist on i386/default (at least in 4.15-rc5).
- commit 84167ae
-------------------------------------------------------------------
Sun Dec 24 19:43:43 CET 2017 - jeffm@suse.com
- Update to 4.15-rc5.
- Config changes:
- i386: NR_CPUS 128->64
- 7bbcbd3d1cd (x86/Kconfig: Limit NR_CPUS on 32-bit to a sane amount)
- commit 9e8deb3
-------------------------------------------------------------------
Thu Dec 21 13:31:54 CET 2017 - msuchanek@suse.de
- kernel-obs-build: use pae and lpae kernels where available
(bsc#1073579).
- commit 1ac1946
-------------------------------------------------------------------
Thu Dec 21 11:54:37 CET 2017 - tiwai@suse.de
- Disable CONFIG_SPI_INTEL_SPI_PCI as well (bsc#1073836)
- commit ddb33b2
-------------------------------------------------------------------
Thu Dec 21 11:29:01 CET 2017 - tiwai@suse.de
- Disable CONFIG_SPI_INTEL_SPI_PLATFORM for BIOS breakge on Lenovo laptops
(bsc#1073836)
- commit 4735d41
-------------------------------------------------------------------
Wed Dec 20 11:40:41 CET 2017 - jslaby@suse.cz
- Linux 4.14.8 (bnc#1012628).
- mfd: fsl-imx25: Clean up irq settings during removal
(bnc#1012628).
- crypto: algif_aead - fix reference counting of null skcipher
(bnc#1012628).
- crypto: rsa - fix buffer overread when stripping leading zeroes
(bnc#1012628).
- crypto: hmac - require that the underlying hash algorithm is
unkeyed (bnc#1012628).
- crypto: salsa20 - fix blkcipher_walk API usage (bnc#1012628).
- crypto: af_alg - fix NULL pointer dereference in (bnc#1012628).
- cifs: fix NULL deref in SMB2_read (bnc#1012628).
- string.h: workaround for increased stack usage (bnc#1012628).
- autofs: fix careless error in recent commit (bnc#1012628).
- kernel: make groups_sort calling a responsibility group_info
allocators (bnc#1012628).
- mm, oom_reaper: fix memory corruption (bnc#1012628).
- tracing: Allocate mask_str buffer dynamically (bnc#1012628).
- USB: uas and storage: Add US_FL_BROKEN_FUA for another JMicron
JMS567 ID (bnc#1012628).
- USB: core: prevent malicious bNumInterfaces overflow
(bnc#1012628).
- ovl: Pass ovl_get_nlink() parameters in right order
(bnc#1012628).
- ovl: update ctx->pos on impure dir iteration (bnc#1012628).
- usbip: fix stub_rx: get_pipe() to validate endpoint number
(bnc#1012628).
- usbip: fix stub_rx: harden CMD_SUBMIT path to handle malicious
input (bnc#1012628).
- usbip: prevent vhci_hcd driver from leaking a socket pointer
address (bnc#1012628).
- usbip: fix stub_send_ret_submit() vulnerability to null
transfer_buffer (bnc#1012628).
- mmc: core: apply NO_CMD23 quirk to some specific cards
(bnc#1012628).
- ceph: drop negative child dentries before try pruning inode's
alias (bnc#1012628).
- usb: xhci: fix TDS for MTK xHCI1.1 (bnc#1012628).
- xhci: Don't add a virt_dev to the devs array before it's fully
allocated (bnc#1012628).
- IB/core: Bound check alternate path port number (bnc#1012628).
- IB/core: Don't enforce PKey security on SMI MADs (bnc#1012628).
- nfs: don't wait on commit in nfs_commit_inode() if there were
no commit requests (bnc#1012628).
- arm64: mm: Fix pte_mkclean, pte_mkdirty semantics (bnc#1012628).
- arm64: Initialise high_memory global variable earlier
(bnc#1012628).
- arm64: fix CONFIG_DEBUG_WX address reporting (bnc#1012628).
- scsi: core: Fix a scsi_show_rq() NULL pointer dereference
(bnc#1012628).
- scsi: libsas: fix length error in sas_smp_handler()
(bnc#1012628).
- sched/rt: Do not pull from current CPU if only one CPU to pull
(bnc#1012628).
- dm: fix various targets to dm_register_target after module
__init resources created (bnc#1012628).
- SUNRPC: Fix a race in the receive code path (bnc#1012628).
- iw_cxgb4: only insert drain cqes if wq is flushed (bnc#1012628).
- x86/boot/compressed/64: Detect and handle 5-level paging at
boot-time (bnc#1012628).
- x86/boot/compressed/64: Print error if 5-level paging is not
supported (bnc#1012628).
- eeprom: at24: change nvmem stride to 1 (bnc#1012628).
- posix-timer: Properly check sigevent->sigev_notify
(bnc#1012628).
- dmaengine: dmatest: move callback wait queue to thread context
(bnc#1012628).
- Revert "exec: avoid RLIMIT_STACK races with prlimit()"
(bnc#1012628).
- ext4: support fast symlinks from ext3 file systems
(bnc#1012628).
- ext4: fix fdatasync(2) after fallocate(2) operation
(bnc#1012628).
- ext4: add missing error check in __ext4_new_inode()
(bnc#1012628).
- ext4: fix crash when a directory's i_size is too small
(bnc#1012628).
- IB/mlx4: Fix RSS's QPC attributes assignments (bnc#1012628).
- HID: cp2112: fix broken gpio_direction_input callback
(bnc#1012628).
- sfc: don't warn on successful change of MAC (bnc#1012628).
- fbdev: controlfb: Add missing modes to fix out of bounds access
(bnc#1012628).
- video: udlfb: Fix read EDID timeout (bnc#1012628).
- video: fbdev: au1200fb: Release some resources if a memory
allocation fails (bnc#1012628).
- video: fbdev: au1200fb: Return an error code if a memory
allocation fails (bnc#1012628).
- rtc: pcf8563: fix output clock rate (bnc#1012628).
- scsi: aacraid: use timespec64 instead of timeval (bnc#1012628).
- drm/amdgpu: bypass lru touch for KIQ ring submission
(bnc#1012628).
- PM / s2idle: Clear the events_check_enabled flag (bnc#1012628).
- ASoC: Intel: Skylake: Fix uuid_module memory leak in failure
case (bnc#1012628).
- dmaengine: ti-dma-crossbar: Correct am335x/am43xx mux value type
(bnc#1012628).
- mlxsw: spectrum: Fix error return code in mlxsw_sp_port_create()
(bnc#1012628).
- PCI/PME: Handle invalid data when reading Root Status
(bnc#1012628).
- powerpc/powernv/cpufreq: Fix the frequency read by /proc/cpuinfo
(bnc#1012628).
- PCI: Do not allocate more buses than available in parent
(bnc#1012628).
- iommu/mediatek: Fix driver name (bnc#1012628).
- thunderbolt: tb: fix use after free in tb_activate_pcie_devices
(bnc#1012628).
- netfilter: ipvs: Fix inappropriate output of procfs
(bnc#1012628).
- powerpc/opal: Fix EBUSY bug in acquiring tokens (bnc#1012628).
- powerpc/ipic: Fix status get and status clear (bnc#1012628).
- powerpc/pseries/vio: Dispose of virq mapping on vdevice
unregister (bnc#1012628).
- platform/x86: intel_punit_ipc: Fix resource ioremap warning
(bnc#1012628).
- target/iscsi: Detect conn_cmd_list corruption early
(bnc#1012628).
- target/iscsi: Fix a race condition in
iscsit_add_reject_from_cmd() (bnc#1012628).
- iscsi-target: fix memory leak in lio_target_tiqn_addtpg()
(bnc#1012628).
- target:fix condition return in core_pr_dump_initiator_port()
(bnc#1012628).
- target/file: Do not return error for UNMAP if length is zero
(bnc#1012628).
- badblocks: fix wrong return value in badblocks_set if badblocks
are disabled (bnc#1012628).
- iommu/amd: Limit the IOVA page range to the specified addresses
(bnc#1012628).
- xfs: truncate pagecache before writeback in xfs_setattr_size()
(bnc#1012628).
- arm-ccn: perf: Prevent module unload while PMU is in use
(bnc#1012628).
- crypto: tcrypt - fix buffer lengths in test_aead_speed()
(bnc#1012628).
- mm: Handle 0 flags in _calc_vm_trans() macro (bnc#1012628).
- net: hns3: fix for getting advertised_caps in
hns3_get_link_ksettings (bnc#1012628).
- net: hns3: Fix a misuse to devm_free_irq (bnc#1012628).
- staging: rtl8188eu: Revert part of "staging: rtl8188eu: fix
comments with lines over 80 characters" (bnc#1012628).
- clk: mediatek: add the option for determining PLL source clock
(bnc#1012628).
- clk: imx: imx7d: Fix parent clock for OCRAM_CLK (bnc#1012628).
- clk: imx6: refine hdmi_isfr's parent to make HDMI work on
i.MX6 SoCs w/o VPU (bnc#1012628).
- media: camss-vfe: always initialize reg at vfe_set_xbar_cfg()
(bnc#1012628).
- clk: hi6220: mark clock cs_atb_syspll as critical (bnc#1012628).
- blk-mq-sched: dispatch from scheduler IFF progress is made in
->dispatch (bnc#1012628).
- clk: tegra: Use readl_relaxed_poll_timeout_atomic() in
tegra210_clock_init() (bnc#1012628).
- clk: tegra: Fix cclk_lp divisor register (bnc#1012628).
- ppp: Destroy the mutex when cleanup (bnc#1012628).
- ASoC: rsnd: rsnd_ssi_run_mods() needs to care ssi_parent_mod
(bnc#1012628).
- thermal/drivers/step_wise: Fix temperature regulation
misbehavior (bnc#1012628).
- misc: pci_endpoint_test: Fix failure path return values in probe
(bnc#1012628).
- misc: pci_endpoint_test: Avoid triggering a BUG() (bnc#1012628).
- scsi: scsi_debug: write_same: fix error report (bnc#1012628).
- GFS2: Take inode off order_write list when setting jdata flag
(bnc#1012628).
- media: usbtv: fix brightness and contrast controls
(bnc#1012628).
- rpmsg: glink: Initialize the "intent_req_comp" completion
variable (bnc#1012628).
- bcache: explicitly destroy mutex while exiting (bnc#1012628).
- bcache: fix wrong cache_misses statistics (bnc#1012628).
- Ib/hfi1: Return actual operational VLs in port info query
(bnc#1012628).
- Bluetooth: hci_ldisc: Fix another race when closing the tty
(bnc#1012628).
- arm64: prevent regressions in compressed kernel image size
when upgrading to binutils 2.27 (bnc#1012628).
- btrfs: fix false EIO for missing device (bnc#1012628).
- btrfs: Explicitly handle btrfs_update_root failure
(bnc#1012628).
- btrfs: undo writable superblocke when sprouting fails
(bnc#1012628).
- btrfs: avoid null pointer dereference on fs_info when calling
btrfs_crit (bnc#1012628).
- btrfs: tests: Fix a memory leak in error handling path in
'run_test()' (bnc#1012628).
- qtnfmac: modify full Tx queue error reporting (bnc#1012628).
- mtd: spi-nor: stm32-quadspi: Fix uninitialized error return code
(bnc#1012628).
- ARM64: dts: meson-gxbb-odroidc2: fix usb1 power supply
(bnc#1012628).
- Bluetooth: btusb: Add new NFA344A entry (bnc#1012628).
- samples/bpf: adjust rlimit RLIMIT_MEMLOCK for xdp1
(bnc#1012628).
- liquidio: fix kernel panic in VF driver (bnc#1012628).
- platform/x86: hp_accel: Add quirk for HP ProBook 440 G4
(bnc#1012628).
- nvme: use kref_get_unless_zero in nvme_find_get_ns
(bnc#1012628).
- l2tp: cleanup l2tp_tunnel_delete calls (bnc#1012628).
- xfs: fix log block underflow during recovery cycle verification
(bnc#1012628).
- xfs: return a distinct error code value for IGET_INCORE cache
misses (bnc#1012628).
- xfs: fix incorrect extent state in
xfs_bmap_add_extent_unwritten_real (bnc#1012628).
- net: dsa: lan9303: Do not disable switch fabric port 0 at .probe
(bnc#1012628).
- net: hns3: fix a bug in hclge_uninit_client_instance
(bnc#1012628).
- net: hns3: add nic_client check when initialize roce base
information (bnc#1012628).
- net: hns3: fix the bug of hns3_set_txbd_baseinfo (bnc#1012628).
- RDMA/cxgb4: Declare stag as __be32 (bnc#1012628).
- PCI: Detach driver before procfs & sysfs teardown on device
remove (bnc#1012628).
- scsi: hisi_sas: fix the risk of freeing slot twice
(bnc#1012628).
- scsi: hpsa: cleanup sas_phy structures in sysfs when unloading
(bnc#1012628).
- scsi: hpsa: destroy sas transport properties before scsi_host
(bnc#1012628).
- mfd: mxs-lradc: Fix error handling in mxs_lradc_probe()
(bnc#1012628).
- net: hns3: fix the TX/RX ring.queue_index in hns3_ring_get_cfg
(bnc#1012628).
- net: hns3: fix the bug when map buffer fail (bnc#1012628).
- net: hns3: fix a bug when alloc new buffer (bnc#1012628).
- serdev: ttyport: enforce tty-driver open() requirement
(bnc#1012628).
- powerpc/perf/hv-24x7: Fix incorrect comparison in memord
(bnc#1012628).
- powerpc/xmon: Check before calling xive functions (bnc#1012628).
- soc: mediatek: pwrap: fix compiler errors (bnc#1012628).
- ipv4: ipv4_default_advmss() should use route mtu (bnc#1012628).
- KVM: nVMX: Fix EPT switching advertising (bnc#1012628).
- tty fix oops when rmmod 8250 (bnc#1012628).
- dev/dax: fix uninitialized variable build warning (bnc#1012628).
- pinctrl: adi2: Fix Kconfig build problem (bnc#1012628).
- raid5: Set R5_Expanded on parity devices as well as data
(bnc#1012628).
- IB/core: Fix use workqueue without WQ_MEM_RECLAIM (bnc#1012628).
- IB/core: Fix calculation of maximum RoCE MTU (bnc#1012628).
- vt6655: Fix a possible sleep-in-atomic bug in vt6655_suspend
(bnc#1012628).
- IB/hfi1: Mask out A bit from psn trace (bnc#1012628).
- rtl8188eu: Fix a possible sleep-in-atomic bug in
rtw_createbss_cmd (bnc#1012628).
- rtl8188eu: Fix a possible sleep-in-atomic bug in
rtw_disassoc_cmd (bnc#1012628).
- nullb: fix error return code in null_init() (bnc#1012628).
- scsi: sd: change manage_start_stop to bool in sysfs interface
(bnc#1012628).
- scsi: sd: change allow_restart to bool in sysfs interface
(bnc#1012628).
- scsi: bfa: integer overflow in debugfs (bnc#1012628).
- raid5-ppl: check recovery_offset when performing ppl recovery
(bnc#1012628).
- md-cluster: fix wrong condition check in raid1_write_request
(bnc#1012628).
- xprtrdma: Don't defer fencing an async RPC's chunks
(bnc#1012628).
- udf: Avoid overflow when session starts at large offset
(bnc#1012628).
- macvlan: Only deliver one copy of the frame to the macvlan
interface (bnc#1012628).
- IB/core: Fix endianness annotation in rdma_is_multicast_addr()
(bnc#1012628).
- RDMA/cma: Avoid triggering undefined behavior (bnc#1012628).
- IB/ipoib: Grab rtnl lock on heavy flush when calling
ndo_open/stop (bnc#1012628).
- icmp: don't fail on fragment reassembly time exceeded
(bnc#1012628).
- lightnvm: pblk: prevent gc kicks when gc is not operational
(bnc#1012628).
- lightnvm: pblk: fix changing GC group list for a line
(bnc#1012628).
- lightnvm: pblk: use right flag for GC allocation (bnc#1012628).
- lightnvm: pblk: initialize debug stat counter (bnc#1012628).
- lightnvm: pblk: fix min size for page mempool (bnc#1012628).
- lightnvm: pblk: protect line bitmap while submitting meta io
(bnc#1012628).
- ath9k: fix tx99 potential info leak (bnc#1012628).
- ath10k: fix core PCI suspend when WoWLAN is supported but
disabled (bnc#1012628).
- ath10k: fix build errors with !CONFIG_PM (bnc#1012628).
- usb: musb: da8xx: fix babble condition handling (bnc#1012628).
- commit 674981b
-------------------------------------------------------------------
Tue Dec 19 02:20:44 CET 2017 - jeffm@suse.com
- Update to 4.15-rc4.
- Eliminated 1 patch.
- Config changes:
- ARM:
- QCOM_FALKOR_ERRATUM_E1041=y
- Overlayfs:
- OVERLAY_FS_REDIRECT_ALWAYS_FOLLOW=y (preserves existing behavior)
- commit ff8819c
-------------------------------------------------------------------
Sun Dec 17 23:11:47 CET 2017 - jslaby@suse.cz
- Linux 4.14.7 (bnc#1012628).
- net: qmi_wwan: add Quectel BG96 2c7c:0296 (bnc#1012628).
- net: thunderx: Fix TCP/UDP checksum offload for IPv6 pkts
(bnc#1012628).
- net: thunderx: Fix TCP/UDP checksum offload for IPv4 pkts
(bnc#1012628).
- net: realtek: r8169: implement set_link_ksettings()
(bnc#1012628).
- s390/qeth: fix early exit from error path (bnc#1012628).
- tipc: fix memory leak in tipc_accept_from_sock() (bnc#1012628).
- vhost: fix skb leak in handle_rx() (bnc#1012628).
- rds: Fix NULL pointer dereference in __rds_rdma_map
(bnc#1012628).
- sit: update frag_off info (bnc#1012628).
- tcp: add tcp_v4_fill_cb()/tcp_v4_restore_cb() (bnc#1012628).
- packet: fix crash in fanout_demux_rollover() (bnc#1012628).
- net/packet: fix a race in packet_bind() and packet_notifier()
(bnc#1012628).
- tcp: remove buggy call to tcp_v6_restore_cb() (bnc#1012628).
- usbnet: fix alignment for frames with no ethernet header
(bnc#1012628).
- net: remove hlist_nulls_add_tail_rcu() (bnc#1012628).
- stmmac: reset last TSO segment size after device open
(bnc#1012628).
- tcp/dccp: block bh before arming time_wait timer (bnc#1012628).
- s390/qeth: build max size GSO skbs on L2 devices (bnc#1012628).
- s390/qeth: fix thinko in IPv4 multicast address tracking
(bnc#1012628).
- s390/qeth: fix GSO throughput regression (bnc#1012628).
- tcp: use IPCB instead of TCP_SKB_CB in inet_exact_dif_match()
(bnc#1012628).
- tipc: call tipc_rcv() only if bearer is up in tipc_udp_recv()
(bnc#1012628).
- tcp: use current time in tcp_rcv_space_adjust() (bnc#1012628).
- net: sched: cbq: create block for q->link.block (bnc#1012628).
- tap: free skb if flags error (bnc#1012628).
- tcp: when scheduling TLP, time of RTO should account for
current ACK (bnc#1012628).
- tun: free skb in early errors (bnc#1012628).
- net: ipv6: Fixup device for anycast routes during copy
(bnc#1012628).
- tun: fix rcu_read_lock imbalance in tun_build_skb (bnc#1012628).
- net: accept UFO datagrams from tuntap and packet (bnc#1012628).
- net: openvswitch: datapath: fix data type in queue_gso_packets
(bnc#1012628).
- cls_bpf: don't decrement net's refcount when offload fails
(bnc#1012628).
- sctp: use right member as the param of list_for_each_entry
(bnc#1012628).
- ipmi: Stop timers before cleaning up the module (bnc#1012628).
- usb: gadget: ffs: Forbid usb_ep_alloc_request from sleeping
(bnc#1012628).
- fcntl: don't cap l_start and l_end values for F_GETLK64 in
compat syscall (bnc#1012628).
- fix kcm_clone() (bnc#1012628).
- KVM: arm/arm64: vgic-its: Preserve the revious read from the
pending table (bnc#1012628).
- kbuild: do not call cc-option before KBUILD_CFLAGS
initialization (bnc#1012628).
- powerpc/powernv/idle: Round up latency and residency values
(bnc#1012628).
- ipvlan: fix ipv6 outbound device (bnc#1012628).
- ide: ide-atapi: fix compile error with defining macro DEBUG
(bnc#1012628).
- blk-mq: Avoid that request queue removal can trigger list
corruption (bnc#1012628).
- nvmet-rdma: update queue list during ib_device removal
(bnc#1012628).
- audit: Allow auditd to set pid to 0 to end auditing
(bnc#1012628).
- audit: ensure that 'audit=1' actually enables audit for PID 1
(bnc#1012628).
- dm raid: fix panic when attempting to force a raid to sync
(bnc#1012628).
- md: free unused memory after bitmap resize (bnc#1012628).
- RDMA/cxgb4: Annotate r2 and stag as __be32 (bnc#1012628).
- x86/intel_rdt: Fix potential deadlock during resctrl unmount
(bnc#1012628).
- Refresh patches.suse/supported-flag.
- commit 0598608
-------------------------------------------------------------------
Fri Dec 15 10:16:23 CET 2017 - jslaby@suse.cz
- x86/stacktrace: make clear the success paths (bnc#1058115).
- Refresh
patches.suse/0003-x86-stacktrace-remove-STACKTRACE_DUMP_ONCE-from-__sa.patch.
- Refresh
patches.suse/0004-x86-stacktrace-do-not-fail-for-ORC-with-regs-on-stac.patch.
- Delete
patches.suse/0002-x86-stacktrace-remove-unwind_state-error.patch.
Fix livepatch to succeed also for kthreads and idle tasks.
- commit 580e12c
-------------------------------------------------------------------
Thu Dec 14 10:42:26 CET 2017 - jslaby@suse.cz
- Linux 4.14.6 (bnc#1012628).
- usb: gadget: udc: renesas_usb3: fix number of the pipes
(bnc#1012628).
- usb: gadget: core: Fix ->udc_set_speed() speed handling
(bnc#1012628).
- serdev: ttyport: add missing receive_buf sanity checks
(bnc#1012628).
- serdev: ttyport: fix NULL-deref on hangup (bnc#1012628).
- serdev: ttyport: fix tty locking in close (bnc#1012628).
- usb: f_fs: Force Reserved1=1 in OS_DESC_EXT_COMPAT
(bnc#1012628).
- can: mcba_usb: fix device disconnect bug (bnc#1012628).
- can: peak/pci: fix potential bug when probe() fails
(bnc#1012628).
- can: flexcan: fix VF610 state transition issue (bnc#1012628).
- can: ti_hecc: Fix napi poll return value for repoll
(bnc#1012628).
- can: kvaser_usb: free buf in error paths (bnc#1012628).
- can: kvaser_usb: Fix comparison bug in
kvaser_usb_read_bulk_callback() (bnc#1012628).
- can: kvaser_usb: ratelimit errors if incomplete messages are
received (bnc#1012628).
- can: kvaser_usb: cancel urb on -EPIPE and -EPROTO (bnc#1012628).
- can: mcba_usb: cancel urb on -EPROTO (bnc#1012628).
- can: ems_usb: cancel urb on -EPIPE and -EPROTO (bnc#1012628).
- can: esd_usb2: cancel urb on -EPIPE and -EPROTO (bnc#1012628).
- can: usb_8dev: cancel urb on -EPIPE and -EPROTO (bnc#1012628).
- can: peak/pcie_fd: fix potential bug in restarting tx queue
(bnc#1012628).
- virtio: release virtio index when fail to device_register
(bnc#1012628).
- iio: stm32: fix adc/trigger link error (bnc#1012628).
- iio: health: max30102: Temperature should be in milli Celsius
(bnc#1012628).
- iio: adc: cpcap: fix incorrect validation (bnc#1012628).
- iio: adc: meson-saradc: fix the bit_idx of the adc_en clock
(bnc#1012628).
- iio: adc: meson-saradc: initialize the bandgap correctly on
older SoCs (bnc#1012628).
- iio: adc: meson-saradc: Meson8 and Meson8b do not have REG11
and REG13 (bnc#1012628).
- pinctrl: armada-37xx: Fix direction_output() callback behavior
(bnc#1012628).
- Drivers: hv: vmbus: Fix a rescind issue (bnc#1012628).
- hv: kvp: Avoid reading past allocated blocks from KVP file
(bnc#1012628).
- firmware: cleanup FIRMWARE_IN_KERNEL message (bnc#1012628).
- firmware: vpd: Destroy vpd sections in remove function
(bnc#1012628).
- firmware: vpd: Tie firmware kobject to device lifetime
(bnc#1012628).
- firmware: vpd: Fix platform driver and device
registration/unregistration (bnc#1012628).
- isa: Prevent NULL dereference in isa_bus driver callbacks
(bnc#1012628).
- scsi: dma-mapping: always provide dma_get_cache_alignment
(bnc#1012628).
- scsi: use dma_get_cache_alignment() as minimum DMA alignment
(bnc#1012628).
- scsi: libsas: align sata_device's rps_resp on a cacheline
(bnc#1012628).
- efi: Move some sysfs files to be read-only by root
(bnc#1012628).
- efi/esrt: Use memunmap() instead of kfree() to free the
remapping (bnc#1012628).
- ASN.1: fix out-of-bounds read when parsing indefinite length
item (bnc#1012628).
- ASN.1: check for error from ASN1_OP_END__ACT actions
(bnc#1012628).
- KEYS: add missing permission check for request_key() destination
(bnc#1012628).
- KEYS: reject NULL restriction string when type is specified
(bnc#1012628).
- X.509: reject invalid BIT STRING for subjectPublicKey
(bnc#1012628).
- X.509: fix comparisons of ->pkey_algo (bnc#1012628).
- x86/idt: Load idt early in start_secondary (bnc#1012628).
- x86/PCI: Make broadcom_postcore_init() check acpi_disabled
(bnc#1012628).
- KVM: x86: fix APIC page invalidation (bnc#1012628).
- btrfs: fix missing error return in btrfs_drop_snapshot
(bnc#1012628).
- btrfs: handle errors while updating refcounts in
update_ref_for_cow (bnc#1012628).
- ALSA: hda/realtek - New codec support for ALC257 (bnc#1012628).
- ALSA: pcm: prevent UAF in snd_pcm_info (bnc#1012628).
- ALSA: seq: Remove spurious WARN_ON() at timer check
(bnc#1012628).
- ALSA: usb-audio: Fix out-of-bound error (bnc#1012628).
- ALSA: usb-audio: Add check return value for usb_string()
(bnc#1012628).
- iommu/vt-d: Fix scatterlist offset handling (bnc#1012628).
- smp/hotplug: Move step CPUHP_AP_SMPCFD_DYING to the correct
place (bnc#1012628).
- s390: always save and restore all registers on context switch
(bnc#1012628).
- s390/mm: fix off-by-one bug in 5-level page table handling
(bnc#1012628).
- s390: fix compat system call table (bnc#1012628).
- KVM: s390: Fix skey emulation permission check (bnc#1012628).
- Revert "powerpc: Do not call ppc_md.panic in fadump panic
notifier" (bnc#1012628).
- powerpc/64s: Initialize ISAv3 MMU registers before setting
partition table (bnc#1012628).
- iwlwifi: mvm: mark MIC stripped MPDUs (bnc#1012628).
- iwlwifi: mvm: don't use transmit queue hang detection when it
is not possible (bnc#1012628).
- iwlwifi: mvm: flush queue before deleting ROC (bnc#1012628).
- iwlwifi: add new cards for 9260 and 22000 series (bnc#1012628).
- iwlwifi: mvm: fix packet injection (bnc#1012628).
- iwlwifi: mvm: enable RX offloading with TKIP and WEP
(bnc#1012628).
- brcmfmac: change driver unbind order of the sdio function
devices (bnc#1012628).
- kdb: Fix handling of kallsyms_symbol_next() return value
(bnc#1012628).
- md/r5cache: move mddev_lock() out of r5c_journal_mode_set()
(bnc#1012628).
- drm/exynos: gem: Drop NONCONTIG flag for buffers allocated
without IOMMU (bnc#1012628).
- drm/i915: Fix vblank timestamp/frame counter jumps on gen2
(bnc#1012628).
- media: dvb: i2c transfers over usb cannot be done from stack
(bnc#1012628).
- media: rc: sir_ir: detect presence of port (bnc#1012628).
- media: rc: partial revert of "media: rc: per-protocol repeat
period" (bnc#1012628).
- arm64: KVM: fix VTTBR_BADDR_MASK BUG_ON off-by-one
(bnc#1012628).
- arm: KVM: Fix VTTBR_BADDR_MASK BUG_ON off-by-one (bnc#1012628).
- KVM: VMX: remove I/O port 0x80 bypass on Intel hosts
(bnc#1012628).
- KVM: arm/arm64: Fix broken GICH_ELRSR big endian conversion
(bnc#1012628).
- KVM: arm/arm64: vgic-irqfd: Fix MSI entry allocation
(bnc#1012628).
- KVM: arm/arm64: vgic: Preserve the revious read from the
pending table (bnc#1012628).
- KVM: arm/arm64: vgic-its: Check result of allocation before use
(bnc#1012628).
- arm64: fpsimd: Prevent registers leaking from dead tasks
(bnc#1012628).
- arm64: SW PAN: Point saved ttbr0 at the zero page when switching
to init_mm (bnc#1012628).
- arm64: SW PAN: Update saved ttbr0 value on enter_lazy_tlb
(bnc#1012628).
- Revert "ARM: dts: imx53: add srtc node" (bnc#1012628).
- bus: arm-cci: Fix use of smp_processor_id() in preemptible
context (bnc#1012628).
- bus: arm-ccn: Check memory allocation failure (bnc#1012628).
- bus: arm-ccn: Fix use of smp_processor_id() in preemptible
context (bnc#1012628).
- bus: arm-ccn: fix module unloading Error: Removing state 147
which has instances left (bnc#1012628).
- IB/core: Avoid unnecessary return value check (bnc#1012628).
- IB/core: Only enforce security for InfiniBand (bnc#1012628).
- crypto: talitos - fix AEAD test failures (bnc#1012628).
- crypto: talitos - fix memory corruption on SEC2 (bnc#1012628).
- crypto: talitos - fix setkey to check key weakness
(bnc#1012628).
- crypto: talitos - fix AEAD for sha224 on non sha224 capable
chips (bnc#1012628).
- crypto: talitos - fix use of sg_link_tbl_len (bnc#1012628).
- crypto: talitos - fix ctr-aes-talitos (bnc#1012628).
- ARM: BUG if jumping to usermode address in kernel mode
(bnc#1012628).
- ARM: avoid faulting on qemu (bnc#1012628).
- irqchip/qcom: Fix u32 comparison with value less than zero
(bnc#1012628).
- net/smc: use sk_rcvbuf as start for rmb creation (bnc#1012628).
- kbuild: pkg: use --transform option to prefix paths in tar
(bnc#1012628).
- coccinelle: fix parallel build with CHECK=scripts/coccicheck
(bnc#1012628).
- powerpc/perf: Fix pmu_count to count only nest imc pmus
(bnc#1012628).
- apparmor: fix leak of null profile name if profile allocation
fails (bnc#1012628).
- x86/mpx/selftests: Fix up weird arrays (bnc#1012628).
- mac80211_hwsim: Fix memory leak in hwsim_new_radio_nl()
(bnc#1012628).
- gre6: use log_ecn_error module parameter in ip6_tnl_rcv()
(bnc#1012628).
- route: also update fnhe_genid when updating a route cache
(bnc#1012628).
- route: update fnhe_expires for redirect when the fnhe exists
(bnc#1012628).
- rsi: fix memory leak on buf and usb_reg_buf (bnc#1012628).
- drivers/rapidio/devices/rio_mport_cdev.c: fix resource leak
in error handling path in 'rio_dma_transfer()' (bnc#1012628).
- pipe: match pipe_max_size data type with procfs (bnc#1012628).
- lib/genalloc.c: make the avail variable an atomic_long_t
(bnc#1012628).
- dynamic-debug-howto: fix optional/omitted ending line number
to be LARGE instead of 0 (bnc#1012628).
- NFS: Fix a typo in nfs_rename() (bnc#1012628).
- sunrpc: Fix rpc_task_begin trace point (bnc#1012628).
- nfp: inherit the max_mtu from the PF netdev (bnc#1012628).
- nfp: fix flower offload metadata flag usage (bnc#1012628).
- xfs: fix forgotten rcu read unlock when skipping inode reclaim
(bnc#1012628).
- dt-bindings: usb: fix reg-property port-number range
(bnc#1012628).
- block: wake up all tasks blocked in get_request() (bnc#1012628).
- sparc64/mm: set fields in deferred pages (bnc#1012628).
- zsmalloc: calling zs_map_object() from irq is a bug
(bnc#1012628).
- slub: fix sysfs duplicate filename creation when slub_debug=O
(bnc#1012628).
- sctp: do not free asoc when it is already dead in sctp_sendmsg
(bnc#1012628).
- sctp: use the right sk after waking up from wait_buf sleep
(bnc#1012628).
- fcntl: don't leak fd reference when fixup_compat_flock fails
(bnc#1012628).
- geneve: fix fill_info when link down (bnc#1012628).
- bpf: fix lockdep splat (bnc#1012628).
- clk: stm32h7: fix test of clock config (bnc#1012628).
- clk: sunxi-ng: a83t: Fix i2c buses bits (bnc#1012628).
- clk: qcom: common: fix legacy board-clock registration
(bnc#1012628).
- clk: uniphier: fix DAPLL2 clock rate of Pro5 (bnc#1012628).
- clk: hi3660: fix incorrect uart3 clock freqency (bnc#1012628).
- mailbox: mailbox-test: don't rely on rx_buffer content to
signal data ready (bnc#1012628).
- kbuild: rpm-pkg: fix jobserver unavailable warning
(bnc#1012628).
- atm: horizon: Fix irq release error (bnc#1012628).
- jump_label: Invoke jump_label_test() via early_initcall()
(bnc#1012628).
- tls: Use kzalloc for aead_request allocation (bnc#1012628).
- xfrm: Copy policy family in clone_policy (bnc#1012628).
- f2fs: fix to clear FI_NO_PREALLOC (bnc#1012628).
- bnxt_re: changing the ip address shouldn't affect new
connections (bnc#1012628).
- IB/mlx4: Increase maximal message size under UD QP
(bnc#1012628).
- IB/mlx5: Assign send CQ and recv CQ of UMR QP (bnc#1012628).
- afs: Fix total-length calculation for multiple-page send
(bnc#1012628).
- afs: Connect up the CB.ProbeUuid (bnc#1012628).
- commit 45f120a
-------------------------------------------------------------------
Wed Dec 13 15:39:44 CET 2017 - msuchanek@suse.de
- s390/sclp: disable FORTIFY_SOURCE for early sclp code (-).
- commit 62412b6
-------------------------------------------------------------------
Tue Dec 12 19:55:02 CET 2017 - bp@suse.de
- x86/microcode/AMD: Add support for fam17h microcode loading
(bsc#1068032).
- commit c1a1611
-------------------------------------------------------------------
Tue Dec 12 10:36:33 CET 2017 - mkubecek@suse.cz
- netfilter: xt_osf: Add missing permission checks (CVE-2017-17450
bsc#1071695).
- commit a1ac243
-------------------------------------------------------------------
Tue Dec 12 10:30:19 CET 2017 - mkubecek@suse.cz
- netlink: Add netns check on taps (CVE-2017-17449 bsc#1071694).
- commit 9e5e383
-------------------------------------------------------------------
Tue Dec 12 10:27:00 CET 2017 - mkubecek@suse.cz
- netfilter: nfnetlink_cthelper: Add missing permission checks
(CVE-2017-17448 bsc#1071693).
- commit a208c29
-------------------------------------------------------------------
Tue Dec 12 09:43:24 CET 2017 - mkubecek@suse.cz
- dccp: CVE-2017-8824: use-after-free in DCCP code (CVE-2017-8824
bsc#1070771).
- commit bd1657a
-------------------------------------------------------------------
Mon Dec 11 09:31:08 CET 2017 - jslaby@suse.cz
- Linux 4.14.5 (bnc#1012628).
- Update config files.
- locking/refcounts: Do not force refcount_t usage as GPL-only
export (bnc#1012628).
- usb: host: fix incorrect updating of offset (bnc#1012628).
- USB: usbfs: Filter flags passed in from user space
(bnc#1012628).
- USB: core: Add type-specific length check of BOS descriptors
(bnc#1012628).
- usb: xhci: fix panic in xhci_free_virt_devices_depth_first
(bnc#1012628).
- xhci: Don't show incorrect WARN message about events for empty
rings (bnc#1012628).
- USB: ulpi: fix bus-node lookup (bnc#1012628).
- usb: hub: Cycle HUB power when initialization fails
(bnc#1012628).
- staging: ccree: fix leak of import() after init() (bnc#1012628).
- dma-buf/sw_sync: force signal all unsignaled fences on dying
timeline (bnc#1012628).
- powerpc/kprobes: Disable preemption before invoking probe
handler for optprobes (bnc#1012628).
- powerpc/jprobes: Disable preemption when triggered through
ftrace (bnc#1012628).
- locking/refcounts, x86/asm: Enable CONFIG_ARCH_HAS_REFCOUNT
(bnc#1012628).
- iio: multiplexer: add NULL check on devm_kzalloc() and
devm_kmemdup() return values (bnc#1012628).
- iio: adc: ti-ads1015: add 10% to conversion wait time
(bnc#1012628).
- mm, x86/mm: Fix performance regression in get_user_pages_fast()
(bnc#1012628).
- perf tools: Fix leaking rec_argv in error cases (bnc#1012628).
- tools include: Do not use poison with C++ (bnc#1012628).
- s390/ptrace: fix guarded storage regset handling (bnc#1012628).
- locking/refcounts, x86/asm: Use unique .text section for
refcount exceptions (bnc#1012628).
- kprobes/x86: Disable preemption in ftrace-based jprobes
(bnc#1012628).
- perf test attr: Fix python error on empty result (bnc#1012628).
- perf test attr: Fix ignored test case result (bnc#1012628).
- staging: fsl-mc/dpio: Fix incorrect comparison (bnc#1012628).
- serial: imx: Update cached mctrl value when changing RTS
(bnc#1012628).
- usbip: tools: Install all headers needed for libusbip
development (bnc#1012628).
- serial: sh-sci: suppress warning for ports without dma channels
(bnc#1012628).
- sysrq : fix Show Regs call trace on ARM (bnc#1012628).
- usb: xhci: Return error when host is dead in xhci_disable_slot()
(bnc#1012628).
- ARM: cpuidle: Correct driver unregistration if init fails
(bnc#1012628).
- staging: rtl8822be: Keep array subscript no lower than zero
(bnc#1012628).
- staging: fsl-dpaa2/eth: Account for Rx FD buffers on error path
(bnc#1012628).
- usb: mtu3: fix error return code in ssusb_gadget_init()
(bnc#1012628).
- EDAC, sb_edac: Fix missing break in switch (bnc#1012628).
- dt-bindings: timer: renesas, cmt: Fix SoC-specific compatible
values (bnc#1012628).
- clocksource/drivers/arm_arch_timer: Validate CNTFRQ after
enabling frame (bnc#1012628).
- x86/entry: Use SYSCALL_DEFINE() macros for sys_modify_ldt()
(bnc#1012628).
- kprobes: Use synchronize_rcu_tasks() for optprobe with
CONFIG_PREEMPT=y (bnc#1012628).
- serial: 8250: Preserve DLD[7:4] for PORT_XR17V35X (bnc#1012628).
- x86/intel_rdt: Fix potential deadlock during resctrl mount
(bnc#1012628).
- x86/intel_rdt: Initialize bitmask of shareable resource if
CDP enabled (bnc#1012628).
- PCI: dra7xx: Create functional dependency between PCIe and PHY
(bnc#1012628).
- usb: phy: tahvo: fix error handling in tahvo_usb_probe()
(bnc#1012628).
- perf/core: Fix __perf_read_group_add() locking (bnc#1012628).
- hwmon: (pmbus/core) Prevent unintentional setting of page to
0xFF (bnc#1012628).
- mmc: sdhci-msm: fix issue with power irq (bnc#1012628).
- mmc: tmio: check mmc_regulator_get_supply return value
(bnc#1012628).
- spi: spi-axi: fix potential use-after-free after deregistration
(bnc#1012628).
- spi: sh-msiof: Fix DMA transfer size check (bnc#1012628).
- staging: rtl8188eu: avoid a null dereference on pmlmepriv
(bnc#1012628).
- staging: rtl8822be: fix wrong dma unmap len (bnc#1012628).
- serial: 8250_fintek: Fix rs485 disablement on invalid ioctl()
(bnc#1012628).
- m68k: fix ColdFire node shift size calculation (bnc#1012628).
- staging: greybus: loopback: Fix iteration count on async path
(bnc#1012628).
- selftests/x86/ldt_gdt: Robustify against set_thread_area()
and LAR oddities (bnc#1012628).
- selftests/x86/ldt_get: Add a few additional tests for limits
(bnc#1012628).
- s390/pci: do not require AIS facility (bnc#1012628).
- PM / Domains: Fix genpd to deal with drivers returning 1 from
->prepare() (bnc#1012628).
- s390: vfio-ccw: Do not attempt to free no-op, test and tic cda
(bnc#1012628).
- ima: fix hash algorithm initialization (bnc#1012628).
- MIPS: Add custom serial.h with BASE_BAUD override for generic
kernel (bnc#1012628).
- serial: 8250_early: Only set divisor if valid clk & baud
(bnc#1012628).
- USB: serial: usb_debug: add new USB device id (bnc#1012628).
- USB: serial: option: add Quectel BG96 id (bnc#1012628).
- ANDROID: binder: fix transaction leak (bnc#1012628).
- serial: 8250_pci: Add Amazon PCI serial device ID (bnc#1012628).
- usb: quirks: Add no-lpm quirk for KY-688 USB 3.1 Type-C Hub
(bnc#1012628).
- uas: Always apply US_FL_NO_ATA_1X quirk to Seagate devices
(bnc#1012628).
- usbip: Fix USB device hang due to wrong enabling of
scatter-gather (bnc#1012628).
- usbip: fix usbip attach to find a port that matches the
requested speed (bnc#1012628).
- s390/runtime instrumentation: simplify task exit handling
(bnc#1012628).
- drm/amdgpu: Use unsigned ring indices in amdgpu_queue_mgr_map
(bnc#1012628).
- drm/fsl-dcu: enable IRQ before drm_atomic_helper_resume()
(bnc#1012628).
- drm/fsl-dcu: avoid disabling pixel clock twice on suspend
(bnc#1012628).
- commit c4edabf
-------------------------------------------------------------------
Mon Dec 11 03:44:03 CET 2017 - jeffm@suse.com
- Update to 4.15-rc3.
- Eliminated 1 patch.
- commit 383d72f
-------------------------------------------------------------------
Sat Dec 9 20:12:16 CET 2017 - afaerber@suse.de
- config: armv7hl: Enable SUN4I_A10_CCU for Allwinner A20 (boo#1072032)
- commit 170d177
-------------------------------------------------------------------
Fri Dec 8 14:10:52 CET 2017 - msuchanek@suse.de
- Add undefine _unique_build_ids (bsc#964063)
- commit 47e91a1
-------------------------------------------------------------------
Tue Dec 5 15:58:24 CET 2017 - jslaby@suse.cz
- Linux 4.14.4 (bnc#1012628).
- platform/x86: hp-wmi: Fix tablet mode detection for convertibles
(bnc#1012628).
- mm, memory_hotplug: do not back off draining pcp free pages
from kworker context (bnc#1012628).
- mm, oom_reaper: gather each vma to prevent leaking TLB entry
(bnc#1012628).
- mm, thp: Do not make page table dirty unconditionally in
touch_p[mu]d() (bnc#1012628).
- mm/cma: fix alloc_contig_range ret code/potential leak
(bnc#1012628).
- mm: fix device-dax pud write-faults triggered by
get_user_pages() (bnc#1012628).
- mm, hugetlbfs: introduce ->split() to vm_operations_struct
(bnc#1012628).
- device-dax: implement ->split() to catch invalid munmap attempts
(bnc#1012628).
- mm: introduce get_user_pages_longterm (bnc#1012628).
- mm: fail get_vaddr_frames() for filesystem-dax mappings
(bnc#1012628).
- v4l2: disable filesystem-dax mapping support (bnc#1012628).
- IB/core: disable memory registration of filesystem-dax vmas
(bnc#1012628).
- exec: avoid RLIMIT_STACK races with prlimit() (bnc#1012628).
- mm/madvise.c: fix madvise() infinite loop under special
circumstances (bnc#1012628).
- mm: migrate: fix an incorrect call of prep_transhuge_page()
(bnc#1012628).
- mm, memcg: fix mem_cgroup_swapout() for THPs (bnc#1012628).
- fs/fat/inode.c: fix sb_rdonly() change (bnc#1012628).
- autofs: revert "autofs: take more care to not update last_used
on path walk" (bnc#1012628).
- autofs: revert "autofs: fix AT_NO_AUTOMOUNT not being honored"
(bnc#1012628).
- mm/hugetlb: fix NULL-pointer dereference on 5-level paging
machine (bnc#1012628).
- btrfs: clear space cache inode generation always (bnc#1012628).
- nfsd: Fix stateid races between OPEN and CLOSE (bnc#1012628).
- nfsd: Fix another OPEN stateid race (bnc#1012628).
- nfsd: fix panic in posix_unblock_lock called from
nfs4_laundromat (bnc#1012628).
- crypto: algif_aead - skip SGL entries with NULL page
(bnc#1012628).
- crypto: af_alg - remove locking in async callback (bnc#1012628).
- crypto: skcipher - Fix skcipher_walk_aead_common (bnc#1012628).
- lockd: lost rollback of set_grace_period() in lockd_down_net()
(bnc#1012628).
- s390: revert ELF_ET_DYN_BASE base changes (bnc#1012628).
- drm: omapdrm: Fix DPI on platforms using the DSI VDDS
(bnc#1012628).
- omapdrm: hdmi4: Correct the SoC revision matching (bnc#1012628).
- arm64: module-plts: factor out PLT generation code for ftrace
(bnc#1012628).
- arm64: ftrace: emit ftrace-mod.o contents through code
(bnc#1012628).
- powerpc/powernv: Fix kexec crashes caused by tlbie tracing
(bnc#1012628).
- powerpc/kexec: Fix kexec/kdump in P9 guest kernels
(bnc#1012628).
- KVM: x86: pvclock: Handle first-time write to pvclock-page
contains random junk (bnc#1012628).
- KVM: x86: Exit to user-mode on #UD intercept when emulator
requires (bnc#1012628).
- KVM: x86: inject exceptions produced by x86_decode_insn
(bnc#1012628).
- KVM: lapic: Split out x2apic ldr calculation (bnc#1012628).
- KVM: lapic: Fixup LDR on load in x2apic (bnc#1012628).
- mmc: block: Fix missing blk_put_request() (bnc#1012628).
- mmc: block: Check return value of blk_get_request()
(bnc#1012628).
- mmc: core: Do not leave the block driver in a suspended state
(bnc#1012628).
- mmc: block: Ensure that debugfs files are removed (bnc#1012628).
- mmc: core: prepend 0x to pre_eol_info entry in sysfs
(bnc#1012628).
- mmc: core: prepend 0x to OCR entry in sysfs (bnc#1012628).
- ACPI / EC: Fix regression related to PM ops support in ECDT
device (bnc#1012628).
- eeprom: at24: fix reading from 24MAC402/24MAC602 (bnc#1012628).
- eeprom: at24: correctly set the size for at24mac402
(bnc#1012628).
- eeprom: at24: check at24_read/write arguments (bnc#1012628).
- i2c: i801: Fix Failed to allocate irq -2147483648 error
(bnc#1012628).
- cxl: Check if vphb exists before iterating over AFU devices
(bnc#1012628).
- bcache: Fix building error on MIPS (bnc#1012628).
- bcache: only permit to recovery read error when cache device
is clean (bnc#1012628).
- bcache: recover data from backing when data is clean
(bnc#1012628).
- hwmon: (jc42) optionally try to disable the SMBUS timeout
(bnc#1012628).
- nvme-pci: add quirk for delay before CHK RDY for WDC SN200
(bnc#1012628).
- Revert "drm/radeon: dont switch vt on suspend" (bnc#1012628).
- drm/amdgpu: potential uninitialized variable in
amdgpu_vce_ring_parse_cs() (bnc#1012628).
- drm/amdgpu: Potential uninitialized variable in
amdgpu_vm_update_directories() (bnc#1012628).
- drm/amdgpu: correct reference clock value on vega10
(bnc#1012628).
- drm/amdgpu: fix error handling in amdgpu_bo_do_create
(bnc#1012628).
- drm/amdgpu: Properly allocate VM invalidate eng v2
(bnc#1012628).
- drm/amdgpu: Remove check which is not valid for certain VBIOS
(bnc#1012628).
- drm/ttm: fix ttm_bo_cleanup_refs_or_queue once more
(bnc#1012628).
- dma-buf: make reservation_object_copy_fences rcu save
(bnc#1012628).
- drm/amdgpu: reserve root PD while releasing it (bnc#1012628).
- drm/ttm: Always and only destroy bo->ttm_resv in
ttm_bo_release_list (bnc#1012628).
- drm/vblank: Fix flip event vblank count (bnc#1012628).
- drm/vblank: Tune drm_crtc_accurate_vblank_count() WARN down
to a debug (bnc#1012628).
- drm/tilcdc: Precalculate total frametime in
tilcdc_crtc_set_mode() (bnc#1012628).
- drm/radeon: fix atombios on big endian (bnc#1012628).
- drm/panel: simple: Add missing panel_simple_unprepare() calls
(bnc#1012628).
- drm/hisilicon: Ensure LDI regs are properly configured
(bnc#1012628).
- drm/ttm: once more fix ttm_buffer_object_transfer (bnc#1012628).
- drm/amd/pp: fix typecast error in powerplay (bnc#1012628).
- drm/fb_helper: Disable all crtc's when initial setup fails
(bnc#1012628).
- drm/fsl-dcu: Don't set connector DPMS property (bnc#1012628).
- drm/edid: Don't send non-zero YQ in AVI infoframe for HDMI
1.x sinks (bnc#1012628).
- drm/amdgpu: move UVD/VCE and VCN structure out from union
(bnc#1012628).
- drm/amdgpu: Set adev->vcn.irq.num_types for VCN (bnc#1012628).
- include/linux/compiler-clang.h: handle randomizable anonymous
structs (bnc#1012628).
- IB/core: Do not warn on lid conversions for OPA (bnc#1012628).
- IB/hfi1: Do not warn on lid conversions for OPA (bnc#1012628).
- e1000e: fix the use of magic numbers for buffer overrun issue
(bnc#1012628).
- md: forbid a RAID5 from having both a bitmap and a journal
(bnc#1012628).
- drm/i915: Fix false-positive assert_rpm_wakelock_held in
i915_pmic_bus_access_notifier v2 (bnc#1012628).
- drm/i915: Re-register PMIC bus access notifier on runtime resume
(bnc#1012628).
- drm/i915/fbdev: Serialise early hotplug events with async
fbdev config (bnc#1012628).
- drm/i915/gvt: Correct ADDR_4K/2M/1G_MASK definition
(bnc#1012628).
- drm/i915: Don't try indexed reads to alternate slave addresses
(bnc#1012628).
- drm/i915: Prevent zero length "index" write (bnc#1012628).
- Revert "x86/entry/64: Add missing irqflags tracing to
native_load_gs_index()" (bnc#1012628).
- commit b29439c
-------------------------------------------------------------------
Tue Dec 5 10:13:14 CET 2017 - jslaby@suse.cz
- x86/stacktrace: do now unwind after user regs (bnc#1058115).
- x86/stacktrace: remove unwind_state->error (bnc#1058115).
- x86/stacktrace: remove STACKTRACE_DUMP_ONCE from
__save_stack_trace_reliable (bnc#1058115).
- x86/stacktrace: do not fail for ORC with regs on stack
(bnc#1058115).
More make-ORC-reliable patches.
- commit a6a5b05
-------------------------------------------------------------------
Mon Dec 4 16:10:35 CET 2017 - jeffm@suse.com
- Update to 4.15-rc2.
- Eliminated 2 patches.
- commit 68549b6
-------------------------------------------------------------------
Thu Nov 30 10:05:48 CET 2017 - jslaby@suse.cz
- Linux 4.14.3 (bnc#1012628).
- s390: fix transactional execution control register handling
(bnc#1012628).
- s390/noexec: execute kexec datamover without DAT (bnc#1012628).
- s390/runtime instrumention: fix possible memory corruption
(bnc#1012628).
- s390/guarded storage: fix possible memory corruption
(bnc#1012628).
- s390/disassembler: add missing end marker for e7 table
(bnc#1012628).
- s390/disassembler: increase show_code buffer size (bnc#1012628).
- ACPI / PM: Fix acpi_pm_notifier_lock vs flush_workqueue()
deadlock (bnc#1012628).
- ACPI / EC: Fix regression related to triggering source of EC
event handling (bnc#1012628).
- cpufreq: schedutil: Reset cached_raw_freq when not in sync
with next_freq (bnc#1012628).
- serdev: fix registration of second slave (bnc#1012628).
- sched: Make resched_cpu() unconditional (bnc#1012628).
- lib/mpi: call cond_resched() from mpi_powm() loop (bnc#1012628).
- x86/boot: Fix boot failure when SMP MP-table is based at 0
(bnc#1012628).
- x86/decoder: Add new TEST instruction pattern (bnc#1012628).
- x86/entry/64: Fix entry_SYSCALL_64_after_hwframe() IRQ tracing
(bnc#1012628).
- x86/entry/64: Add missing irqflags tracing to
native_load_gs_index() (bnc#1012628).
- perf/x86/intel: Hide TSX events when RTM is not supported
(bnc#1012628).
- arm64: Implement arch-specific pte_access_permitted()
(bnc#1012628).
- ARM: 8722/1: mm: make STRICT_KERNEL_RWX effective for LPAE
(bnc#1012628).
- ARM: 8721/1: mm: dump: check hardware RO bit for LPAE
(bnc#1012628).
- uapi: fix linux/tls.h userspace compilation error (bnc#1012628).
- uapi: fix linux/rxrpc.h userspace compilation errors
(bnc#1012628).
- MIPS: cmpxchg64() and HAVE_VIRT_CPU_ACCOUNTING_GEN don't work
for 32-bit SMP (bnc#1012628).
- MIPS: ralink: Fix MT7628 pinmux (bnc#1012628).
- MIPS: ralink: Fix typo in mt7628 pinmux function (bnc#1012628).
- net: mvneta: fix handling of the Tx descriptor counter
(bnc#1012628).
- nbd: wait uninterruptible for the dead timeout (bnc#1012628).
- nbd: don't start req until after the dead connection logic
(bnc#1012628).
- PM / OPP: Add missing of_node_put(np) (bnc#1012628).
- PCI/ASPM: Account for downstream device's Port
Common_Mode_Restore_Time (bnc#1012628).
- PCI/ASPM: Use correct capability pointer to program
LTR_L1.2_THRESHOLD (bnc#1012628).
- PCI: hv: Use effective affinity mask (bnc#1012628).
- PCI: Set Cavium ACS capability quirk flags to assert RR/CR/SV/UF
(bnc#1012628).
- PCI: Apply Cavium ThunderX ACS quirk to more Root Ports
(bnc#1012628).
- ALSA: hda: Add Raven PCI ID (bnc#1012628).
- dm integrity: allow unaligned bv_offset (bnc#1012628).
- dm cache: fix race condition in the writeback mode overwrite_bio
optimisation (bnc#1012628).
- dm crypt: allow unaligned bv_offset (bnc#1012628).
- dm zoned: ignore last smaller runt zone (bnc#1012628).
- dm mpath: remove annoying message of 'blk_get_request()
returned -11' (bnc#1012628).
- dm bufio: fix integer overflow when limiting maximum cache size
(bnc#1012628).
- ovl: Put upperdentry if ovl_check_origin() fails (bnc#1012628).
- dm: allocate struct mapped_device with kvzalloc (bnc#1012628).
- sched/rt: Simplify the IPI based RT balancing logic
(bnc#1012628).
- MIPS: pci: Remove KERN_WARN instance inside the mt7620 driver
(bnc#1012628).
- dm: fix race between dm_get_from_kobject() and __dm_destroy()
(bnc#1012628).
- dm: discard support requires all targets in a table support
discards (bnc#1012628).
- MIPS: Fix odd fp register warnings with MIPS64r2 (bnc#1012628).
- MIPS: Fix MIPS64 FP save/restore on 32-bit kernels
(bnc#1012628).
- MIPS: dts: remove bogus bcm96358nb4ser.dtb from dtb-y entry
(bnc#1012628).
- MIPS: Fix an n32 core file generation regset support regression
(bnc#1012628).
- MIPS: BCM47XX: Fix LED inversion for WRT54GSv1 (bnc#1012628).
- MIPS: math-emu: Fix final emulation phase for certain
instructions (bnc#1012628).
- rt2x00usb: mark device removed when get ENOENT usb error
(bnc#1012628).
- mm/z3fold.c: use kref to prevent page free/compact race
(bnc#1012628).
- autofs: don't fail mount for transient error (bnc#1012628).
- nilfs2: fix race condition that causes file system corruption
(bnc#1012628).
- fscrypt: lock mutex before checking for bounce page pool
(bnc#1012628).
- eCryptfs: use after free in ecryptfs_release_messaging()
(bnc#1012628).
- libceph: don't WARN() if user tries to add invalid key
(bnc#1012628).
- bcache: check ca->alloc_thread initialized before wake up it
(bnc#1012628).
- fs: guard_bio_eod() needs to consider partitions (bnc#1012628).
- fanotify: fix fsnotify_prepare_user_wait() failure
(bnc#1012628).
- isofs: fix timestamps beyond 2027 (bnc#1012628).
- btrfs: change how we decide to commit transactions during
flushing (bnc#1012628).
- f2fs: expose some sectors to user in inline data or dentry case
(bnc#1012628).
- NFS: Fix typo in nomigration mount option (bnc#1012628).
- NFS: Revert "NFS: Move the flock open mode check into
nfs_flock()" (bnc#1012628).
- nfs: Fix ugly referral attributes (bnc#1012628).
- NFS: Avoid RCU usage in tracepoints (bnc#1012628).
- NFS: revalidate "." etc correctly on "open" (bnc#1012628).
- nfsd: deal with revoked delegations appropriately (bnc#1012628).
- rtlwifi: rtl8192ee: Fix memory leak when loading firmware
(bnc#1012628).
- rtlwifi: fix uninitialized rtlhal->last_suspend_sec time
(bnc#1012628).
- iwlwifi: fix firmware names for 9000 and A000 series hw
(bnc#1012628).
- md: fix deadlock error in recent patch (bnc#1012628).
- md: don't check MD_SB_CHANGE_CLEAN in md_allow_write
(bnc#1012628).
- Bluetooth: btqcomsmd: Add support for BD address setup
(bnc#1012628).
- md/bitmap: revert a patch (bnc#1012628 bsc#1062597).
- fsnotify: clean up fsnotify_prepare/finish_user_wait()
(bnc#1012628).
- fsnotify: pin both inode and vfsmount mark (bnc#1012628).
- fsnotify: fix pinning group in fsnotify_prepare_user_wait()
(bnc#1012628).
- ata: fixes kernel crash while tracing ata_eh_link_autopsy event
(bnc#1012628).
- ext4: fix interaction between i_size, fallocate, and delalloc
after a crash (bnc#1012628).
- ext4: prevent data corruption with inline data + DAX
(bnc#1012628).
- ext4: prevent data corruption with journaling + DAX
(bnc#1012628).
- ALSA: pcm: update tstamp only if audio_tstamp changed
(bnc#1012628).
- ALSA: usb-audio: Add sanity checks to FE parser (bnc#1012628).
- ALSA: usb-audio: Fix potential out-of-bound access at parsing SU
(bnc#1012628).
- ALSA: usb-audio: Add sanity checks in v2 clock parsers
(bnc#1012628).
- ALSA: timer: Remove kernel warning at compat ioctl error paths
(bnc#1012628).
- ALSA: hda/realtek - Fix ALC275 no sound issue (bnc#1012628).
- ALSA: hda: Fix too short HDMI/DP chmap reporting (bnc#1012628).
- ALSA: hda - Fix yet remaining issue with vmaster 0dB
initialization (bnc#1012628).
- ALSA: hda/realtek - Fix ALC700 family no sound issue
(bnc#1012628).
- ASoC: sun8i-codec: Invert Master / Slave condition
(bnc#1012628).
- ASoC: sun8i-codec: Fix left and right channels inversion
(bnc#1012628).
- ASoC: sun8i-codec: Set the BCLK divider (bnc#1012628).
- mfd: lpc_ich: Avoton/Rangeley uses SPI_BYT method (bnc#1012628).
- fix a page leak in vhost_scsi_iov_to_sgl() error recovery
(bnc#1012628).
- 9p: Fix missing commas in mount options (bnc#1012628).
- fs/9p: Compare qid.path in v9fs_test_inode (bnc#1012628).
- net/9p: Switch to wait_event_killable() (bnc#1012628).
- scsi: qla2xxx: Suppress a kernel complaint in
qla_init_base_qpair() (bnc#1012628).
- scsi: sd_zbc: Fix sd_zbc_read_zoned_characteristics()
(bnc#1012628).
- scsi: lpfc: fix pci hot plug crash in timer management routines
(bnc#1012628).
- scsi: lpfc: fix pci hot plug crash in list_add call
(bnc#1012628).
- scsi: lpfc: Fix crash receiving ELS while detaching driver
(bnc#1012628).
- scsi: lpfc: Fix FCP hba_wqidx assignment (bnc#1012628).
- scsi: lpfc: Fix oops if nvmet_fc_register_targetport fails
(bnc#1012628).
- iscsi-target: Make TASK_REASSIGN use proper se_cmd->cmd_kref
(bnc#1012628).
- iscsi-target: Fix non-immediate TMR reference leak
(bnc#1012628).
- target: fix null pointer regression in core_tmr_drain_tmr_list
(bnc#1012628).
- target: fix buffer offset in core_scsi3_pri_read_full_status
(bnc#1012628).
- target: Fix QUEUE_FULL + SCSI task attribute handling
(bnc#1012628).
- target: Fix caw_sem leak in transport_generic_request_failure
(bnc#1012628).
- target: Fix quiese during transport_write_pending_qf endless
loop (bnc#1012628).
- target: Avoid early CMD_T_PRE_EXECUTE failures during ABORT_TASK
(bnc#1012628).
- mtd: Avoid probe failures when mtd->dbg.dfs_dir is invalid
(bnc#1012628).
- mtd: nand: Export nand_reset() symbol (bnc#1012628).
- mtd: nand: atmel: Actually use the PM ops (bnc#1012628).
- mtd: nand: omap2: Fix subpage write (bnc#1012628).
- mtd: nand: Fix writing mtdoops to nand flash (bnc#1012628).
- mtd: nand: mtk: fix infinite ECC decode IRQ issue (bnc#1012628).
- mailbox: bcm-flexrm-mailbox: Fix FlexRM ring flush sequence
(bnc#1012628).
- p54: don't unregister leds when they are not initialized
(bnc#1012628).
- block: Fix a race between blk_cleanup_queue() and timeout
handling (bnc#1012628).
- raid1: prevent freeze_array/wait_all_barriers deadlock
(bnc#1012628).
- genirq: Track whether the trigger type has been set
(bnc#1012628).
- irqchip/gic-v3: Fix ppi-partitions lookup (bnc#1012628).
- lockd: double unregister of inetaddr notifiers (bnc#1012628).
- KVM: PPC: Book3S HV: Don't call real-mode XICS hypercall
handlers if not enabled (bnc#1012628).
- KVM: nVMX: set IDTR and GDTR limits when loading L1 host state
(bnc#1012628).
- KVM: SVM: obey guest PAT (bnc#1012628).
- kvm: vmx: Reinstate support for CPUs without virtual NMI
(bnc#1012628).
- dax: fix PMD faults on zero-length files (bnc#1012628).
- dax: fix general protection fault in dax_alloc_inode
(bnc#1012628).
- SUNRPC: Fix tracepoint storage issues with svc_recv and
svc_rqst_status (bnc#1012628).
- clk: ti: dra7-atl-clock: fix child-node lookups (bnc#1012628).
- libnvdimm, dimm: clear 'locked' status on successful DIMM enable
(bnc#1012628).
- libnvdimm, pfn: make 'resource' attribute only readable by root
(bnc#1012628).
- libnvdimm, namespace: fix label initialization to use valid
seq numbers (bnc#1012628).
- libnvdimm, region : make 'resource' attribute only readable
by root (bnc#1012628).
- libnvdimm, namespace: make 'resource' attribute only readable
by root (bnc#1012628).
- svcrdma: Preserve CB send buffer across retransmits
(bnc#1012628).
- IB/srpt: Do not accept invalid initiator port names
(bnc#1012628).
- IB/cm: Fix memory corruption in handling CM request
(bnc#1012628).
- IB/hfi1: Fix incorrect available receive user context count
(bnc#1012628).
- IB/srp: Avoid that a cable pull can trigger a kernel crash
(bnc#1012628).
- IB/core: Avoid crash on pkey enforcement failed in received MADs
(bnc#1012628).
- IB/core: Only maintain real QPs in the security lists
(bnc#1012628).
- NFC: fix device-allocation error return (bnc#1012628).
- spi-nor: intel-spi: Fix broken software sequencing codes
(bnc#1012628).
- i40e: Use smp_rmb rather than read_barrier_depends
(bnc#1012628).
- igb: Use smp_rmb rather than read_barrier_depends (bnc#1012628).
- igbvf: Use smp_rmb rather than read_barrier_depends
(bnc#1012628).
- ixgbevf: Use smp_rmb rather than read_barrier_depends
(bnc#1012628).
- i40evf: Use smp_rmb rather than read_barrier_depends
(bnc#1012628).
- fm10k: Use smp_rmb rather than read_barrier_depends
(bnc#1012628).
- ixgbe: Fix skb list corruption on Power systems (bnc#1012628).
- parisc: Fix validity check of pointer size argument in new
CAS implementation (bnc#1012628).
- powerpc: Fix boot on BOOK3S_32 with CONFIG_STRICT_KERNEL_RWX
(bnc#1012628).
- powerpc/mm/radix: Fix crashes on Power9 DD1 with radix MMU
and STRICT_RWX (bnc#1012628).
- powerpc/perf/imc: Use cpu_to_node() not
topology_physical_package_id() (bnc#1012628).
- powerpc/signal: Properly handle return value from
uprobe_deny_signal() (bnc#1012628).
- powerpc/64s: Fix masking of SRR1 bits on instruction fault
(bnc#1012628).
- powerpc/64s/radix: Fix 128TB-512TB virtual address boundary
case allocation (bnc#1012628).
- powerpc/64s/hash: Fix 512T hint detection to use >= 128T
(bnc#1012628).
- powerpc/64s/hash: Fix 128TB-512TB virtual address boundary
case allocation (bnc#1012628).
- powerpc/64s/hash: Fix fork() with 512TB process address space
(bnc#1012628).
- powerpc/64s/hash: Allow MAP_FIXED allocations to cross 128TB
boundary (bnc#1012628).
- media: Don't do DMA on stack for firmware upload in the AS102
driver (bnc#1012628).
- media: rc: check for integer overflow (bnc#1012628).
- media: rc: nec decoder should not send both repeat and keycode
(bnc#1012628).
- media: v4l2-ctrl: Fix flags field on Control events
(bnc#1012628).
- media: venus: fix wrong size on dma_free (bnc#1012628).
- media: venus: venc: fix bytesused v4l2_plane field
(bnc#1012628).
- media: venus: reimplement decoder stop command (bnc#1012628).
- ARM64: dts: meson-gxl: Add alternate ARM Trusted Firmware
reserved memory zone (bnc#1012628).
- iwlwifi: fix wrong struct for a000 device (bnc#1012628).
- iwlwifi: add a new a000 device (bnc#1012628).
- iwlwifi: pcie: sort IDs for the 9000 series for easier
comparisons (bnc#1012628).
- iwlwifi: add new cards for a000 series (bnc#1012628).
- iwlwifi: add new cards for 8265 series (bnc#1012628).
- iwlwifi: add new cards for 8260 series (bnc#1012628).
- iwlwifi: fix PCI IDs and configuration mapping for 9000 series
(bnc#1012628).
- e1000e: Fix error path in link detection (bnc#1012628).
- e1000e: Fix return value test (bnc#1012628).
- e1000e: Separate signaling for link check/link up (bnc#1012628).
- e1000e: Avoid receiver overrun interrupt bursts (bnc#1012628).
- e1000e: fix buffer overrun while the I219 is processing DMA
transactions (bnc#1012628).
- Refresh patches.suse/dm-mpath-accept-failed-paths.
- Delete
patches.suse/0002-md-bitmap-copy-correct-data-for-bitmap-super.patch.
- commit a731a45
-------------------------------------------------------------------
Thu Nov 30 09:52:27 CET 2017 - jslaby@suse.cz
- Refresh
patches.suse/apparmor-fix-oops-in-audit_signal_cb-hook.patch.
Update upstream status.
- commit 00f319b
-------------------------------------------------------------------
Thu Nov 30 09:41:53 CET 2017 - jslaby@suse.cz
- mmc: sdhci: Avoid swiotlb buffer being full (bnc#1068877).
- Delete
patches.suse/revert-mmc-Delete-bounce-buffer-handling.patch.
- mmc: sdhci: Avoid swiotlb buffer being full (bnc#1068877).
- commit acb1236
-------------------------------------------------------------------
Wed Nov 29 20:47:36 CET 2017 - msuchanek@suse.de
- rpm/kernel-binary.spec.in: fix incorrectly moved comment
While moving # END COMMON DEPS moved following comment with it.
- commit 858b7e7
-------------------------------------------------------------------
Tue Nov 28 02:01:48 CET 2017 - afaerber@suse.de
- config: armv7hl: Update to 4.15-rc1
- commit b4c7f19
-------------------------------------------------------------------
Tue Nov 28 01:25:18 CET 2017 - afaerber@suse.de
- config: armv6hl: Update to 4.15-rc1
- commit edcdf48
-------------------------------------------------------------------
Tue Nov 28 01:19:11 CET 2017 - afaerber@suse.de
- config: arm64: Update to 4.15-rc1
- commit 3278861
-------------------------------------------------------------------
Mon Nov 27 18:14:28 CET 2017 - jeffm@suse.com
- Update to 4.15-rc1.
- Eliminated 74 patches.
- ARM configs need updating.
- Config changes:
- General:
- CPU_ISOLATION=y
- GUP_BENCHMARK=n
- x86:
- X86_INTEL_UMIP=y
- PINCTRL_CEDARFORK=m
- INTEL_SOC_PMIC_CHTDC_TI=m
- INTEL_WMI_THUNDERBOLT=m
- DELL_SMBIOS_WMI=m
- DELL_SMBIOS_SMM=m
- CHT_DC_TI_PMIC_OPREGION=y
- RPMSG_CHAR=m
- i386:
- IR_SPI=m
- IR_GPIO_CIR=m
- IR_GPIO_TX=m
- IR_PWM_TX=m
- powerpc:
- PPC_RADIX_MMU_DEFAULT=y (default)
- MEM_SOFT_DIRTY=n (needs arch expert review)
- PINCTRL=n
- PPC_FAST_ENDIAN_SWITCH=n (default)
- s390:
- GCC_PLUGINS=n
- MEM_SOFT_DIRTY=(needs arch expert review)
- PINCTRL=n
- FORTIFY_SOURCE=y
- s390/zfcpdump:
- BPF_STREAM_PARSER=n
- MTD=n
- Network:
- NET_SCH_CBS=m
- VSOCKETS_DIAG=m
- DP83822_PHY=m
- RENESAS_PHY=m
- THUNDERBOLT_NET=m
- Input:
- TOUCHSCREEN_EXC3000=m
- TOUCHSCREEN_HIDEEP=m
- TOUCHSCREEN_S6SY761=m
- DRM_I2C_ADV7511_CEC=y
- Misc:
- IPMI_PROC_INTERFACE=y
- GPIO_MAX3191X=m
- MANAGER_SBS=m
- W1_SLAVE_DS28E17=m
- SENSORS_MAX6621=m
- SENSORS_MAX31785=m
- CEC_GPIO=m
- TYPEC_TPS6598X=m
- RPMSG_VIRTIO=m
- IIO_CROS_EC_ACCEL_LEGACY=m
- RFD77402=m
- NTB_SWITCHTEC=m
- MMC_SDHCI_OMAP=m
- Filesystems:
- XFS_ONLINE_SCRUB=n (still experimental)
- BTRFS_FS_REF_VERIFY=n
- CRAMFS_BLOCKDEV=y
- CRAMFS_MTD=y
- INTEGRITY_TRUSTED_KEYRING=y
- Crypto:
- CRYPTO_SM3=m
- SIGNED_PE_FILE_VERIFICATION=y
- SYSTEM_TRUSTED_KEYS (empty)
- SYSTEM_EXTRA_CERTIFICATE=n
- SECONDARY_TRUSTED_KEYRING=n
- LEDS:
- LEDS_APU=m
- LEDS_TRIGGER_ACTIVITY=m
- RTC:
- RTC_DRV_PCF85363=m
- Xen:
- XEN_PVCALLS_FRONTEND=n
- Graphics:
- DRM_AMD_DC=y
- DRM_AMD_DC_PRE_VEGA=y
- DRM_AMD_DC_FBC=y ?
- DRM_AMD_DC_DCN1_0=y
- DEBUG_KERNEL_DC=n
- NOUVEAU_DEBUG_MMU=n
- Storage:
- NVME_MULTIPATH=y
- IB:
- MLX4_CORE_GEN2=y
- Sound:
- SND_SOC_INTEL_SST_TOPLEVEL=m
- SND_SOC_INTEL_BAYTRAIL=m
- Testing:
- KCOV_ENABLE_COMPARISONS=y (syzkaller)
- BOOTPARAM_LOCKDEP_CROSSRELEASE_FULLSTACK=n
- PREEMPTIRQ_EVENTS=y
- TEST_FIND_BIT=n
- PKCS7_TEST_KEY=n
- CHASH_SELFTEST=n
- CHASH_STATS=n
- commit bc47c49
-------------------------------------------------------------------
Sun Nov 26 19:17:08 CET 2017 - afaerber@suse.de
- config: armv6hl: Enable 8250 irq sharing for RPi Zero W (boo#1069828)
- commit 01942c4
-------------------------------------------------------------------
Sat Nov 25 19:53:15 CET 2017 - mwilck@suse.com
- scsi: libfc: fix ELS request handling (bsc#1069801).
- commit 75312a6
-------------------------------------------------------------------
Sat Nov 25 18:12:36 CET 2017 - afaerber@suse.de
- config: armv6hl: Enable brcmfmac for RPi Zero W (boo#1069830)
- commit 56423d9
-------------------------------------------------------------------
Sat Nov 25 17:23:57 CET 2017 - afaerber@suse.de
- config: armv6hl: Enable 8250 serial console for RPi Zero W (boo#1069828)
- commit 3a3001a
-------------------------------------------------------------------
Fri Nov 24 19:16:10 CET 2017 - bp@suse.de
- dvb_frontend: don't use-after-free the frontend struct
(bsc#1067087 CVE-2017-16648).
- media: dvb-core: always call invoke_release() in fe_free()
(bsc#1067087).
- commit 2a04ad0
-------------------------------------------------------------------
Fri Nov 24 16:42:44 CET 2017 - tiwai@suse.de
- rpm/kernel-binary.spec.in: Correct supplements for recent SLE products (bsc#1067494)
- commit 8f05b9f
-------------------------------------------------------------------
Fri Nov 24 10:13:02 CET 2017 - jbeulich@suse.com
- supported:conf: Remove stale Xen driver entries.
- commit c46464b
-------------------------------------------------------------------
Fri Nov 24 09:17:59 CET 2017 - jslaby@suse.cz
- ipmi_si: fix memory leak on new_smi (git-fixes).
- commit 4ca3b35
-------------------------------------------------------------------
Fri Nov 24 09:05:44 CET 2017 - jslaby@suse.cz
- Linux 4.14.2 (bnc#1012628).
- af_netlink: ensure that NLMSG_DONE never fails in dumps
(bnc#1012628).
- vxlan: fix the issue that neigh proxy blocks all icmpv6 packets
(bnc#1012628).
- net: cdc_ncm: GetNtbFormat endian fix (bnc#1012628).
- fealnx: Fix building error on MIPS (bnc#1012628).
- net/sctp: Always set scope_id in sctp_inet6_skb_msgname
(bnc#1012628).
- ima: do not update security.ima if appraisal status is not
INTEGRITY_PASS (bnc#1012628).
- serial: omap: Fix EFR write on RTS deassertion (bnc#1012628).
- serial: 8250_fintek: Fix finding base_port with activated
SuperIO (bnc#1012628).
- tpm-dev-common: Reject too short writes (bnc#1012628).
- rcu: Fix up pending cbs check in rcu_prepare_for_idle
(bnc#1012628).
- mm/pagewalk.c: report holes in hugetlb ranges (bnc#1012628).
- ocfs2: fix cluster hang after a node dies (bnc#1012628).
- ocfs2: should wait dio before inode lock in ocfs2_setattr()
(bnc#1012628).
- ipmi: fix unsigned long underflow (bnc#1012628).
- mm/page_alloc.c: broken deferred calculation (bnc#1012628).
- mm/page_ext.c: check if page_ext is not prepared (bnc#1012628).
- coda: fix 'kernel memory exposure attempt' in fsync
(bnc#1012628).
- ipmi: Prefer ACPI system interfaces over SMBIOS ones
(bnc#1012628).
- commit 295c90a
-------------------------------------------------------------------
Thu Nov 23 14:48:07 CET 2017 - jslaby@suse.cz
- apparmor: fix oops in audit_signal_cb hook (bnc#1069562).
- Refresh patches.suse/0001-AppArmor-basic-networking-rules.patch.
- commit d091ad8
-------------------------------------------------------------------
Thu Nov 23 13:38:55 CET 2017 - jslaby@suse.cz
- bio: ensure __bio_clone_fast copies bi_partno (bnc#1069605).
- commit 59c6ade
-------------------------------------------------------------------
Tue Nov 21 19:23:59 CET 2017 - jslaby@suse.cz
- Update config files.
After renaming the UNWINDER config options, vanilla has the old names
and they need to be in configs. For example, x86_64 default config
has CONFIG_UNWINDER_ORC=y, vanilla has CONFIG_ORC_UNWINDER=y.
- commit d0dab46
-------------------------------------------------------------------
Tue Nov 21 16:45:43 CET 2017 - jslaby@suse.cz
- objtool: Print top level commands on incorrect usage
(bnc#1058115).
- commit 6603336
-------------------------------------------------------------------
Tue Nov 21 15:10:06 CET 2017 - jslaby@suse.cz
- x86/unwind: Make CONFIG_UNWINDER_ORC=y the default in kconfig
for 64-bit (bnc#1058115).
- Update config files.
- x86/unwind: Rename unwinder config options to
'CONFIG_UNWINDER_*' (bnc#1058115).
- Refresh patches.suse/0001-orc-mark-it-as-reliable.patch.
- Update config files.
- x86/unwinder: Make CONFIG_UNWINDER_ORC=y the default in the
64-bit defconfig (bnc#1058115).
- commit c81ce89
-------------------------------------------------------------------
Tue Nov 21 15:04:50 CET 2017 - jslaby@suse.cz
- x86/stacktrace: Avoid recording save_stack_trace() wrappers
(bnc#1058115).
- commit fa72e96
-------------------------------------------------------------------
Tue Nov 21 14:52:57 CET 2017 - jslaby@suse.cz
- Refresh
patches.suse/0001-objtool-Don-t-report-end-of-section-error-after-an-e.patch.
- Refresh
patches.suse/0002-x86-head-Remove-confusing-comment.patch.
- Refresh
patches.suse/0003-x86-head-Remove-unused-bad_address-code.patch.
- Refresh
patches.suse/0004-x86-head-Fix-head-ELF-function-annotations.patch.
- Refresh
patches.suse/0005-x86-boot-Annotate-verify_cpu-as-a-callable-function.patch.
- Refresh
patches.suse/0006-x86-xen-Fix-xen-head-ELF-annotations.patch.
- Refresh
patches.suse/0007-x86-xen-Add-unwind-hint-annotations.patch.
- Refresh
patches.suse/0008-x86-head-Add-unwind-hint-annotations.patch.
Update upstream status.
- commit f655f80
-------------------------------------------------------------------
Tue Nov 21 10:31:37 CET 2017 - jslaby@suse.cz
- move all patches to patches.suse/
- commit 6fafae6
-------------------------------------------------------------------
Tue Nov 21 10:30:58 CET 2017 - jslaby@suse.cz
- Linux 4.14.1 (bnc#1012628).
- EDAC, sb_edac: Don't create a second memory controller if HA1
is not present (bnc#1012628).
- dmaengine: dmatest: warn user when dma test times out
(bnc#1012628).
- crypto: dh - Fix double free of ctx->p (bnc#1012628).
- crypto: dh - Don't permit 'p' to be 0 (bnc#1012628).
- crypto: dh - Don't permit 'key' or 'g' size longer than 'p'
(bnc#1012628).
- crypto: brcm - Explicity ACK mailbox message (bnc#1012628).
- USB: early: Use new USB product ID and strings for DbC device
(bnc#1012628).
- USB: usbfs: compute urb->actual_length for isochronous
(bnc#1012628).
- USB: Add delay-init quirk for Corsair K70 LUX keyboards
(bnc#1012628).
- usb: gadget: f_fs: Fix use-after-free in ffs_free_inst
(bnc#1012628).
- USB: serial: metro-usb: stop I/O after failed open
(bnc#1012628).
- USB: serial: Change DbC debug device binding ID (bnc#1012628).
- USB: serial: qcserial: add pid/vid for Sierra Wireless EM7355
fw update (bnc#1012628).
- USB: serial: garmin_gps: fix I/O after failed probe and remove
(bnc#1012628).
- USB: serial: garmin_gps: fix memory leak on probe errors
(bnc#1012628).
- selftests/x86/protection_keys: Fix syscall NR redefinition
warnings (bnc#1012628).
- x86/MCE/AMD: Always give panic severity for UC errors in kernel
context (bnc#1012628).
- platform/x86: peaq-wmi: Add DMI check before binding to the
WMI interface (bnc#1012628 bsc#1059807).
- platform/x86: peaq_wmi: Fix missing terminating entry for
peaq_dmi_table (bnc#1012628).
- HID: cp2112: add HIDRAW dependency (bnc#1012628).
- HID: wacom: generic: Recognize WACOM_HID_WD_PEN as a type of
pen collection (bnc#1012628).
- rpmsg: glink: Add missing MODULE_LICENSE (bnc#1012628).
- staging: wilc1000: Fix bssid buffer offset in Txq (bnc#1012628).
- staging: sm750fb: Fix parameter mistake in poke32 (bnc#1012628).
- staging: ccree: fix 64 bit scatter/gather DMA ops (bnc#1012628).
- staging: greybus: spilib: fix use-after-free after
deregistration (bnc#1012628).
- staging: vboxvideo: Fix reporting invalid
suggested-offset-properties (bnc#1012628).
- staging: rtl8188eu: Revert 4 commits breaking ARP (bnc#1012628).
- spi: fix use-after-free at controller deregistration
(bnc#1012628).
- sparc32: Add cmpxchg64() (bnc#1012628).
- sparc64: mmu_context: Add missing include files (bnc#1012628).
- sparc64: Fix page table walk for PUD hugepages (bnc#1012628).
- commit b1ba0c0
-------------------------------------------------------------------
Tue Nov 21 10:22:41 CET 2017 - jslaby@suse.cz
- drm/bridge: analogix dp: Fix runtime PM state in get_modes()
callback (bnc#1069146).
- commit 8354c2c
-------------------------------------------------------------------
Tue Nov 21 10:01:49 CET 2017 - jslaby@suse.cz
- Linux 4.14.1 (bnc#1012628).
- EDAC, sb_edac: Don't create a second memory controller if HA1
is not present (bnc#1012628).
- dmaengine: dmatest: warn user when dma test times out
(bnc#1012628).
- crypto: dh - Fix double free of ctx->p (bnc#1012628).
- crypto: dh - Don't permit 'p' to be 0 (bnc#1012628).
- crypto: dh - Don't permit 'key' or 'g' size longer than 'p'
(bnc#1012628).
- crypto: brcm - Explicity ACK mailbox message (bnc#1012628).
- USB: early: Use new USB product ID and strings for DbC device
(bnc#1012628).
- USB: usbfs: compute urb->actual_length for isochronous
(bnc#1012628).
- USB: Add delay-init quirk for Corsair K70 LUX keyboards
(bnc#1012628).
- usb: gadget: f_fs: Fix use-after-free in ffs_free_inst
(bnc#1012628).
- USB: serial: metro-usb: stop I/O after failed open
(bnc#1012628).
- USB: serial: Change DbC debug device binding ID (bnc#1012628).
- USB: serial: qcserial: add pid/vid for Sierra Wireless EM7355
fw update (bnc#1012628).
- USB: serial: garmin_gps: fix I/O after failed probe and remove
(bnc#1012628).
- USB: serial: garmin_gps: fix memory leak on probe errors
(bnc#1012628).
- selftests/x86/protection_keys: Fix syscall NR redefinition
warnings (bnc#1012628).
- x86/MCE/AMD: Always give panic severity for UC errors in kernel
context (bnc#1012628).
- platform/x86: peaq_wmi: Fix missing terminating entry for
peaq_dmi_table (bnc#1012628).
- HID: cp2112: add HIDRAW dependency (bnc#1012628).
- HID: wacom: generic: Recognize WACOM_HID_WD_PEN as a type of
pen collection (bnc#1012628).
- rpmsg: glink: Add missing MODULE_LICENSE (bnc#1012628).
- staging: wilc1000: Fix bssid buffer offset in Txq (bnc#1012628).
- staging: sm750fb: Fix parameter mistake in poke32 (bnc#1012628).
- staging: ccree: fix 64 bit scatter/gather DMA ops (bnc#1012628).
- staging: greybus: spilib: fix use-after-free after
deregistration (bnc#1012628).
- staging: vboxvideo: Fix reporting invalid
suggested-offset-properties (bnc#1012628).
- staging: rtl8188eu: Revert 4 commits breaking ARP (bnc#1012628).
- spi: fix use-after-free at controller deregistration
(bnc#1012628).
- sparc32: Add cmpxchg64() (bnc#1012628).
- sparc64: mmu_context: Add missing include files (bnc#1012628).
- sparc64: Fix page table walk for PUD hugepages (bnc#1012628).
- commit 5bbb894
-------------------------------------------------------------------
Mon Nov 20 16:48:48 CET 2017 - jslaby@suse.cz
- iwlwifi: mvm: support version 7 of the SCAN_REQ_UMAC FW command
(bnc#1068838).
- commit 1d02750
-------------------------------------------------------------------
Mon Nov 20 14:22:25 CET 2017 - jslaby@suse.cz
- Revert "mmc: Delete bounce buffer handling" (bnc#1068877).
- commit ad8c19c
-------------------------------------------------------------------
Wed Nov 15 15:53:41 CET 2017 - rgoldwyn@suse.com
- apparmor: Fix quieting of audit messages for network mediation
(FATE#300516, boo#1065536).
- apparmor: update apparmor-basic-networking-rules for 4.11-rc1
(FATE#300516, boo#1065536).
- AppArmor: basic networking rules (FATE#300516, boo#1065536).
- commit fca1de8
-------------------------------------------------------------------
Wed Nov 15 15:46:47 CET 2017 - rgoldwyn@suse.com
- VFS: Handle lazytime in do_mount() (boo#1068256).
- commit 0f12060
-------------------------------------------------------------------
Mon Nov 13 15:53:06 CET 2017 - jeffm@suse.com
- Update to 4.14-final.
- commit c152297
-------------------------------------------------------------------
Thu Nov 9 15:52:36 CET 2017 - lpechacek@suse.com
- rpm/kernel-binary.spec.in: rename kGraft to KLP (fate#323682)
- commit 0ed191d
-------------------------------------------------------------------
Wed Nov 8 12:21:09 CET 2017 - tiwai@suse.de
- media: dib0700: fix invalid dvb_detach argument (CVE-2017-16646
bsc#1067105).
- commit c6cd519
-------------------------------------------------------------------
Wed Nov 8 12:15:20 CET 2017 - tiwai@suse.de
- Input: ims-psu - check if CDC union descriptor is sane
(CVE-2017-16645 bsc#1067132).
- commit 0cfe4b6
-------------------------------------------------------------------
Wed Nov 8 12:11:42 CET 2017 - tiwai@suse.de
- net: usb: asix: fill null-ptr-deref in asix_suspend
(CVE-2017-16647 bsc#1067102).
- commit a088160
-------------------------------------------------------------------
Wed Nov 8 10:36:03 CET 2017 - jslaby@suse.cz
- Linux 4.13.12 (bnc#1012628).
- irqchip/irq-mvebu-gicp: Add missing spin_lock init
(bnc#1012628).
- x86/mcelog: Get rid of RCU remnants (bnc#1012628).
- perf/cgroup: Fix perf cgroup hierarchy support (bnc#1012628).
- futex: Fix more put_pi_state() vs. exit_pi_state_list() races
(bnc#1012628).
- powerpc/kprobes: Dereference function pointers only if the
address does not belong to kernel text (bnc#1012628).
- x86: CPU: Fix up "cpu MHz" in /proc/cpuinfo (bnc#1012628).
- Revert "x86: do not use cpufreq_quick_get() for /proc/cpuinfo
"cpu MHz"" (bnc#1012628).
- MIPS: SMP: Fix deadlock & online race (bnc#1012628).
- MIPS: microMIPS: Fix incorrect mask in insn_table_MM
(bnc#1012628).
- MIPS: smp-cmp: Use right include for task_struct (bnc#1012628).
- MIPS: bpf: Fix a typo in build_one_insn() (bnc#1012628).
- Revert "powerpc64/elfv1: Only dereference function descriptor
for non-text symbols" (bnc#1012628).
- drm/i915/edp: read edp display control registers unconditionally
(bnc#1012628).
- drm/i915: Do not rely on wm preservation for ILK watermarks
(bnc#1012628).
- mm, swap: fix race between swap count continuation operations
(bnc#1012628).
- fs/hugetlbfs/inode.c: fix hwpoison reserve accounting
(bnc#1012628).
- ocfs2: fstrim: Fix start offset of first cluster group during
fstrim (bnc#1012628).
- userfaultfd: hugetlbfs: prevent UFFDIO_COPY to fill beyond
the end of i_size (bnc#1012628).
- drm/amdgpu: allow harvesting check for Polaris VCE
(bnc#1012628).
- drm/amdgpu: return -ENOENT from uvd 6.0 early init for
harvesting (bnc#1012628).
- ARM: 8715/1: add a private asm/unaligned.h (bnc#1012628).
- ARM: dts: mvebu: pl310-cache disable double-linefill
(bnc#1012628).
- arm/arm64: kvm: Disable branch profiling in HYP code
(bnc#1012628).
- arm/arm64: KVM: set right LR register value for 32 bit guest
when inject abort (bnc#1012628).
- KVM: arm64: its: Fix missing dynamic allocation check in
scan_its_table (bnc#1012628).
- arm64: ensure __dump_instr() checks addr_limit (bnc#1012628).
- virtio_blk: Fix an SG_IO regression (bnc#1012628).
- ASoC: adau17x1: Workaround for noise bug in ADC (bnc#1012628).
- KEYS: fix out-of-bounds read during ASN.1 parsing (bnc#1012628).
- KEYS: trusted: fix writing past end of buffer in trusted_read()
(bnc#1012628).
- KEYS: return full count in keyring_read() if buffer is too small
(bnc#1012628).
- cifs: check MaxPathNameComponentLength != 0 before using it
(bnc#1012628).
- ALSA: seq: Fix nested rwsem annotation for lockdep splat
(bnc#1012628).
- ALSA: timer: Add missing mutex lock for compat ioctls
(bnc#1012628).
- commit 19cf938
-------------------------------------------------------------------
Mon Nov 6 16:06:51 CET 2017 - jeffm@suse.com
- Update to 4.14-rc8.
- commit 0fbdeee
-------------------------------------------------------------------
Mon Nov 6 14:43:05 CET 2017 - tiwai@suse.de
- media: imon: Fix null-ptr-deref in imon_probe (CVE-2017-16537
bsc#1066573).
- [media] cx231xx-cards: fix NULL-deref on missing association
descriptor (CVE-2017-16536 bsc#1066606).
- media: imon: Fix null-ptr-deref in imon_probe (CVE-2017-16537
bsc#1066573).
- [media] cx231xx-cards: fix NULL-deref on missing association
descriptor (CVE-2017-16536 bsc#1066606).
- commit 0cd38c2
-------------------------------------------------------------------
Mon Nov 6 11:59:19 CET 2017 - jkosina@suse.cz
- rpm/kernel-binary.spec.in: add explicit dependency of kernel-*-devel on
libelf-devel.
Otherwise warning that got turned into error by upstream 3dd40cb3 ("objtool:
Upgrade libelf-devel warning to error...") would trigger and cause any
packages being built against kernel-*-devel (such as KMPs, crash) not to have
the libelf dependency included, and fail to build.
- rpm/kernel-binary.spec.in: add explicit dependency of kernel-*-devel on
libelf-devel. Otherwise warning that got turned into error by e683952999
("objtool: Upgrade libelf-devel warning to error...") would trigger and
cause any packages being built against kernel-*-devel (such as KMPs,
crash) not to have the libelf dependency included, and fail to build.
- commit f6c0f80
-------------------------------------------------------------------
Fri Nov 3 23:20:30 CET 2017 - mcgrof@suse.com
- mac80211: don't compare TKIP TX MIC key in reinstall prevention
(bsc#1066472).
- commit a2a7106
-------------------------------------------------------------------
Fri Nov 3 23:20:05 CET 2017 - mcgrof@suse.com
- mac80211: use constant time comparison with keys (bsc#1066471).
- commit e2a3d57
-------------------------------------------------------------------
Thu Nov 2 20:06:49 CET 2017 - jslaby@suse.cz
- Linux 4.13.11 (bnc#1012628).
- powerpc/xive: Fix the size of the cpumask used in
xive_find_target_in_mask() (bnc#1012628).
- regulator: fan53555: fix I2C device ids (bnc#1012628).
- ipsec: Fix aborted xfrm policy dump crash (bnc#1012628).
- cfg80211: fix connect/disconnect edge cases (bnc#1012628).
- can: kvaser_usb: Ignore CMD_FLUSH_QUEUE_REPLY messages
(bnc#1012628).
- can: kvaser_usb: Correct return value in printout (bnc#1012628).
- can: sun4i: fix loopback mode (bnc#1012628).
- drm/i915/perf: fix perf enable/disable ioctls with 32bits
userspace (bnc#1012628).
- drm/amd/powerplay: fix uninitialized variable (bnc#1012628).
- x86/cpu/AMD: Apply the Erratum 688 fix when the BIOS doesn't
(bnc#1012628).
- scsi: sg: Re-fix off by one in sg_fill_request_table()
(bnc#1012628).
- scsi: qla2xxx: Initialize Work element before requesting IRQs
(bnc#1012628).
- scsi: aacraid: Fix controller initialization failure
(bnc#1012628).
- scsi: zfcp: fix erp_action use-before-initialize in REC action
trace (bnc#1012628).
- assoc_array: Fix a buggy node-splitting case (bnc#1012628).
- SMB3: Validate negotiate request must always be signed
(bnc#1012628).
- Fix encryption labels and lengths for SMB3.1.1 (bnc#1012628).
- Input: gtco - fix potential out-of-bound access (bnc#1012628).
- Input: elan_i2c - add ELAN0611 to the ACPI table (bnc#1012628).
- CIFS: Fix NULL pointer deref on SMB2_tcon() failure
(bnc#1012628).
- cifs: Select all required crypto modules (bnc#1012628).
- xen: fix booting ballooned down hvm guest (bnc#1012628).
- xen/gntdev: avoid out of bounds access in case of partial
gntdev_mmap() (bnc#1012628).
- fuse: fix READDIRPLUS skipping an entry (bnc#1012628).
- ovl: do not cleanup unsupported index entries (bnc#1012628).
- ovl: handle ENOENT on index lookup (bnc#1012628).
- ovl: fix EIO from lookup of non-indexed upper (bnc#1012628).
- ovl: add NULL check in ovl_alloc_inode (bnc#1012628).
- spi: armada-3700: Fix failing commands with quad-SPI
(bnc#1012628).
- spi: bcm-qspi: Fix use after free in bcm_qspi_probe() in error
path (bnc#1012628).
- spi: a3700: Return correct value on timeout detection
(bnc#1012628).
- spi: uapi: spidev: add missing ioctl header (bnc#1012628).
- nbd: handle interrupted sendmsg with a sndtimeo set
(bnc#1012628).
- s390/kvm: fix detection of guest machine checks (bnc#1012628).
- KVM: PPC: Book3S: Protect kvmppc_gpa_to_ua() with SRCU
(bnc#1012628).
- KVM: PPC: Book3S HV: POWER9 more doorbell fixes (bnc#1012628).
- KVM: PPC: Fix oops when checking KVM_CAP_PPC_HTM (bnc#1012628).
- Fix tracing sample code warning (bnc#1012628).
- ceph: unlock dangling spinlock in try_flush_caps()
(bnc#1012628).
- ALSA: hda - fix headset mic problem for Dell machines with
alc236 (bnc#1012628).
- ALSA: hda/realtek - Add support for ALC236/ALC3204
(bnc#1012628).
- nvme-fc: fix iowait hang (bnc#1012628).
- workqueue: replace pool->manager_arb mutex with a flag
(bnc#1012628).
- commit 5a48675
-------------------------------------------------------------------
Mon Oct 30 21:43:06 CET 2017 - neilb@suse.com
- REVERT: md/bitmap: copy correct data for bitmap super
(bsc#1062597).
- commit 9382440
-------------------------------------------------------------------
Mon Oct 30 13:34:26 CET 2017 - jslaby@suse.cz
- x86/unwind: Show function name+offset in ORC error messages
(bnc#1058115).
- x86/entry: Fix idtentry unwind hint (bnc#1058115).
- objtool: Fix memory leak in decode_instructions() (bnc#1058115).
- x86/unwind: Disable unwinder warnings on 32-bit (bnc#1058115).
- x86/unwind: Align stack pointer in unwinder dump (bnc#1058115).
- x86/unwind: Use MSB for frame pointer encoding on 32-bit
(bnc#1058115).
- x86/unwind: Fix dereference of untrusted pointer (bnc#1058115).
- x86/kvm: Move kvm_fastop_exception to .fixup section
(bnc#1058115).
- objtool: Upgrade libelf-devel warning to error for
CONFIG_ORC_UNWINDER (bnc#1058115).
- kprobes/x86: Set up frame pointer in kprobe trampoline
(bnc#1058115).
- objtool: Support unoptimized frame pointer setup (bnc#1058115).
- objtool: Skip unreachable warnings for GCC 4.4 and older
(bnc#1058115).
- commit dd8c5e5
-------------------------------------------------------------------
Mon Oct 30 04:20:05 CET 2017 - jeffm@suse.com
- Update to 4.14-rc7.
- commit dbf3e9b
-------------------------------------------------------------------
Sun Oct 29 08:26:08 CET 2017 - jslaby@suse.cz
- x86/unwind: Show function name+offset in ORC error messages
(bnc#1058115).
- x86/entry: Fix idtentry unwind hint (bnc#1058115).
- commit 951f578
-------------------------------------------------------------------
Fri Oct 27 11:03:09 CEST 2017 - jslaby@suse.cz
- Linux 4.13.10 final
- commit db36cf8
-------------------------------------------------------------------
Fri Oct 27 09:37:37 CEST 2017 - jslaby@suse.cz
- scripts/stableids: revert unwanted change
- commit d6206ec
-------------------------------------------------------------------
Fri Oct 27 09:26:52 CEST 2017 - jslaby@suse.cz
- futex: futex_wake_op, fix sign_extend32 sign bits (bnc#1064590).
- commit a6d946f
-------------------------------------------------------------------
Thu Oct 26 03:52:04 CEST 2017 - neilb@suse.com
- VFS: expedite unmount (bsc#1024412).
- commit 10c4365
-------------------------------------------------------------------
Wed Oct 25 14:47:02 CEST 2017 - jslaby@suse.cz
- Linux 4.13.10 (bnc#1012628).
- staging: bcm2835-audio: Fix memory corruption (bnc#1012628).
- USB: devio: Revert "USB: devio: Don't corrupt user memory"
(bnc#1012628).
- USB: core: fix out-of-bounds access bug in
usb_get_bos_descriptor() (bnc#1012628).
- USB: serial: metro-usb: add MS7820 device id (bnc#1012628).
- usb: cdc_acm: Add quirk for Elatec TWN3 (bnc#1012628).
- usb: quirks: add quirk for WORLDE MINI MIDI keyboard
(bnc#1012628).
- usb: hub: Allow reset retry for USB2 devices on connect bounce
(bnc#1012628).
- ALSA: usb-audio: Add native DSD support for Pro-Ject Pre Box
S2 Digital (bnc#1012628).
- can: gs_usb: fix busy loop if no more TX context is available
(bnc#1012628).
- scsi: qla2xxx: Fix uninitialized work element (bnc#1012628).
- nbd: don't set the device size until we're connected
(bnc#1012628).
- s390/cputime: fix guest/irq/softirq times after CPU hotplug
(bnc#1012628).
- parisc: Fix double-word compare and exchange in LWS code on
32-bit kernels (bnc#1012628).
- parisc: Fix detection of nonsynchronous cr16 cycle counters
(bnc#1012628).
- iio: dummy: events: Add missing break (bnc#1012628).
- usb: musb: sunxi: Explicitly release USB PHY on exit
(bnc#1012628).
- USB: musb: fix session-bit runtime-PM quirk (bnc#1012628).
- USB: musb: fix late external abort on suspend (bnc#1012628).
- usb: musb: musb_cppi41: Fix the address of teardown and autoreq
registers (bnc#1012628).
- usb: musb: musb_cppi41: Fix cppi41_set_dma_mode() for DA8xx
(bnc#1012628).
- usb: musb: musb_cppi41: Configure the number of channels for
DA8xx (bnc#1012628).
- usb: musb: Check for host-mode using is_host_active() on reset
interrupt (bnc#1012628).
- xhci: Identify USB 3.1 capable hosts by their port protocol
capability (bnc#1012628).
- xhci: Cleanup current_cmd in xhci_cleanup_command_queue()
(bnc#1012628).
- usb: xhci: Reset halted endpoint if trb is noop (bnc#1012628).
- usb: xhci: Handle error condition in xhci_stop_device()
(bnc#1012628).
- can: esd_usb2: Fix can_dlc value for received RTR, frames
(bnc#1012628).
- can: af_can: can_pernet_init(): add missing error handling
for kzalloc returning NULL (bnc#1012628).
- can: flexcan: fix state transition regression (bnc#1012628).
- can: flexcan: rename legacy error state quirk (bnc#1012628).
- can: flexcan: implement error passive state quirk (bnc#1012628).
- can: flexcan: fix i.MX6 state transition issue (bnc#1012628).
- can: flexcan: fix i.MX28 state transition issue (bnc#1012628).
- can: flexcan: fix p1010 state transition issue (bnc#1012628).
- KEYS: encrypted: fix dereference of NULL user_key_payload
(bnc#1012628).
- mmc: sdhci-pci: Fix default d3_retune for Intel host controllers
(bnc#1012628).
- drm/i915: Use bdw_ddi_translations_fdi for Broadwell
(bnc#1012628).
- drm/nouveau/kms/nv50: fix oops during DP IRQ handling on
non-MST boards (bnc#1012628).
- drm/nouveau/bsp/g92: disable by default (bnc#1012628).
- drm/nouveau/mmu: flush tlbs before deleting page tables
(bnc#1012628).
- media: s5p-cec: add NACK detection support (bnc#1012628).
- media: cec: Respond to unregistered initiators, when applicable
(bnc#1012628).
- media: dvb: i2c transfers over usb cannot be done from stack
(bnc#1012628).
- tracing/samples: Fix creation and deletion of simple_thread_fn
creation (bnc#1012628).
- ALSA: seq: Enable 'use' locking in all configurations
(bnc#1012628).
- ALSA: hda: Remove superfluous '-' added by printk conversion
(bnc#1012628).
- i2c: ismt: Separate I2C block read from SMBus block read
(bnc#1012628).
- i2c: piix4: Fix SMBus port selection for AMD Family 17h chips
(bnc#1012628).
- Revert "tools/power turbostat: stop migrating, unless '-m'"
(bnc#1012628).
- Input: stmfts - fix setting ABS_MT_POSITION_* maximum size
(bnc#1012628).
- brcmfmac: Add check for short event packets (bnc#1012628).
- brcmsmac: make some local variables 'static const' to reduce
stack size (bnc#1012628).
- ARM: dts: sun6i: Fix endpoint IDs in second display pipeline
(bnc#1012628).
- bus: mbus: fix window size calculation for 4GB windows
(bnc#1012628).
- clockevents/drivers/cs5535: Improve resilience to spurious
interrupts (bnc#1012628).
- rtlwifi: rtl8821ae: Fix connection lost problem (bnc#1012628).
- x86/microcode/intel: Disable late loading on model 79
(bnc#1012628).
- lib/digsig: fix dereference of NULL user_key_payload
(bnc#1012628).
- fscrypt: fix dereference of NULL user_key_payload (bnc#1012628).
- ecryptfs: fix dereference of NULL user_key_payload
(bnc#1012628).
- KEYS: Fix race between updating and finding a negative key
(bnc#1012628).
- FS-Cache: fix dereference of NULL user_key_payload
(bnc#1012628).
- KEYS: don't let add_key() update an uninstantiated key
(bnc#1012628).
- pkcs7: Prevent NULL pointer dereference, since sinfo is not
always set (bnc#1012628).
- arm64: dts: rockchip: correct vqmmc voltage for rk3399 platforms
(bnc#1012628).
- ALSA: hda - Fix incorrect TLV callback check introduced during
set_fs() removal (bnc#1012628).
- iomap_dio_rw: Allocate AIO completion queue before submitting
dio (bnc#1012628).
- xfs: don't unconditionally clear the reflink flag on zero-block
files (bnc#1012628).
- xfs: evict CoW fork extents when performing finsert/fcollapse
(bnc#1012628).
- fs/xfs: Use %pS printk format for direct addresses
(bnc#1012628).
- xfs: report zeroed or not correctly in xfs_zero_range()
(bnc#1012628).
- xfs: update i_size after unwritten conversion in dio completion
(bnc#1012628).
- xfs: perag initialization should only touch m_ag_max_usable
for AG 0 (bnc#1012628).
- xfs: Capture state of the right inode in xfs_iflush_done
(bnc#1012628).
- xfs: always swap the cow forks when swapping extents
(bnc#1012628).
- xfs: handle racy AIO in xfs_reflink_end_cow (bnc#1012628).
- xfs: Don't log uninitialised fields in inode structures
(bnc#1012628).
- xfs: move more RT specific code under CONFIG_XFS_RT
(bnc#1012628).
- xfs: don't change inode mode if ACL update fails (bnc#1012628).
- xfs: reinit btree pointer on attr tree inactivation walk
(bnc#1012628).
- xfs: handle error if xfs_btree_get_bufs fails (bnc#1012628
bsc#1059863).
- xfs: cancel dirty pages on invalidation (bnc#1012628).
- xfs: trim writepage mapping to within eof (bnc#1012628).
- xfs: move two more RT specific functions into CONFIG_XFS_RT
(bnc#1012628).
- scripts/stableids:
- commit e760ea6
-------------------------------------------------------------------
Mon Oct 23 14:34:12 CEST 2017 - jeffm@suse.com
- Update to 4.14-rc6.
- Eliminated 2 patches.
- commit 8b364ca
-------------------------------------------------------------------
Mon Oct 23 11:38:06 CEST 2017 - jslaby@suse.cz
- futex: do not fail on invalid op (bnc#1064590).
- commit e7d7106
-------------------------------------------------------------------
Sun Oct 22 09:24:14 CEST 2017 - jslaby@suse.cz
- Linux 4.13.9 (bnc#1012628).
- vmbus: more host signalling avoidance (bnc#1012628).
- vmbus: eliminate duplicate cached index (bnc#1012628).
- vmbus: refactor hv_signal_on_read (bnc#1012628).
- vmbus: simplify hv_ringbuffer_read (bnc#1012628).
- Drivers: hv: vmbus: Fix bugs in rescind handling (bnc#1012628).
- Drivers: hv: vmbus: Fix rescind handling issues (bnc#1012628).
- HID: hid-elecom: extend to fix descriptor for HUGE trackball
(bnc#1012628).
- mm: page_vma_mapped: ensure pmd is loaded with READ_ONCE
outside of lock (bnc#1012628).
- perf pmu: Unbreak perf record for arm/arm64 with events with
explicit PMU (bnc#1012628).
- x86/apic: Silence "FW_BUG TSC_DEADLINE disabled due to Errata"
on hypervisors (bnc#1012628).
- x86/apic: Silence "FW_BUG TSC_DEADLINE disabled due to Errata"
on CPUs without the feature (bnc#1012628).
- commit abdc07c
-------------------------------------------------------------------
Wed Oct 18 19:36:39 CEST 2017 - mcgrof@suse.com
- mac80211: accept key reinstall without changing anything (CVE-2017-13080 bsc#1063667).
- commit 19d19fc
-------------------------------------------------------------------
Wed Oct 18 12:43:48 CEST 2017 - tiwai@suse.de
- ALSA: hda: Abort capability probe at invalid register read
(bsc#1064017).
- commit d1f5e26
-------------------------------------------------------------------
Wed Oct 18 11:53:30 CEST 2017 - jslaby@suse.cz
- Linux 4.13.8 (bnc#1012628).
- USB: dummy-hcd: Fix deadlock caused by disconnect detection
(bnc#1012628).
- MIPS: math-emu: Remove pr_err() calls from fpu_emu()
(bnc#1012628).
- MIPS: bpf: Fix uninitialised target compiler error
(bnc#1012628).
- mei: always use domain runtime pm callbacks (bnc#1012628).
- dmaengine: edma: Align the memcpy acnt array size with the
transfer (bnc#1012628).
- dmaengine: ti-dma-crossbar: Fix possible race condition with
dma_inuse (bnc#1012628).
- NFS: Fix uninitialized rpc_wait_queue (bnc#1012628).
- nfs/filelayout: fix oops when freeing filelayout segment
(bnc#1012628).
- HID: usbhid: fix out-of-bounds bug (bnc#1012628).
- crypto: skcipher - Fix crash on zero-length input (bnc#1012628).
- crypto: shash - Fix zero-length shash ahash digest crash
(bnc#1012628).
- KVM: MMU: always terminate page walks at level 1 (bnc#1012628).
- KVM: nVMX: fix guest CR4 loading when emulating L2 to L1 exit
(bnc#1012628).
- usb: renesas_usbhs: Fix DMAC sequence for receiving zero-length
packet (bnc#1012628).
- pinctrl/amd: Fix build dependency on pinmux code (bnc#1012628).
- iommu/amd: Finish TLB flush in amd_iommu_unmap() (bnc#1012628).
- device property: Track owner device of device property
(bnc#1012628).
- Revert "vmalloc: back off when the current task is killed"
(bnc#1012628).
- fs/mpage.c: fix mpage_writepage() for pages with buffers
(bnc#1012628).
- ALSA: usb-audio: Kill stray URB at exiting (bnc#1012628).
- ALSA: seq: Fix copy_from_user() call inside lock (bnc#1012628).
- ALSA: caiaq: Fix stray URB at probe error path (bnc#1012628).
- ALSA: line6: Fix NULL dereference at podhd_disconnect()
(bnc#1012628).
- ALSA: line6: Fix missing initialization before error path
(bnc#1012628).
- ALSA: line6: Fix leftover URB at error-path during probe
(bnc#1012628).
- drm/atomic: Unref duplicated drm_atomic_state in
drm_atomic_helper_resume() (bnc#1012628).
- drm/i915/edp: Get the Panel Power Off timestamp after panel
is off (bnc#1012628).
- drm/i915: Read timings from the correct transcoder in
intel_crtc_mode_get() (bnc#1012628).
- drm/i915/bios: parse DDI ports also for CHV for HDMI DDC pin
and DP AUX channel (bnc#1012628).
- drm/i915: Use crtc_state_is_legacy_gamma in intel_color_check
(bnc#1012628).
- usb: gadget: configfs: Fix memory leak of interface directory
data (bnc#1012628).
- usb: gadget: composite: Fix use-after-free in
usb_composite_overwrite_options (bnc#1012628).
- PCI: aardvark: Move to struct pci_host_bridge IRQ mapping
functions (bnc#1012628).
- Revert "PCI: tegra: Do not allocate MSI target memory"
(bnc#1012628).
- direct-io: Prevent NULL pointer access in submit_page_section
(bnc#1012628).
- fix unbalanced page refcounting in bio_map_user_iov
(bnc#1012628).
- more bio_map_user_iov() leak fixes (bnc#1012628).
- bio_copy_user_iov(): don't ignore ->iov_offset (bnc#1012628).
- perf script: Add missing separator for "-F ip,brstack" (and
brstackoff) (bnc#1012628).
- genirq/cpuhotplug: Enforce affinity setting on startup of
managed irqs (bnc#1012628).
- genirq/cpuhotplug: Add sanity check for effective affinity mask
(bnc#1012628).
- USB: serial: ftdi_sio: add id for Cypress WICED dev board
(bnc#1012628).
- USB: serial: cp210x: fix partnum regression (bnc#1012628).
- USB: serial: cp210x: add support for ELV TFD500 (bnc#1012628).
- USB: serial: option: add support for TP-Link LTE module
(bnc#1012628).
- USB: serial: qcserial: add Dell DW5818, DW5819 (bnc#1012628).
- USB: serial: console: fix use-after-free on disconnect
(bnc#1012628).
- USB: serial: console: fix use-after-free after failed setup
(bnc#1012628).
- RAS/CEC: Use the right length for "cec_disable" (bnc#1012628).
- x86/microcode: Do the family check first (bnc#1012628).
- x86/alternatives: Fix alt_max_short macro to really be a max()
(bnc#1012628).
- KVM: nVMX: update last_nonleaf_level when initializing nested
EPT (bnc#1012628).
- commit 569e26e
-------------------------------------------------------------------
Wed Oct 18 02:36:43 CEST 2017 - mcgrof@suse.com
- xfs: handle error if xfs_btree_get_bufs fails (bsc#1059863).
- commit a1a185d
-------------------------------------------------------------------
Mon Oct 16 18:06:20 CEST 2017 - jeffm@suse.com
- Update to 4.14-rc5.
- commit 39eecab
-------------------------------------------------------------------
Mon Oct 16 15:08:41 CEST 2017 - jslaby@suse.cz
- futex: Remove duplicated code and fix undefined behaviour
(bnc#1005915).
- commit b17692d
-------------------------------------------------------------------
Sun Oct 15 14:07:51 CEST 2017 - jslaby@suse.cz
- Linux 4.13.7 (bnc#1012628).
- commit 031d6da
-------------------------------------------------------------------
Fri Oct 13 17:40:41 CEST 2017 - msuchanek@suse.de
- Revert "rpm/constraints.in: Lower default disk space requirement from 25G to 24G"
This reverts commit 406abda1467c038842febffe264faae1fa2e3c1d.
ok, did not wait long enough to see the failure.
- commit ed99981
-------------------------------------------------------------------
Fri Oct 13 17:28:55 CEST 2017 - msuchanek@suse.de
- rpm/constraints.in: Lower default disk space requirement from 25G to 24G
25G is rejected by the build service on ARM.
- commit 406abda
-------------------------------------------------------------------
Fri Oct 13 11:38:39 CEST 2017 - jslaby@suse.cz
- waitid(): Add missing access_ok() checks (bnc#1062473
CVE-2017-5123).
- commit a8d2202
-------------------------------------------------------------------
Thu Oct 12 20:24:34 CEST 2017 - jslaby@suse.cz
- Linux 4.13.6 (bnc#1012628).
- imx-media-of: avoid uninitialized variable warning
(bnc#1012628).
- usb: dwc3: ep0: fix DMA starvation by assigning req->trb on ep0
(bnc#1012628).
- mlxsw: spectrum: Fix EEPROM access in case of SFP/SFP+
(bnc#1012628).
- net: bonding: Fix transmit load balancing in balance-alb mode
if specified by sysfs (bnc#1012628).
- openvswitch: Fix an error handling path in
'ovs_nla_init_match_and_action()' (bnc#1012628).
- mlxsw: spectrum: Prevent mirred-related crash on removal
(bnc#1012628).
- net: bonding: fix tlb_dynamic_lb default value (bnc#1012628).
- net_sched: gen_estimator: fix scaling error in bytes/packets
samples (bnc#1012628).
- net: sched: fix use-after-free in tcf_action_destroy and
tcf_del_walker (bnc#1012628).
- sctp: potential read out of bounds in
sctp_ulpevent_type_enabled() (bnc#1012628).
- tcp: update skb->skb_mstamp more carefully (bnc#1012628).
- bpf/verifier: reject BPF_ALU64|BPF_END (bnc#1012628).
- tcp: fix data delivery rate (bnc#1012628).
- udpv6: Fix the checksum computation when HW checksum does not
apply (bnc#1012628).
- ip6_gre: skb_push ipv6hdr before packing the header in
ip6gre_header (bnc#1012628).
- net: phy: Fix mask value write on gmii2rgmii converter speed
register (bnc#1012628).
- ip6_tunnel: do not allow loading ip6_tunnel if ipv6 is disabled
in cmdline (bnc#1012628).
- net/sched: cls_matchall: fix crash when used with classful qdisc
(bnc#1012628).
- bpf: do not disable/enable BH in bpf_map_free_id()
(bnc#1012628).
- tcp: fastopen: fix on syn-data transmit failure (bnc#1012628).
- net: emac: Fix napi poll list corruption (bnc#1012628).
- net: ipv6: fix regression of no RTM_DELADDR sent after DAD
failure (bnc#1012628).
- packet: hold bind lock when rebinding to fanout hook
(bnc#1012628).
- bpf: one perf event close won't free bpf program attached by
another perf event (bnc#1012628).
- net: change skb->mac_header when Generic XDP calls adjust_head
(bnc#1012628).
- isdn/i4l: fetch the ppp_write buffer in one shot (bnc#1012628).
- net_sched: always reset qdisc backlog in qdisc_reset()
(bnc#1012628).
- net: stmmac: Cocci spatch "of_table" (bnc#1012628).
- net: qcom/emac: specify the correct size when mapping a DMA
buffer (bnc#1012628).
- vti: fix use after free in vti_tunnel_xmit/vti6_tnl_xmit
(bnc#1012628).
- l2tp: fix race condition in l2tp_tunnel_delete (bnc#1012628).
- tun: bail out from tun_get_user() if the skb is empty
(bnc#1012628).
- net: dsa: mv88e6xxx: Allow dsa and cpu ports in multiple vlans
(bnc#1012628).
- net: dsa: Fix network device registration order (bnc#1012628).
- packet: in packet_do_bind, test fanout with bind_lock held
(bnc#1012628).
- packet: only test po->has_vnet_hdr once in packet_snd
(bnc#1012628).
- net: dsa: mv88e6xxx: lock mutex when freeing IRQs (bnc#1012628).
- net: Set sk_prot_creator when cloning sockets to the right proto
(bnc#1012628).
- net/mlx5e: IPoIB, Fix access to invalid memory address
(bnc#1012628).
- netlink: do not proceed if dump's start() errs (bnc#1012628).
- ip6_gre: ip6gre_tap device should keep dst (bnc#1012628).
- ip6_tunnel: update mtu properly for ARPHRD_ETHER tunnel device
in tx path (bnc#1012628).
- IPv4: early demux can return an error code (bnc#1012628).
- tipc: use only positive error codes in messages (bnc#1012628).
- l2tp: fix l2tp_eth module loading (bnc#1012628).
- socket, bpf: fix possible use after free (bnc#1012628).
- net: rtnetlink: fix info leak in RTM_GETSTATS call
(bnc#1012628).
- bpf: fix bpf_tail_call() x64 JIT (bnc#1012628).
- usb: gadget: core: fix ->udc_set_speed() logic (bnc#1012628).
- USB: gadgetfs: Fix crash caused by inadequate synchronization
(bnc#1012628).
- USB: gadgetfs: fix copy_to_user while holding spinlock
(bnc#1012628).
- usb: gadget: udc: atmel: set vbus irqflags explicitly
(bnc#1012628).
- usb: gadget: udc: renesas_usb3: fix for no-data control transfer
(bnc#1012628).
- usb: gadget: udc: renesas_usb3: fix Pn_RAMMAP.Pn_MPKT value
(bnc#1012628).
- usb: gadget: udc: renesas_usb3: Fix return value of
usb3_write_pipe() (bnc#1012628).
- usb-storage: unusual_devs entry to fix write-access regression
for Seagate external drives (bnc#1012628).
- usb-storage: fix bogus hardware error messages for ATA pass-thru
devices (bnc#1012628).
- usb: renesas_usbhs: fix the BCLR setting condition for non-DCP
pipe (bnc#1012628).
- usb: renesas_usbhs: fix usbhsf_fifo_clear() for RX direction
(bnc#1012628).
- ALSA: usb-audio: Check out-of-bounds access by corrupted buffer
descriptor (bnc#1012628).
- usb: pci-quirks.c: Corrected timeout values used in handshake
(bnc#1012628).
- USB: cdc-wdm: ignore -EPIPE from GetEncapsulatedResponse
(bnc#1012628).
- USB: dummy-hcd: fix connection failures (wrong speed)
(bnc#1012628).
- USB: dummy-hcd: fix infinite-loop resubmission bug
(bnc#1012628).
- USB: dummy-hcd: Fix erroneous synchronization change
(bnc#1012628).
- USB: devio: Prevent integer overflow in proc_do_submiturb()
(bnc#1012628).
- USB: devio: Don't corrupt user memory (bnc#1012628).
- USB: g_mass_storage: Fix deadlock when driver is unbound
(bnc#1012628).
- USB: uas: fix bug in handling of alternate settings
(bnc#1012628).
- USB: core: harden cdc_parse_cdc_header (bnc#1012628).
- usb: Increase quirk delay for USB devices (bnc#1012628).
- USB: fix out-of-bounds in usb_set_configuration (bnc#1012628).
- usb: xhci: Free the right ring in xhci_add_endpoint()
(bnc#1012628).
- xhci: fix finding correct bus_state structure for USB 3.1 hosts
(bnc#1012628).
- xhci: fix wrong endpoint ESIT value shown in tracing
(bnc#1012628).
- usb: host: xhci-plat: allow sysdev to inherit from ACPI
(bnc#1012628).
- xhci: Fix sleeping with spin_lock_irq() held in ASmedia 1042A
workaround (bnc#1012628).
- xhci: set missing SuperSpeedPlus Link Protocol bit in roothub
descriptor (bnc#1012628).
- Revert "xhci: Limit USB2 port wake support for AMD Promontory
hosts" (bnc#1012628).
- iio: adc: twl4030: Fix an error handling path in
'twl4030_madc_probe()' (bnc#1012628).
- iio: adc: twl4030: Disable the vusb3v1 rugulator in the error
handling path of 'twl4030_madc_probe()' (bnc#1012628).
- iio: ad_sigma_delta: Implement a dedicated reset function
(bnc#1012628).
- staging: iio: ad7192: Fix - use the dedicated reset function
avoiding dma from stack (bnc#1012628).
- iio: core: Return error for failed read_reg (bnc#1012628).
- IIO: BME280: Updates to Humidity readings need ctrl_reg
write! (bnc#1012628).
- iio: trigger: stm32-timer: preset shouldn't be buffered
(bnc#1012628).
- iio: trigger: stm32-timer: fix a corner case to write preset
(bnc#1012628).
- iio: ad7793: Fix the serial interface reset (bnc#1012628).
- iio: adc: stm32: fix bad error check on max_channels
(bnc#1012628).
- iio: adc: mcp320x: Fix readout of negative voltages
(bnc#1012628).
- iio: adc: mcp320x: Fix oops on module unload (bnc#1012628).
- uwb: properly check kthread_run return value (bnc#1012628).
- uwb: ensure that endpoint is interrupt (bnc#1012628).
- staging: vchiq_2835_arm: Fix NULL ptr dereference in
free_pagelist (bnc#1012628).
- ksm: fix unlocked iteration over vmas in cmp_and_merge_page()
(bnc#1012628).
- mm, hugetlb, soft_offline: save compound page order before
page migration (bnc#1012628).
- mm, oom_reaper: skip mm structs with mmu notifiers
(bnc#1012628).
- mm: fix RODATA_TEST failure "rodata_test: test data was not
read only" (bnc#1012628).
- mm: avoid marking swap cached page as lazyfree (bnc#1012628).
- mm: fix data corruption caused by lazyfree page (bnc#1012628).
- userfaultfd: non-cooperative: fix fork use after free
(bnc#1012628).
- lib/ratelimit.c: use deferred printk() version (bnc#1012628).
- lsm: fix smack_inode_removexattr and xattr_getsecurity memleak
(bnc#1012628).
- ALSA: compress: Remove unused variable (bnc#1012628).
- Revert "ALSA: echoaudio: purge contradictions between dimension
matrix members and total number of members" (bnc#1012628).
- ALSA: usx2y: Suppress kernel warning at page allocation failures
(bnc#1012628).
- powerpc/powernv: Increase memory block size to 1GB on radix
(bnc#1012628).
- powerpc: Fix action argument for cpufeatures-based TLB flush
(bnc#1012628).
- powerpc/64s: Use emergency stack for kernel TM Bad Thing
program checks (bnc#1012628).
- powerpc/tm: Fix illegal TM state in signal handler
(bnc#1012628).
- percpu: make this_cpu_generic_read() atomic w.r.t. interrupts
(bnc#1012628).
- intel_th: pci: Add Lewisburg PCH support (bnc#1012628).
- driver core: platform: Don't read past the end of
"driver_override" buffer (bnc#1012628).
- cgroup: Reinit cgroup_taskset structure before
cgroup_migrate_execute() returns (bnc#1012628).
- Drivers: hv: fcopy: restore correct transfer length
(bnc#1012628).
- vmbus: don't acquire the mutex in
vmbus_hvsock_device_unregister() (bnc#1012628).
- stm class: Fix a use-after-free (bnc#1012628).
- auxdisplay: charlcd: properly restore atomic counter on error
path (bnc#1012628).
- ftrace: Fix kmemleak in unregister_ftrace_graph (bnc#1012628).
- ovl: fix error value printed in ovl_lookup_index()
(bnc#1012628).
- ovl: fix dput() of ERR_PTR in ovl_cleanup_index() (bnc#1012628).
- ovl: fix dentry leak in ovl_indexdir_cleanup() (bnc#1012628).
- ovl: fix missing unlock_rename() in ovl_do_copy_up()
(bnc#1012628).
- ovl: fix regression caused by exclusive upper/work dir
protection (bnc#1012628).
- arm64: dt marvell: Fix AP806 system controller size
(bnc#1012628).
- HID: rmi: Make sure the HID device is opened on resume
(bnc#1012628).
- HID: i2c-hid: allocate hid buffers for real worst case
(bnc#1012628).
- HID: wacom: leds: Don't try to control the EKR's read-only LEDs
(bnc#1012628).
- HID: wacom: Properly report negative values from Intuos Pro
2 Bluetooth (bnc#1012628).
- HID: wacom: Correct coordinate system of touchring and pen twist
(bnc#1012628).
- HID: wacom: generic: Send MSC_SERIAL and ABS_MISC when leaving
prox (bnc#1012628).
- HID: wacom: generic: Clear ABS_MISC when tool leaves proximity
(bnc#1012628).
- HID: wacom: Always increment hdev refcount within
wacom_get_hdev_data (bnc#1012628).
- HID: wacom: bits shifted too much for 9th and 10th buttons
(bnc#1012628).
- btrfs: avoid overflow when sector_t is 32 bit (bnc#1012628).
- Btrfs: fix overlap of fs_info::flags values (bnc#1012628).
- rocker: fix rocker_tlv_put_* functions for KASAN (bnc#1012628).
- netlink: fix nla_put_{u8,u16,u32} for KASAN (bnc#1012628).
- dm crypt: reject sector_size feature if device length is not
aligned to it (bnc#1012628).
- dm ioctl: fix alignment of event number in the device list
(bnc#1012628).
- dm crypt: fix memory leak in crypt_ctr_cipher_old()
(bnc#1012628).
- KVM: PPC: Book3S: Fix server always zero from
kvmppc_xive_get_xive() (bnc#1012628).
- kvm/x86: Avoid async PF preempting the kernel incorrectly
(bnc#1012628).
- iwlwifi: mvm: use IWL_HCMD_NOCOPY for MCAST_FILTER_CMD
(bnc#1012628).
- scsi: sd: Implement blacklist option for WRITE SAME w/ UNMAP
(bnc#1012628).
- scsi: sd: Do not override max_sectors_kb sysfs setting
(bnc#1012628).
- brcmfmac: add length check in brcmf_cfg80211_escan_handler()
(bnc#1012628).
- brcmfmac: setup passive scan if requested by user-space
(bnc#1012628).
- drm/i915: always update ELD connector type after get modes
(bnc#1012628).
- drm/i915/bios: ignore HDMI on port A (bnc#1012628).
- bsg-lib: fix use-after-free under memory-pressure (bnc#1012628).
- nvme-pci: Use PCI bus address for data/queues in CMB
(bnc#1012628).
- mmc: core: add driver strength selection when selecting hs400es
(bnc#1012628).
- nl80211: Define policy for packet pattern attributes
(bnc#1012628).
- clk: samsung: exynos4: Enable VPLL and EPLL clocks for
suspend/resume cycle (bnc#1012628).
- udp: perform source validation for mcast early demux
(bnc#1012628).
- udp: fix bcast packet reception (bnc#1012628).
- base: arch_topology: fix section mismatch build warnings
(bnc#1012628).
- commit 5a88d59
-------------------------------------------------------------------
Wed Oct 11 10:09:24 CEST 2017 - tiwai@suse.de
- ALSA: seq: Fix use-after-free at creating a port
(CVE-2017-15265, bsc#1062520).
- commit fe07a5d
-------------------------------------------------------------------
Mon Oct 9 15:50:44 CEST 2017 - mbrugger@suse.com
- arm64: Ensure fpsimd support is ready before userspace is active
(bsc#1061188).
- arm64: Ensure the instruction emulation is ready for userspace
(bsc#1061188).
- commit d9ee625
-------------------------------------------------------------------
Mon Oct 9 13:32:45 CEST 2017 - jeffm@suse.com
- Update to 4.14-rc4.
- commit 879f297
-------------------------------------------------------------------
Fri Oct 6 22:19:04 CEST 2017 - mwilck@suse.com
- watchdog: Revert "iTCO_wdt: all versions count down twice"
(bsc#1061556).
- commit c6bc876
-------------------------------------------------------------------
Fri Oct 6 16:12:33 CEST 2017 - msuchanek@suse.de
- rpm/kernel-binary.spec.in: add the kernel-binary dependencies to
kernel-binary-base (bsc#1060333).
- commit 955681c
-------------------------------------------------------------------
Fri Oct 6 11:15:55 CEST 2017 - pmladek@suse.com
- Delete
patches.suse/ftrace-x86-xen-use-kernel-identity-mapping-only-when.patch.
The change is not longer needed with PVOPS Xen (bsc#873195).
- commit 8366b6a
-------------------------------------------------------------------
Thu Oct 5 21:17:53 CEST 2017 - jeffm@suse.com
- Delete patches.rpmify/cloneconfig.diff.
- commit 437d08e
-------------------------------------------------------------------
Thu Oct 5 21:07:02 CEST 2017 - jeffm@suse.com
- Only use patches.suse for patches.
This eliminates patches.arch, patches.drivers, and patches.fixes, and moves
the patches contained in them to patches.suse.
Also update feedback for Patch-mainline tags.
- commit 343996e
-------------------------------------------------------------------
Thu Oct 5 20:17:22 CEST 2017 - jslaby@suse.cz
- Linux 4.13.5 (bnc#1012628).
- cifs: check rsp for NULL before dereferencing in SMB2_open
(bnc#1012628).
- cifs: release cifs root_cred after exit_cifs (bnc#1012628).
- cifs: release auth_key.response for reconnect (bnc#1012628).
- nvme-pci: fix host memory buffer allocation fallback
(bnc#1012628).
- nvme-pci: use appropriate initial chunk size for HMB allocation
(bnc#1012628).
- nvme-pci: propagate (some) errors from host memory buffer setup
(bnc#1012628).
- dax: remove the pmem_dax_ops->flush abstraction (bnc#1012628).
- dm integrity: do not check integrity for failed read operations
(bnc#1012628).
- mmc: block: Fix incorrectly initialized requests (bnc#1012628).
- fs/proc: Report eip/esp in /prod/PID/stat for coredumping
(bnc#1012628).
- scsi: scsi_transport_fc: fix NULL pointer dereference in
fc_bsg_job_timeout (bnc#1012628).
- SMB3: Add support for multidialect negotiate (SMB2.1 and later)
(bnc#1012628).
- mac80211: fix VLAN handling with TXQs (bnc#1012628).
- mac80211_hwsim: Use proper TX power (bnc#1012628).
- mac80211: flush hw_roc_start work before cancelling the ROC
(bnc#1012628).
- mac80211: fix deadlock in driver-managed RX BA session start
(bnc#1012628).
- genirq: Make sparse_irq_lock protect what it should protect
(bnc#1012628).
- genirq/msi: Fix populating multiple interrupts (bnc#1012628).
- genirq: Fix cpumask check in __irq_startup_managed()
(bnc#1012628).
- KVM: PPC: Book3S HV: Hold kvm->lock around call to
kvmppc_update_lpcr (bnc#1012628).
- KVM: PPC: Book3S HV: Fix bug causing host SLB to be restored
incorrectly (bnc#1012628).
- KVM: PPC: Book3S HV: Don't access XIVE PIPR register using
byte accesses (bnc#1012628).
- tracing: Fix trace_pipe behavior for instance traces
(bnc#1012628).
- tracing: Erase irqsoff trace with empty write (bnc#1012628).
- tracing: Remove RCU work arounds from stack tracer
(bnc#1012628).
- md/raid5: fix a race condition in stripe batch (bnc#1012628).
- md/raid5: preserve STRIPE_ON_UNPLUG_LIST in
break_stripe_batch_list (bnc#1012628).
- scsi: scsi_transport_iscsi: fix the issue that iscsi_if_rx
doesn't parse nlmsg properly (bnc#1012628).
- scsi: aacraid: Fix 2T+ drives on SmartIOC-2000 (bnc#1012628).
- scsi: aacraid: Add a small delay after IOP reset (bnc#1012628).
- drm/exynos: Fix locking in the suspend/resume paths
(bnc#1012628).
- drm/i915/gvt: Fix incorrect PCI BARs reporting (bnc#1012628).
- Revert "drm/i915/bxt: Disable device ready before shutdown
command" (bnc#1012628).
- drm/radeon: disable hard reset in hibernate for APUs
(bnc#1012628).
- crypto: drbg - fix freeing of resources (bnc#1012628).
- crypto: talitos - Don't provide setkey for non hmac hashing algs
(bnc#1012628).
- crypto: talitos - fix sha224 (bnc#1012628).
- crypto: talitos - fix hashing (bnc#1012628).
- security/keys: properly zero out sensitive key material in
big_key (bnc#1012628).
- security/keys: rewrite all of big_key crypto (bnc#1012628).
- KEYS: fix writing past end of user-supplied buffer in
keyring_read() (bnc#1012628).
- KEYS: prevent creating a different user's keyrings
(bnc#1012628).
- KEYS: prevent KEYCTL_READ on negative key (bnc#1012628).
- libnvdimm, namespace: fix btt claim class crash (bnc#1012628).
- powerpc/eeh: Create PHB PEs after EEH is initialized
(bnc#1012628).
- powerpc/pseries: Fix parent_dn reference leak in add_dt_node()
(bnc#1012628).
- powerpc/tm: Flush TM only if CPU has TM feature (bnc#1012628).
- MIPS: Fix perf event init (bnc#1012628).
- s390/perf: fix bug when creating per-thread event (bnc#1012628).
- s390/mm: make pmdp_invalidate() do invalidation only
(bnc#1012628).
- s390/mm: fix write access check in gup_huge_pmd() (bnc#1012628).
- PM: core: Fix device_pm_check_callbacks() (bnc#1012628).
- Revert "IB/ipoib: Update broadcast object if PKey value was
changed in index 0" (bnc#1012628).
- Fix SMB3.1.1 guest authentication to Samba (bnc#1012628).
- SMB3: Fix endian warning (bnc#1012628).
- SMB3: Warn user if trying to sign connection that authenticated
as guest (bnc#1012628).
- SMB: Validate negotiate (to protect against downgrade) even
if signing off (bnc#1012628).
- SMB3: handle new statx fields (bnc#1012628).
- SMB3: Don't ignore O_SYNC/O_DSYNC and O_DIRECT flags
(bnc#1012628).
- vfs: Return -ENXIO for negative SEEK_HOLE / SEEK_DATA offsets
(bnc#1012628).
- libceph: don't allow bidirectional swap of pg-upmap-items
(bnc#1012628).
- brd: fix overflow in __brd_direct_access (bnc#1012628).
- gfs2: Fix debugfs glocks dump (bnc#1012628).
- bsg-lib: don't free job in bsg_prepare_job (bnc#1012628).
- iw_cxgb4: drop listen destroy replies if no ep found
(bnc#1012628).
- iw_cxgb4: remove the stid on listen create failure
(bnc#1012628).
- iw_cxgb4: put ep reference in pass_accept_req() (bnc#1012628).
- rcu: Allow for page faults in NMI handlers (bnc#1012628).
- mmc: sdhci-pci: Fix voltage switch for some Intel host
controllers (bnc#1012628).
- extable: Consolidate *kernel_text_address() functions
(bnc#1012628).
- extable: Enable RCU if it is not watching in
kernel_text_address() (bnc#1012628).
- selftests/seccomp: Support glibc 2.26 siginfo_t.h (bnc#1012628).
- seccomp: fix the usage of get/put_seccomp_filter() in
seccomp_get_filter() (bnc#1012628).
- arm64: Make sure SPsel is always set (bnc#1012628).
- arm64: mm: Use READ_ONCE when dereferencing pointer to pte table
(bnc#1012628).
- arm64: fault: Route pte translation faults via
do_translation_fault (bnc#1012628).
- KVM: VMX: extract __pi_post_block (bnc#1012628).
- KVM: VMX: avoid double list add with VT-d posted interrupts
(bnc#1012628).
- KVM: VMX: simplify and fix vmx_vcpu_pi_load (bnc#1012628).
- KVM: nVMX: fix HOST_CR3/HOST_CR4 cache (bnc#1012628).
- kvm/x86: Handle async PF in RCU read-side critical sections
(bnc#1012628).
- KVM: VMX: Do not BUG() on out-of-bounds guest IRQ (bnc#1012628).
- kvm: nVMX: Don't allow L2 to access the hardware CR8
(bnc#1012628).
- xfs: validate bdev support for DAX inode flag (bnc#1012628).
- fix infoleak in waitid(2) (bnc#1012628).
- sched/sysctl: Check user input value of sysctl_sched_time_avg
(bnc#1012628).
- irq/generic-chip: Don't replace domain's name (bnc#1012628).
- mtd: Fix partition alignment check on multi-erasesize devices
(bnc#1012628).
- mtd: nand: atmel: fix buffer overflow in atmel_pmecc_user
(bnc#1012628).
- etnaviv: fix submit error path (bnc#1012628).
- etnaviv: fix gem object list corruption (bnc#1012628).
- futex: Fix pi_state->owner serialization (bnc#1012628).
- md: fix a race condition for flush request handling
(bnc#1012628).
- md: separate request handling (bnc#1012628).
- PCI: Fix race condition with driver_override (bnc#1012628).
- btrfs: fix NULL pointer dereference from free_reloc_roots()
(bnc#1012628).
- btrfs: clear ordered flag on cleaning up ordered extents
(bnc#1012628).
- btrfs: finish ordered extent cleaning if no progress is found
(bnc#1012628).
- btrfs: propagate error to btrfs_cmp_data_prepare caller
(bnc#1012628).
- btrfs: prevent to set invalid default subvolid (bnc#1012628).
- PM / OPP: Call notifier without holding opp_table->lock
(bnc#1012628).
- x86/mm: Fix fault error path using unsafe vma pointer
(bnc#1012628).
- x86/fpu: Don't let userspace set bogus xcomp_bv (bnc#1012628).
- KVM: VMX: do not change SN bit in vmx_update_pi_irte()
(bnc#1012628).
- KVM: VMX: remove WARN_ON_ONCE in
kvm_vcpu_trigger_posted_interrupt (bnc#1012628).
- KVM: VMX: use cmpxchg64 (bnc#1012628).
- video: fbdev: aty: do not leak uninitialized padding in clk
to userspace (bnc#1012628).
- Update config files.
- commit 3fd9659
-------------------------------------------------------------------
Thu Oct 5 17:15:40 CEST 2017 - tiwai@suse.de
- Refresh QXL fixes: they've been upstreamed
patches.drivers/qxl-fix-pinning.patch
patches.drivers/qxl-fix-primary-surface-handling.patch
- commit 7034d64
-------------------------------------------------------------------
Thu Oct 5 16:27:06 CEST 2017 - tiwai@suse.de
- platform/x86: peaq-wmi: Add DMI check before binding to the
WMI interface (bsc#1059807).
- commit a6a03ea
-------------------------------------------------------------------
Wed Oct 4 14:14:49 CEST 2017 - jeffm@suse.com
- Delete patches.suse/suse-hv-storvsc-sg_tablesize.patch.
Per Olaf Hering, this is no longer needed.
- commit 83b19a6
-------------------------------------------------------------------
Wed Oct 4 11:35:41 CEST 2017 - jslaby@suse.cz
- orc: mark it as reliable (bnc#1058115).
- Update config files.
- commit 3cbbf06
-------------------------------------------------------------------
Wed Oct 4 10:13:54 CEST 2017 - jslaby@suse.cz
- x86/asm: Use register variable to get stack pointer value
(bnc#1058115).
- commit a5d4692
-------------------------------------------------------------------
Wed Oct 4 09:58:46 CEST 2017 - jslaby@suse.cz
- x86/asm: Fix inline asm call constraints for GCC 4.4
(bnc#1058115).
- commit 034c016
-------------------------------------------------------------------
Wed Oct 4 08:45:21 CEST 2017 - hare@suse.de
- Delete patches.fixes/sd_liberal_28_sense_invalid.diff.
- Delete patches.suse/dm-emulate-blkrrpart-ioctl.
- Delete patches.suse/scsi-netlink-ml.
- commit b8f0083
-------------------------------------------------------------------
Wed Oct 4 02:38:01 CEST 2017 - agraf@suse.de
- Delete patches.arch/arm-OMAP-Fix-missing-usb.h-include.patch.
(no longer needed)
- Delete patches.arch/arm-arndale-usb.patch. (no longer needed)
- Delete
patches.arch/arm64-0006-arm64-Select-reboot-driver-for-X-Gene-platform.patch.
(not needed, our config already includes the driver)
- Delete patches.arch/ppc64le-build-vmlinux.patch. (no longer needed)
- commit 2b9d327
-------------------------------------------------------------------
Tue Oct 3 23:01:53 CEST 2017 - jeffm@suse.com
- Disable patches.suse/binutils2_26.patch for testing.
The issue addressed by this patch should be handled via upstream
commit 6d92bc9d483 (x86/build: Build compressed x86 kernels as PIE).
- commit f27997b
-------------------------------------------------------------------
Tue Oct 3 22:37:09 CEST 2017 - neilb@suse.com
- Delete
patches.fixes/0001-Revert-SUNRPC-xs_sock_mark_closed-does-not-need-to-t.patch.
Not needed, bug was fixed some other way since that patch
was created.
- commit d55ee70
-------------------------------------------------------------------
Tue Oct 3 17:04:49 CEST 2017 - mgorman@suse.de
- Delete patches.suse/connector-read-mostly.
- commit 8ae100a
-------------------------------------------------------------------
Tue Oct 3 15:21:36 CEST 2017 - jeffm@suse.com
- series.conf: remove commented out lines for removed patches
- commit 7ea9bcc
-------------------------------------------------------------------
Tue Oct 3 14:44:32 CEST 2017 - jeffm@suse.com
- Delete patches.arch/arm-refresh-mach-types.diff.
It was marked for refresh in 12/2016 and hasn't been updated.
- commit 8e357d7
-------------------------------------------------------------------
Mon Oct 2 18:28:19 CEST 2017 - jeffm@suse.com
- Remove s390 message catalog patches.
- Delete patches.arch/kmsg-fix-parameter-limitations.
- Delete patches.arch/s390-message-catalog.diff.
- commit 865e88d
-------------------------------------------------------------------
Mon Oct 2 17:02:41 CEST 2017 - jeffm@suse.com
- Refresh patches.suse/dm-mpath-accept-failed-paths.
- commit 04a0a7a
-------------------------------------------------------------------
Mon Oct 2 16:48:12 CEST 2017 - jeffm@suse.com
- Moved powerpc-Blacklist-GCC-5.4-6.1-and-6.2.patch to patches.rpmify.
It's a compiler blacklist addition and should be applied to vanilla too.
- commit e34eae8
-------------------------------------------------------------------
Mon Oct 2 16:36:02 CEST 2017 - jeffm@suse.com
- Update to 4.14-rc3.
- Eliminated 2 patches.
- Config changes:
- Crypto:
- Crypto changes brought by Kconfig changes:
- CONFIG_CRYPTO_GHASH=y (Kconfig dependency change)
- CONFIG_CRYPTO_GCM=y (Kconfig dependency change)
- armv7hl:
-DRM_SUN4I_HDMI_CEC=y
-CONFIG_CEC_PIN=y (dependency)
- s390x/zfcpdump:
- Crypto changes brought by Kconfig changes, consistent with other configs:
- CRYPTO_MANAGER_DISABLE_TESTS=n
- CRYPTO_DRBG_HASH=y
- CRYPTO_DRBG_CTR=y
- Lots of dependencies
- commit 37f329b
-------------------------------------------------------------------
Mon Oct 2 13:07:17 CEST 2017 - jslaby@suse.cz
- platform/x86: fujitsu-laptop: Don't oops when FUJ02E3 is not
presnt (bnc#1058814).
- commit 80338f6
-------------------------------------------------------------------
Mon Oct 2 10:29:24 CEST 2017 - jslaby@suse.cz
- ORC crypto patches: Update upstream status.
- commit 01974c6
-------------------------------------------------------------------
Mon Oct 2 10:19:17 CEST 2017 - jslaby@suse.cz
- Refresh
patches.suse/0001-objtool-Don-t-report-end-of-section-error-after-an-e.patch.
- Refresh
patches.suse/0002-x86-head-Remove-confusing-comment.patch.
- Refresh
patches.suse/0003-x86-head-Remove-unused-bad_address-code.patch.
- Refresh
patches.suse/0004-x86-head-Fix-head-ELF-function-annotations.patch.
- Refresh
patches.suse/0005-x86-boot-Annotate-verify_cpu-as-a-callable-function.patch.
- Refresh
patches.suse/0006-x86-xen-Fix-xen-head-ELF-annotations.patch.
- Refresh
patches.suse/0007-x86-xen-Add-unwind-hint-annotations.patch.
- Refresh
patches.suse/0008-x86-head-Add-unwind-hint-annotations.patch.
- Delete
patches.suse/0002-dwarf-do-not-throw-away-unwind-info.patch.
Update upstream status and drop the dwarf remainder.
- commit c3e0cbe
-------------------------------------------------------------------
Thu Sep 28 11:33:26 CEST 2017 - jeffm@suse.com
- Update to 4.14-rc2.
- Eliminated 21 patches.
- commit b61ed0c
-------------------------------------------------------------------
Wed Sep 27 16:18:58 CEST 2017 - jslaby@suse.cz
- Linux 4.13.4 (bnc#1012628).
- orangefs: Don't clear SGID when inheriting ACLs (bnc#1012628).
- <linux/uaccess.h>: Fix copy_in_user() declaration (bnc#1012628).
- IB/hfi1: Revert egress pkey check enforcement (bnc#1012628).
- IB/{qib, hfi1}: Avoid flow control testing for RDMA write
operation (bnc#1012628).
- IB/mlx5: Fix cached MR allocation flow (bnc#1012628).
- srcu: Provide ordering for CPU not involved in grace period
(bnc#1012628).
- smp/hotplug: Handle removal correctly in cpuhp_store_callbacks()
(bnc#1012628).
- Input: xpad - validate USB endpoint type during probe
(bnc#1012628).
- drm/amdgpu: read reg in each iterator of psp_wait_for loop
(bnc#1012628).
- tty: improve tty_insert_flip_char() fast path (bnc#1012628).
- tty: improve tty_insert_flip_char() slow path (bnc#1012628).
- tty: fix __tty_insert_flip_char regression (bnc#1012628).
- pinctrl: samsung: Fix invalid register offset used for
Exynos5433 external interrupts (bnc#1012628).
- pinctrl: samsung: Fix NULL pointer exception on external
interrupts on S3C24xx (bnc#1012628).
- pinctrl/amd: save pin registers over suspend/resume
(bnc#1012628).
- Input: i8042 - add Gigabyte P57 to the keyboard reset table
(bnc#1012628).
- MIPS: math-emu: <MAX|MAXA|MIN|MINA>.<D|S>: Fix quiet NaN
propagation (bnc#1012628).
- MIPS: math-emu: <MAX|MAXA|MIN|MINA>.<D|S>: Fix cases of both
inputs zero (bnc#1012628).
- MIPS: math-emu: <MAX|MIN>.<D|S>: Fix cases of both inputs
negative (bnc#1012628).
- MIPS: math-emu: <MAXA|MINA>.<D|S>: Fix cases of input values
with opposite signs (bnc#1012628).
- MIPS: math-emu: <MAXA|MINA>.<D|S>: Fix cases of both infinite
inputs (bnc#1012628).
- MIPS: math-emu: MINA.<D|S>: Fix some cases of infinity and
zero inputs (bnc#1012628).
- MIPS: math-emu: <MADDF|MSUBF>.<D|S>: Fix NaN propagation
(bnc#1012628).
- MIPS: math-emu: <MADDF|MSUBF>.<D|S>: Fix some cases of infinite
inputs (bnc#1012628).
- MIPS: math-emu: <MADDF|MSUBF>.<D|S>: Fix some cases of zero
inputs (bnc#1012628).
- MIPS: math-emu: <MADDF|MSUBF>.<D|S>: Clean up "maddf_flags"
enumeration (bnc#1012628).
- MIPS: math-emu: <MADDF|MSUBF>.S: Fix accuracy (32-bit case)
(bnc#1012628).
- MIPS: math-emu: <MADDF|MSUBF>.D: Fix accuracy (64-bit case)
(bnc#1012628).
- docs: disable KASLR when debugging kernel (bnc#1012628).
- crypto: ccp - Fix XTS-AES-128 support on v5 CCPs (bnc#1012628).
- crypto: scompress - don't sleep with preemption disabled
(bnc#1012628).
- crypto: caam/qi - fix typo in authenc alg driver name
(bnc#1012628).
- crypto: caam/qi - properly set IV after {en,de}crypt
(bnc#1012628).
- crypto: AF_ALG - remove SGL terminator indicator when chaining
(bnc#1012628).
- regulator: cpcap: Fix standby mode (bnc#1012628).
- wcn36xx: Introduce mutual exclusion of fw configuration
(bnc#1012628).
- ext4: in ext4_seek_{hole,data}, return -ENXIO for negative
offsets (bnc#1012628).
- ext4: fix incorrect quotaoff if the quota feature is enabled
(bnc#1012628).
- ext4: fix quota inconsistency during orphan cleanup for
read-only mounts (bnc#1012628).
- cxl: Fix driver use count (bnc#1012628).
- powerpc/powernv/npu: Move tlb flush before launching ATSD
(bnc#1012628).
- powerpc/pseries: Don't attempt to acquire drc during memory
hot add for assigned lmbs (bnc#1012628).
- powerpc: Fix DAR reporting when alignment handler faults
(bnc#1012628).
- block: Relax a check in blk_start_queue() (bnc#1012628).
- block: directly insert blk-mq request from
blk_insert_cloned_request() (bnc#1012628).
- md/bitmap: copy correct data for bitmap super (bnc#1012628).
- md/bitmap: disable bitmap_resize for file-backed bitmaps
(bnc#1012628).
- skd: Avoid that module unloading triggers a use-after-free
(bnc#1012628).
- skd: Submit requests to firmware before triggering the doorbell
(bnc#1012628).
- scsi: zfcp: fix queuecommand for scsi_eh commands when DIX
enabled (bnc#1012628).
- scsi: zfcp: add handling for FCP_RESID_OVER to the fcp ingress
path (bnc#1012628).
- scsi: zfcp: fix capping of unsuccessful GPN_FT SAN response
trace records (bnc#1012628).
- scsi: zfcp: fix passing fsf_req to SCSI trace on TMF to
correlate with HBA (bnc#1012628).
- scsi: zfcp: fix missing trace records for early returns in
TMF eh handlers (bnc#1012628).
- scsi: zfcp: fix payload with full FCP_RSP IU in SCSI trace
records (bnc#1012628).
- scsi: zfcp: trace HBA FSF response by default on dismiss or
timedout late response (bnc#1012628).
- scsi: zfcp: trace high part of "new" 64 bit SCSI LUN
(bnc#1012628).
- scsi: qedi: off by one in qedi_get_cmd_from_tid() (bnc#1012628).
- scsi: aacraid: Fix command send race condition (bnc#1012628).
- scsi: megaraid_sas: mismatch of allocated MFI frame size and
length exposed in MFI MPT pass through command (bnc#1012628).
- scsi: megaraid_sas: set minimum value of resetwaittime to be
1 secs (bnc#1012628).
- scsi: megaraid_sas: Check valid aen class range to avoid kernel
panic (bnc#1012628).
- scsi: megaraid_sas: Return pended IOCTLs with cmd_status
MFI_STAT_WRONG_STATE in case adapter is dead (bnc#1012628).
- scsi: storvsc: fix memory leak on ring buffer busy
(bnc#1012628).
- scsi: sg: factor out sg_fill_request_table() (bnc#1012628).
- scsi: sg: fixup infoleak when using SG_GET_REQUEST_TABLE
(bnc#1012628).
- scsi: qla2xxx: Update fw_started flags at qpair creation
(bnc#1012628).
- scsi: qla2xxx: Correction to vha->vref_count timeout
(bnc#1012628).
- scsi: qla2xxx: Fix target multiqueue configuration
(bnc#1012628).
- scsi: qla2xxx: Use BIT_6 to acquire FAWWPN from switch
(bnc#1012628).
- scsi: qla2xxx: Use fabric name for Get Port Speed command
(bnc#1012628).
- mailbox: bcm-flexrm-mailbox: Fix mask used in
CMPL_START_ADDR_VALUE() (bnc#1012628).
- ftrace: Fix debug preempt config name in
stack_tracer_{en,dis}able (bnc#1012628).
- ftrace: Fix selftest goto location on error (bnc#1012628).
- ftrace: Fix memleak when unregistering dynamic ops when tracing
disabled (bnc#1012628).
- tracing: Add barrier to trace_printk() buffer nesting
modification (bnc#1012628).
- tracing: Fix clear of RECORDED_TGID flag when disabling trace
event (bnc#1012628).
- tracing: Apply trace_clock changes to instance max buffer
(bnc#1012628).
- ARC: Re-enable MMU upon Machine Check exception (bnc#1012628).
- PCI: shpchp: Enable bridge bus mastering if MSI is enabled
(bnc#1012628).
- PCI: pciehp: Report power fault only once until we clear it
(bnc#1012628).
- net/netfilter/nf_conntrack_core: Fix net_conntrack_lock()
(bnc#1012628).
- s390/mm: fix local TLB flushing vs. detach of an mm address
space (bnc#1012628).
- s390/mm: fix race on mm->context.flush_mm (bnc#1012628).
- media: v4l2-compat-ioctl32: Fix timespec conversion
(bnc#1012628).
- media: Revert "[media] lirc_dev: remove superfluous
get/put_device() calls" (bnc#1012628).
- media: venus: fix copy/paste error in return_buf_error
(bnc#1012628).
- media: uvcvideo: Prevent heap overflow when accessing mapped
controls (bnc#1012628).
- media: adv7180: add missing adv7180cp, adv7180st i2c device IDs
(bnc#1012628).
- PM / devfreq: Fix memory leak when fail to register device
(bnc#1012628).
- ALSA: seq: Cancel pending autoload work at unbinding device
(bnc#1012628).
- bcache: initialize dirty stripes in flash_dev_run()
(bnc#1012628).
- bcache: Fix leak of bdev reference (bnc#1012628).
- bcache: do not subtract sectors_to_gc for bypassed IO
(bnc#1012628).
- bcache: correct cache_dirty_target in __update_writeback_rate()
(bnc#1012628).
- bcache: Correct return value for sysfs attach errors
(bnc#1012628).
- bcache: fix sequential large write IO bypass (bnc#1012628).
- bcache: fix for gc and write-back race (bnc#1012628).
- bcache: fix bch_hprint crash and improve output (bnc#1012628).
- sched/cpuset/pm: Fix cpuset vs. suspend-resume bugs
(bnc#1012628).
- iwlwifi: add workaround to disable wide channels in 5GHz
(bnc#1012628).
- commit c028f76
-------------------------------------------------------------------
Wed Sep 27 14:07:55 CEST 2017 - jslaby@suse.cz
- usb: quirks: add delay init quirk for Corsair Strafe RGB
keyboard (bnc#1012628).
- USB: serial: option: add support for D-Link DWM-157 C1
(bnc#1012628).
- usb: Add device quirk for Logitech HD Pro Webcam C920-C
(bnc#1012628).
- usb:xhci:Fix regression when ATI chipsets detected
(bnc#1012628).
- USB: musb: fix external abort on suspend (bnc#1012628).
- ANDROID: binder: add padding to binder_fd_array_object
(bnc#1012628).
- ANDROID: binder: add hwbinder,vndbinder to BINDER_DEVICES
(bnc#1012628).
- USB: core: Avoid race of async_completed() w/ usbdev_release()
(bnc#1012628).
- staging/rts5208: fix incorrect shift to extract upper nybble
(bnc#1012628).
- staging: ccree: save ciphertext for CTS IV (bnc#1012628).
- staging: fsl-dpaa2/eth: fix off-by-one FD ctrl bitmaks
(bnc#1012628).
- iio: adc: ti-ads1015: fix incorrect data rate setting update
(bnc#1012628).
- iio: adc: ti-ads1015: fix scale information for ADS1115
(bnc#1012628).
- iio: adc: ti-ads1015: enable conversion when CONFIG_PM is not
set (bnc#1012628).
- iio: adc: ti-ads1015: avoid getting stale result after runtime
resume (bnc#1012628).
- iio: adc: ti-ads1015: don't return invalid value from buffer
setup callbacks (bnc#1012628).
- iio: adc: ti-ads1015: add adequate wait time to get correct
conversion (bnc#1012628).
- driver core: bus: Fix a potential double free (bnc#1012628).
- HID: wacom: Do not completely map WACOM_HID_WD_TOUCHRINGSTATUS
usage (bnc#1012628).
- binder: free memory on error (bnc#1012628).
- crypto: caam/qi - fix compilation with
CONFIG_DEBUG_FORCE_WEAK_PER_CPU=y (bnc#1012628).
- crypto: caam/qi - fix compilation with DEBUG enabled
(bnc#1012628).
- thunderbolt: Fix reset response_type (bnc#1012628).
- fpga: altera-hps2fpga: fix multiple init of l3_remap_lock
(bnc#1012628).
- intel_th: pci: Add Cannon Lake PCH-H support (bnc#1012628).
- intel_th: pci: Add Cannon Lake PCH-LP support (bnc#1012628).
- ath10k: fix memory leak in rx ring buffer allocation
(bnc#1012628).
- drm/vgem: Pin our pages for dmabuf exports (bnc#1012628).
- drm/ttm: Fix accounting error when fail to get pages for pool
(bnc#1012628).
- drm/dp/mst: Handle errors from
drm_atomic_get_private_obj_state() correctly (bnc#1012628).
- rtlwifi: rtl_pci_probe: Fix fail path of _rtl_pci_find_adapter
(bnc#1012628).
- Bluetooth: Add support of 13d3:3494 RTL8723BE device
(bnc#1012628).
- iwlwifi: pci: add new PCI ID for 7265D (bnc#1012628).
- dlm: avoid double-free on error path in
dlm_device_{register,unregister} (bnc#1012628).
- mwifiex: correct channel stat buffer overflows (bnc#1012628).
- MCB: add support for SC31 to mcb-lpc (bnc#1012628).
- s390/mm: avoid empty zero pages for KVM guests to avoid postcopy
hangs (bnc#1012628).
- drm/nouveau/pci/msi: disable MSI on big-endian platforms by
default (bnc#1012628).
- drm/nouveau: Fix error handling in nv50_disp_atomic_commit
(bnc#1012628).
- workqueue: Fix flag collision (bnc#1012628).
- ahci: don't use MSI for devices with the silly Intel NVMe
remapping scheme (bnc#1012628).
- cs5536: add support for IDE controller variant (bnc#1012628).
- scsi: sg: protect against races between mmap() and
SG_SET_RESERVED_SIZE (bnc#1012628).
- scsi: sg: recheck MMAP_IO request length with lock held
(bnc#1012628).
- of/device: Prevent buffer overflow in of_device_modalias()
(bnc#1012628).
- rtlwifi: Fix memory leak when firmware request fails
(bnc#1012628).
- rtlwifi: Fix fallback firmware loading (bnc#1012628).
- Linux 4.13.1 (bnc#1012628).
- mtd: nand: make Samsung SLC NAND usable again (bnc#1012628).
- mtd: nand: hynix: add support for 20nm NAND chips (bnc#1012628).
- mtd: nand: mxc: Fix mxc_v1 ooblayout (bnc#1012628).
- mtd: nand: qcom: fix read failure without complete bootchain
(bnc#1012628).
- mtd: nand: qcom: fix config error for BCH (bnc#1012628).
- nvme-fabrics: generate spec-compliant UUID NQNs (bnc#1012628).
- btrfs: resume qgroup rescan on rw remount (bnc#1012628).
- rtlwifi: btcoexist: Fix breakage of ant_sel for rtl8723be
(bnc#1012628).
- rtlwifi: btcoexist: Fix antenna selection code (bnc#1012628).
- radix-tree: must check __radix_tree_preload() return value
(bnc#1012628).
- brcmfmac: feature check for multi-scheduled scan fails on
bcm4345 devices (bnc#1012628).
- kselftests: timers: leap-a-day: Change default arguments to
help test runs (bnc#1012628).
- selftests: timers: Fix run_destructive_tests target to handle
skipped tests (bnc#1012628).
- selftests/x86/fsgsbase: Test selectors 1, 2, and 3
(bnc#1012628).
- mm: kvfree the swap cluster info if the swap file is
unsatisfactory (bnc#1012628).
- mm/swapfile.c: fix swapon frontswap_map memory leak on error
(bnc#1012628).
- mm/sparse.c: fix typo in online_mem_sections (bnc#1012628).
- mm/memory.c: fix mem_cgroup_oom_disable() call missing
(bnc#1012628).
- KVM: SVM: Limit PFERR_NESTED_GUEST_PAGE error_code check to
L1 guest (bnc#1012628).
- Revert "firmware: add sanity check on shutdown/suspend"
(bnc#1012628).
- rt2800: fix TX_PIN_CFG setting for non MT7620 chips (bnc#1012628
bnc#1055826).
- Bluetooth: Properly check L2CAP config option output buffer
length (bnc#1012628).
- ARM64: dts: marvell: armada-37xx: Fix GIC maintenance interrupt
(bnc#1012628).
- ARM: 8692/1: mm: abort uaccess retries upon fatal signal
(bnc#1012628).
- NFS: Fix 2 use after free issues in the I/O code (bnc#1012628).
- NFS: Sync the correct byte range during synchronous writes
(bnc#1012628).
- NFSv4: Fix up mirror allocation (bnc#1012628).
- xfs: XFS_IS_REALTIME_INODE() should be false if no rt device
present (bnc#1012628).
- Linux 4.13.2 (bnc#1012628).
- Revert "net: use lib/percpu_counter API for fragmentation mem
accounting" (bnc#1012628).
- Revert "net: fix percpu memory leaks" (bnc#1012628).
- gianfar: Fix Tx flow control deactivation (bnc#1012628).
- vhost_net: correctly check tx avail during rx busy polling
(bnc#1012628).
- ip6_gre: update mtu properly in ip6gre_err (bnc#1012628).
- udp: drop head states only when all skb references are gone
(bnc#1012628).
- ipv6: fix memory leak with multiple tables during netns
destruction (bnc#1012628).
- ipv6: fix typo in fib6_net_exit() (bnc#1012628).
- sctp: fix missing wake ups in some situations (bnc#1012628).
- tcp: fix a request socket leak (bnc#1012628).
- ip_tunnel: fix setting ttl and tos value in collect_md mode
(bnc#1012628).
- f2fs: let fill_super handle roll-forward errors (bnc#1012628).
- f2fs: check hot_data for roll-forward recovery (bnc#1012628).
- thunderbolt: Remove superfluous check (bnc#1012628).
- thunderbolt: Make key root-only accessible (bnc#1012628).
- thunderbolt: Allow clearing the key (bnc#1012628).
- x86/fsgsbase/64: Fully initialize FS and GS state in
start_thread_common (bnc#1012628).
- x86/fsgsbase/64: Report FSBASE and GSBASE correctly in core
dumps (bnc#1012628).
- x86/switch_to/64: Rewrite FS/GS switching yet again to fix
AMD CPUs (bnc#1012628).
- x86/mm, mm/hwpoison: Clear PRESENT bit for kernel 1:1 mappings
of poison pages (bnc#1012628).
- ovl: fix false positive ESTALE on lookup (bnc#1012628).
- fuse: allow server to run in different pid_ns (bnc#1012628).
- idr: remove WARN_ON_ONCE() when trying to replace negative ID
(bnc#1012628).
- libnvdimm, btt: check memory allocation failure (bnc#1012628).
- libnvdimm: fix integer overflow static analysis warning
(bnc#1012628).
- xfs: write unmount record for ro mounts (bnc#1012628).
- xfs: toggle readonly state around xfs_log_mount_finish
(bnc#1012628).
- xfs: Add infrastructure needed for error propagation during
buffer IO failure (bnc#1012628).
- xfs: Properly retry failed inode items in case of error during
buffer writeback (bnc#1012628).
- xfs: fix recovery failure when log record header wraps log end
(bnc#1012628).
- xfs: always verify the log tail during recovery (bnc#1012628).
- xfs: fix log recovery corruption error due to tail overwrite
(bnc#1012628).
- xfs: handle -EFSCORRUPTED during head/tail verification
(bnc#1012628).
- xfs: stop searching for free slots in an inode chunk when
there are none (bnc#1012628).
- xfs: evict all inodes involved with log redo item (bnc#1012628).
- xfs: check for race with xfs_reclaim_inode() in
xfs_ifree_cluster() (bnc#1012628).
- xfs: open-code xfs_buf_item_dirty() (bnc#1012628).
- xfs: remove unnecessary dirty bli format check for ordered bufs
(bnc#1012628).
- xfs: ordered buffer log items are never formatted (bnc#1012628).
- xfs: refactor buffer logging into buffer dirtying helper
(bnc#1012628).
- xfs: don't log dirty ranges for ordered buffers (bnc#1012628).
- xfs: skip bmbt block ino validation during owner change
(bnc#1012628).
- xfs: move bmbt owner change to last step of extent swap
(bnc#1012628).
- xfs: disallow marking previously dirty buffers as ordered
(bnc#1012628).
- xfs: relog dirty buffers during swapext bmbt owner change
(bnc#1012628).
- xfs: disable per-inode DAX flag (bnc#1012628).
- xfs: fix incorrect log_flushed on fsync (bnc#1012628).
- xfs: don't set v3 xflags for v2 inodes (bnc#1012628).
- xfs: open code end_buffer_async_write in
xfs_finish_page_writeback (bnc#1012628).
- xfs: use kmem_free to free return value of kmem_zalloc
(bnc#1012628).
- md/raid1/10: reset bio allocated from mempool (bnc#1012628).
- md/raid5: release/flush io in raid5_do_work() (bnc#1012628).
- xfs: fix compiler warnings (bnc#1012628).
- Linux 4.13.3 (bnc#1012628).
- Delete patches.kernel.org/patch-4.13.1.
- Delete patches.kernel.org/patch-4.13.1-2.
- Delete patches.kernel.org/patch-4.13.2-3.
Replace stable all-in-one patches by expanded patches.
- commit 8e0ee21
-------------------------------------------------------------------
Mon Sep 25 19:47:20 CEST 2017 - jslaby@suse.cz
- x86/asm: Fix inline asm call constraints for Clang
(bnc#1058115).
- objtool: Handle another GCC stack pointer adjustment bug
(bnc#1058115).
- x86/asm: Fix inline asm call constraints for Clang
(bnc#1058115).
- objtool: Handle another GCC stack pointer adjustment bug
(bnc#1058115).
- commit 8e680d0
-------------------------------------------------------------------
Mon Sep 25 17:13:28 CEST 2017 - jslaby@suse.cz
- scripts/stableids: generate one file per commit
- commit c82ad0e
-------------------------------------------------------------------
Mon Sep 25 14:37:55 CEST 2017 - mkubecek@suse.cz
- 8139too: revisit napi_complete_done() usage (bsc#1042208).
- commit 99343bb
-------------------------------------------------------------------
Sun Sep 24 21:20:35 CEST 2017 - msuchanek@suse.de
- rpm/kernel-binary.spec.in: only rewrite modules.dep if non-zero in size
(bsc#1056979).
- commit 75691fd
-------------------------------------------------------------------
Fri Sep 22 16:57:13 CEST 2017 - jslaby@suse.cz
- crypto: x86/blowfish - Fix RBP usage (bnc#1058115).
- crypto: x86/camellia - Fix RBP usage (bnc#1058115).
- crypto: x86/cast5 - Fix RBP usage (bnc#1058115).
- crypto: x86/cast6 - Fix RBP usage (bnc#1058115).
- crypto: x86/des3_ede - Fix RBP usage (bnc#1058115).
- crypto: x86/sha1-avx2 - Fix RBP usage (bnc#1058115).
- crypto: x86/sha1-ssse3 - Fix RBP usage (bnc#1058115).
- crypto: x86/sha256-avx - Fix RBP usage (bnc#1058115).
- crypto: x86/sha256-avx2 - Fix RBP usage (bnc#1058115).
- crypto: x86/sha256-ssse3 - Fix RBP usage (bnc#1058115).
- crypto: sha512-avx2 - Fix RBP usage (bnc#1058115).
- crypto: x86/twofish - Fix RBP usage (bnc#1058115).
Update upstream status.
- commit e890e3e
-------------------------------------------------------------------
Thu Sep 21 11:42:07 CEST 2017 - jeffm@suse.com
- drm/tegra: trace: Fix path to include (build fix).
- commit aecd9be
-------------------------------------------------------------------
Wed Sep 20 17:44:22 CEST 2017 - afaerber@suse.de
- config: armv7hl: Update to 4.14-rc1
- commit 9d284f8
-------------------------------------------------------------------
Wed Sep 20 17:29:24 CEST 2017 - afaerber@suse.de
- config: armv6hl: Update to 4.14-rc1
- commit 0c2764f
-------------------------------------------------------------------
Wed Sep 20 17:12:26 CEST 2017 - afaerber@suse.de
- config: arm64: Update to 4.14-rc1
- commit d6909a3
-------------------------------------------------------------------
Wed Sep 20 16:34:08 CEST 2017 - jeffm@suse.com
- Revert "KVM: Don't accept obviously wrong gsi values via
KVM_IRQFD" (build fix).
- commit f436aa0
-------------------------------------------------------------------
Wed Sep 20 16:10:00 CEST 2017 - mcgrof@suse.com
- nl80211: check for the required netlink attributes presence
(bsc#1058410 CVE-2017-12153).
- commit 8afa5c8
-------------------------------------------------------------------
Wed Sep 20 16:04:44 CEST 2017 - mcgrof@suse.com
- nl80211: check for the required netlink attributes presence
(bsc#1058410 CVE-2017-12153).
- commit 6d93561
-------------------------------------------------------------------
Wed Sep 20 10:49:56 CEST 2017 - tiwai@suse.de
- qxl: fix pinning (bsc#1057241,bsc#1057123).
- qxl: fix primary surface handling (bsc#1057241,bsc#1057123).
- commit b3d7f33
-------------------------------------------------------------------
Wed Sep 20 08:43:24 CEST 2017 - jslaby@suse.cz
- Linux 4.13.3 (bnc#1012628).
- Refresh
patches.suse/0001-x86-entry-64-Refactor-IRQ-stacks-and-make-them-NMI-s.patch.
- commit 76ecbd3
-------------------------------------------------------------------
Wed Sep 20 00:54:10 CEST 2017 - lduncan@suse.com
- Fix incorrect backport of compatibility patch (bsc#1053501)
This fixes commit fe56e414dcf9, which incorrectly placed
the back-ported macros in libc-compat.h in the wrong place.
It is important for __UAPI_DEF_IOVEC to be defined
correctly with and without GLIBC being defined.
- commit 102e6e3
-------------------------------------------------------------------
Tue Sep 19 16:07:16 CEST 2017 - jslaby@suse.cz
- objtool: Fix object file corruption (bnc#1058115).
- objtool: Do not retrieve data from empty sections (bnc#1058115).
- objtool: Fix memory leak in elf_create_rela_section()
(bnc#1058115).
- objtool: Fix object file corruption (bnc#1058115).
- objtool: Do not retrieve data from empty sections (bnc#1058115).
- objtool: Fix memory leak in elf_create_rela_section()
(bnc#1058115).
- commit 5a29d69
-------------------------------------------------------------------
Tue Sep 19 12:52:31 CEST 2017 - jslaby@suse.cz
- x86/crypto: Fix RBP usage in twofish-avx-x86_64-asm_64.S
(bnc#1058115).
- x86/crypto: Fix RBP usage in sha512-avx2-asm.S (bnc#1058115).
- x86/crypto: Fix RBP usage in sha256-ssse3-asm.S (bnc#1058115).
- x86/crypto: Fix RBP usage in sha256-avx2-asm.S (bnc#1058115).
- x86/crypto: Fix RBP usage in sha256-avx-asm.S (bnc#1058115).
- x86/crypto: Fix RBP usage in sha1_ssse3_asm.S (bnc#1058115).
- x86/crypto: Fix RBP usage in sha1_avx2_x86_64_asm.S
(bnc#1058115).
- x86/crypto: Fix RBP usage in des3_ede-asm_64.S (bnc#1058115).
- x86/crypto: Fix RBP usage in cast6-avx-x86_64-asm_64.S
(bnc#1058115).
- x86/crypto: Fix RBP usage in cast5-avx-x86_64-asm_64.S
(bnc#1058115).
- x86/crypto: Fix RBP usage in camellia-x86_64-asm_64.S
(bnc#1058115).
- x86/crypto: Fix RBP usage in blowfish-x86_64-asm_64.S
(bnc#1058115).
- x86/crypto: Fix RBP usage in twofish-avx-x86_64-asm_64.S
(bnc#1058115).
- x86/crypto: Fix RBP usage in sha512-avx2-asm.S (bnc#1058115).
- x86/crypto: Fix RBP usage in sha256-ssse3-asm.S (bnc#1058115).
- x86/crypto: Fix RBP usage in sha256-avx2-asm.S (bnc#1058115).
- x86/crypto: Fix RBP usage in sha256-avx-asm.S (bnc#1058115).
- x86/crypto: Fix RBP usage in sha1_ssse3_asm.S (bnc#1058115).
- x86/crypto: Fix RBP usage in sha1_avx2_x86_64_asm.S
(bnc#1058115).
- x86/crypto: Fix RBP usage in des3_ede-asm_64.S (bnc#1058115).
- x86/crypto: Fix RBP usage in cast6-avx-x86_64-asm_64.S
(bnc#1058115).
- x86/crypto: Fix RBP usage in cast5-avx-x86_64-asm_64.S
(bnc#1058115).
- x86/crypto: Fix RBP usage in camellia-x86_64-asm_64.S
(bnc#1058115).
- x86/crypto: Fix RBP usage in blowfish-x86_64-asm_64.S
(bnc#1058115).
- commit 38f3021
-------------------------------------------------------------------
Tue Sep 19 10:18:55 CEST 2017 - jeffm@suse.com
- Update to 4.14-rc1.
- Eliminated 17 patches.
- ARM configs need updating.
- Config changes:
- General:
- HMM_MIRROR=n
- DEVICE_PRIVATE=n
- DEVICE_PUBLIC=n
- SQUASHFS_ZSTD=y
- ZRAM_WRITEBACK=y
- x86:
- INTEL_RDT=y (renamed option)
- XEN_PVCALLS_BACKEND=y
- X86_5LEVEL=n (will only boot on systems that support it)
- AMD_MEM_ENCRYPT=y
- AMD_MEM_ENCRYPT_ACTIVE_BY_DEFAULT=n
- ppc:
- PPC_MEMTRACE=y
- PPC_VAS=y
- s390:
- CMA_DEBUG=n
- CMA_DEBUGFS=n
- CMA_AREAS=7 (default)
- DMA_CMA=n
- VMCP_CMA_SIZE=4 (default)
- Netfilter:
- NFT_FIB_NETDEV
- Hyperv:
- HYPERV_VSOCKETS
- Network:
- NET_NSH
- BPF_STREAM_PARSER=y (build fix)
- BNXT_FLOWER_OFFLOAD=y
- HINIC
- MLX5_MPFS=y
- MLX5_ESWITCH=y
- RMNET=n
- ROCKCHIP_PHY
- WIL6210_DEBUGFS=n
- ATH10K_USB
- Bluetooth:
- BT_LEGACY_IOCTL=y (default)
- SPI:
- SPI_INTEL_SPI_PCI
- Misc:
- INPUT_PWM_VIBRA=m
- SERIO_GPIO_PS2=m
- PINCTRL_DENVERTON
- PINCTRL_LEWISBURG
- W1_SLAVE_DS2805
- BATTERY_BQ27XXX_HDQ=m
- BATTERY_MAX1721X
- SENSORS_IBM_CFFPS
- SENSORS_TPS53679
- CLOCK_THERMAL=y
- DEVFREQ_THERMAL=y
- MFD_BD9571MWV=n
- INTEL_SOC_PMIC_CHTWC=y
- MFD_TPS68470=n
- IR_GPIO_TX=n
- IR_PWM_TX=n
- DVB_DDBRIDGE
- DVB_DDBRIDGE_MSIENABLE=n
- TINYDRM_REPAPER=n
- TINYDRM_ST7586=n
- SND_SOC_CS43130=n
- SND_SOC_WM8524=n
- MMC_SPI
- LEDS_AS3645A=n
- LEDS_PCA955X_GPIO=y
- INFINIBAND_EXP_USER_ACCESS=y [?]
- CONFIG_ALTERA_MSGDMA
- R8822BE
- PI433=n
- CLK_HSDK=n
- EXTCON_USBC_CROS_EC
- DLN2_ADC=m
- LTC2471=n
- CCS811=n
- RESET_HSDK_V1=n
- FPGA_MGR_ALTERA_CVP=m
- FPGA_MGR_ALTERA_PS_SPI=m
- CRYPTO_DEV_SP_CCP=y
- I2C_CHT_WC=m
- RESET_ATTACK_MITIGATION=y
- commit 08ca987
-------------------------------------------------------------------
Tue Sep 19 08:45:19 CEST 2017 - jslaby@suse.cz
- Refresh
patches.suse/0001-objtool-Don-t-report-end-of-section-error-after-an-e.patch.
- Refresh
patches.suse/0002-x86-head-Remove-confusing-comment.patch.
- Refresh
patches.suse/0003-x86-head-Remove-unused-bad_address-code.patch.
- Refresh
patches.suse/0004-x86-head-Fix-head-ELF-function-annotations.patch.
- Refresh
patches.suse/0005-x86-boot-Annotate-verify_cpu-as-a-callable-function.patch.
- Refresh
patches.suse/0006-x86-xen-Fix-xen-head-ELF-annotations.patch.
- Refresh
patches.suse/0007-x86-xen-Add-unwind-hint-annotations.patch.
- Refresh
patches.suse/0008-x86-head-Add-unwind-hint-annotations.patch.
- Delete
patches.suse/0007-x86-xen-Add-unwind-hint-annotations-fix.patch.
Update to the submitted v2.
- commit 27de3c0
-------------------------------------------------------------------
Sun Sep 17 14:41:51 CEST 2017 - jdelvare@suse.de
- drm/amdgpu: revert tile table update for oland (boo#1027378,
boo#1039806, bko#194761).
- Delete
patches.fixes/drm-amdgpu-revert-update-tile-table-for-oland-hainan.patch.
- commit 51745cf
-------------------------------------------------------------------
Thu Sep 14 20:35:54 CEST 2017 - jslaby@suse.cz
- Linux 4.13.2 (bnc#1012628 bsc#1055826).
- Delete
patches.drivers/rt2800-fix-TX_PIN_CFG-setting-for-non-MT7620-chips.
- Delete
patches.fixes/Bluetooth-validate-output-buffer-length-for-config-r.patch.
- commit 96d9efa
-------------------------------------------------------------------
Wed Sep 13 20:50:54 CEST 2017 - tiwai@suse.de
- rpm/kernel-docs.spec.in: Fix a thinko for xmlto buildreq condition
- commit 0ef59d3
-------------------------------------------------------------------
Wed Sep 13 08:24:42 CEST 2017 - jslaby@suse.cz
- Bluetooth: validate output buffer length for config requests
and responses (bnc#1057389 CVE-2017-1000251).
- commit c0b7e1f
-------------------------------------------------------------------
Tue Sep 12 14:57:54 CEST 2017 - jslaby@suse.cz
- fix annotations of xen-head.S (bnc#1058115).
- commit d4c88a5
-------------------------------------------------------------------
Tue Sep 12 13:54:48 CEST 2017 - msuchanek@suse.de
- rpm/kernel-docs.spec.in: make unpack scripts executable
- commit 1ba3766
-------------------------------------------------------------------
Tue Sep 12 13:51:53 CEST 2017 - jslaby@suse.cz
- x86/head: Add unwind hint annotations (bnc#1058115).
- x86/xen: Add unwind hint annotations (bnc#1058115).
- x86/xen: Fix xen head ELF annotations (bnc#1058115).
- x86/boot: Annotate verify_cpu() as a callable function
(bnc#1058115).
- x86/head: Fix head ELF function annotations (bnc#1058115).
- x86/head: Remove unused 'bad_address' code (bnc#1058115).
- x86/head: Remove confusing comment (bnc#1058115).
- objtool: Don't report end of section error after an empty
unwind hint (bnc#1058115).
- commit 53af152
-------------------------------------------------------------------
Tue Sep 12 13:26:40 CEST 2017 - jslaby@suse.cz
- objtool: Assume unannotated UD2 instructions are dead ends
(bnc#1058115).
- objtool: Fix gcov check for older versions of GCC (bnc#1058115).
- objtool: Fix objtool fallthrough detection with function padding
(bnc#1058115).
- objtool: Fix validate_branch() return codes (bnc#1058115).
- objtool: Handle GCC stack pointer adjustment bug (bnc#1058115).
- x86/asm: Add ASM_UNREACHABLE (bnc#1058115).
- x86/asm: Fix UNWIND_HINT_REGS macro for older binutils
(bnc#1058115).
- x86/asm: Make objtool unreachable macros independent from GCC
version (bnc#1058115).
- objtool: Skip unreachable warnings for 'alt' instructions
(bnc#1058115).
- objtool: Track DRAP separately from callee-saved registers
(bnc#1058115).
- Refresh patches.suse/0001-x86-unwind-Add-the-ORC-unwinder.patch.
Take all ORC upstream patches. This will go to stable & SLE15 too.
- commit 831ca01
-------------------------------------------------------------------
Mon Sep 11 15:54:48 CEST 2017 - jslaby@suse.cz
- Refresh
patches.suse/0001-x86-entry-64-Refactor-IRQ-stacks-and-make-them-NMI-s.patch.
- Refresh patches.suse/0001-x86-unwind-Add-the-ORC-unwinder.patch.
- Refresh
patches.suse/0002-x86-entry-64-Initialize-the-top-of-the-IRQ-stack-bef.patch.
- Refresh
patches.suse/0002-x86-kconfig-Make-it-easier-to-switch-to-the-new-ORC-.patch.
- Refresh
patches.suse/0003-x86-dumpstack-Fix-occasionally-missing-registers.patch.
- Refresh
patches.suse/0003-x86-kconfig-Consolidate-unwinders-into-multiple-choi.patch.
- Refresh
patches.suse/0004-x86-dumpstack-Fix-interrupt-and-exception-stack-boun.patch.
- Refresh
patches.suse/0005-objtool-Add-ORC-unwind-table-generation.patch.
- Refresh
patches.suse/0006-objtool-x86-Add-facility-for-asm-code-to-provide-unw.patch.
- Refresh
patches.suse/0007-x86-entry-64-Add-unwind-hint-annotations.patch.
- Refresh
patches.suse/0008-x86-asm-Add-unwind-hint-annotations-to-sync_core.patch.
- Delete
patches.suse/0001-linkage-new-macros-for-assembler-symbols.patch.
- Delete
patches.suse/0003-DWARF-EH-frame-based-stack-unwinding.patch.
- Delete patches.suse/stack-unwind-disable-kasan.patch.
Update upstream status of ORC and drop already-disabled DWARF unwinder.
- commit 2e9b944
-------------------------------------------------------------------
Mon Sep 11 09:47:41 CEST 2017 - jslaby@suse.cz
- rpm/constraints.in: build ARM on at least 2 cpus
- commit b7edeaf
-------------------------------------------------------------------
Mon Sep 11 08:01:37 CEST 2017 - jslaby@suse.cz
- rpm/constraints.in: increase memory for kernel-syzkaller
And see if it helps. If so, push it to packaging...
- commit 7193e65
-------------------------------------------------------------------
Sun Sep 10 18:56:35 CEST 2017 - afaerber@suse.de
- config: arm64: Enable legacy instruction emulation (boo#1029158)
Needed for execution of older e.g. ARMv6 code.
- commit a4e05e8
-------------------------------------------------------------------
Sun Sep 10 17:13:16 CEST 2017 - afaerber@suse.de
- config: arm64: Enable ACPI_DOCK for consistency
- commit cec354f
-------------------------------------------------------------------
Sun Sep 10 17:12:20 CEST 2017 - afaerber@suse.de
- config: arm64: Enable some network options
- Marvell Armada 7K/8K Ethernet driver
- Microchip ENC28J60 and related SPI Ethernet drivers
- Micrel KS8851 SPI Ethernet driver
- MMIO MDIO mux driver
- commit cf926f4
-------------------------------------------------------------------
Sun Sep 10 09:41:36 CEST 2017 - jslaby@suse.cz
- rpm/constraints.in: set physical memory
Not memory.
- rpm/constraints.in: increase memory for kernel-syzkaller
And see if it helps. If so, push it to packaging...
- commit 0d725b5
-------------------------------------------------------------------
Sun Sep 10 08:45:43 CEST 2017 - jslaby@suse.cz
- Linux 4.13.1 (bnc#1012628).
- commit 8740849
-------------------------------------------------------------------
Fri Sep 8 13:19:18 CEST 2017 - jslaby@suse.cz
- rpm/kernel-binary.spec.in: package ftrace-mod.o on arm64
It is needed for building modules since 4.13:
CC [M] /suse/jslaby/a/aaa.o
Building modules, stage 2.
MODPOST 1 modules
CC /suse/jslaby/a/aaa.mod.o
LD [M] /suse/jslaby/a/aaa.ko
ld: cannot find ./arch/arm64/kernel/ftrace-mod.o: No such file or directory
...
- commit 07da115
-------------------------------------------------------------------
Thu Sep 7 18:00:00 CEST 2017 - tiwai@suse.de
- rpm/kernel-docs.spec.in: Expand kernel tree directly from sources (bsc#1057199)
- commit a61b4d9
-------------------------------------------------------------------
Thu Sep 7 09:47:10 CEST 2017 - jslaby@suse.cz
- Linux 4.12.11 (bnc#1012628).
- commit bf7fb08
-------------------------------------------------------------------
Wed Sep 6 23:51:29 CEST 2017 - mcgrof@suse.com
- supported.conf: add test_syctl to new kselftests-kmp package FATE#323821
As per FATE#323821 we will require new FATE requests per each
new selftest driver. We don't want to support these module on
production runs but we do want to support them for QA / testing
uses. The compromise is to package them into its own package,
this will be the kselftests-kmp package.
Selftests can also be used as proof of concept vehicle for issues
by customers or ourselves.
Vanilla kernels do not get test_sysctl given that driver was
using built-in defaults, this also means we cannot run sefltests
on config/s390x/zfcpdump which does not enable modules.
Likeweise, since we had to *change* the kernel for test_syctl, it
it also means we can't test test_syctl with vanilla kernels. It
should be possible with other selftests drivers if they are
present in vanilla kernels though.
- commit ae8069f
-------------------------------------------------------------------
Wed Sep 6 20:33:16 CEST 2017 - tiwai@suse.de
- rpm/kernel-docs.spec.in: Re-add xmlto buildreq conditionally for SLE15 & co
- commit 259b49e
-------------------------------------------------------------------
Wed Sep 6 13:18:40 CEST 2017 - mchandras@suse.de
- rpm/group-source-files.pl: Add arch/*/tools/* files to the devel package
Commit b71c9ffb1405 ("powerpc: Add arch/powerpc/tools directory")
introduced in v4.12-rc1 release, moved the scripts into the tools
directory. However, this location is not considered for the kernel devel
package and the following error occurs when building a kmp for powerpc
make[2]: /usr/src/linux-4.12.9-1/arch/powerpc/tools/gcc-check-mprofile-kernel.sh: Command not found
- commit 5f1ff53
-------------------------------------------------------------------
Tue Sep 5 20:41:12 CEST 2017 - jeffm@suse.com
- Update to 4.13-final.
- commit 3fdcb17
-------------------------------------------------------------------
Thu Aug 31 17:43:44 CEST 2017 - tiwai@suse.de
- rt2800: fix TX_PIN_CFG setting for non MT7620 chips
(bsc#1055826).
- commit 8116757
-------------------------------------------------------------------
Thu Aug 31 16:24:01 CEST 2017 - jeffm@suse.com
- Update to 4.13-rc7.
- Eliminate 2 patches.
- commit dd00417
-------------------------------------------------------------------
Thu Aug 31 15:35:10 CEST 2017 - jthumshirn@suse.de
- scsi: qla2xxx: Fix an integer overflow in sysfs code
(bsc#1056588, CVE-2017-14051).
- commit 4fafb75
-------------------------------------------------------------------
Thu Aug 31 15:32:51 CEST 2017 - jthumshirn@suse.de
- scsi: qla2xxx: Fix an integer overflow in sysfs code
(bsc#1056588, CVE-2017-14051).
- commit aacb454
-------------------------------------------------------------------
Wed Aug 30 22:10:03 CEST 2017 - jslaby@suse.cz
- Linux 4.12.10 (bnc#1012628 bnc#1014351 bnc#1048935 bsc#1020657
bsc#1050582).
- Delete
patches.drivers/ALSA-hda-Add-stereo-mic-quirk-for-Lenovo-G50-70-17aa.
- Delete
patches.fixes/Bluetooth-hidp-fix-possible-might-sleep-error-in-hid.patch.
- Delete
patches.fixes/Input-ALPS-Fix-Alps-Touchpad-two-finger-scroll-does-.
- Delete
patches.fixes/netfilter-expect-fix-crash-when-putting-uninited-exp.patch.
- commit 030062c
-------------------------------------------------------------------
Tue Aug 29 16:03:12 CEST 2017 - tiwai@suse.de
- Refresh patches.suse/iwlwifi-expose-default-fallback-ucode-api.
The latest firmware matches for iwl7xxx/3168 series, so dropped the changes.
- commit 1447668
-------------------------------------------------------------------
Tue Aug 29 12:02:37 CEST 2017 - tiwai@suse.de
- Update config files: enable CONFIG_SPI_PXA2XX for MacBook (bsc#1055817)
- commit 3ce18e9
-------------------------------------------------------------------
Mon Aug 28 10:58:58 CEST 2017 - tiwai@suse.de
- Refresh patch tags of patches.fixes/Input-ALPS-Fix-Alps-Touchpad-two-finger-scroll-does-
- commit 0b3ef4c
-------------------------------------------------------------------
Mon Aug 28 10:48:06 CEST 2017 - tiwai@suse.de
- rpm/kernel-docs.spec.in: Disable PDF build again
... due to the breakage with the recent TeXLive 2017.
Also add the missing dependency on texlive-varwidth.
- commit 9f682b5
-------------------------------------------------------------------
Fri Aug 25 09:00:32 CEST 2017 - jslaby@suse.cz
- Linux 4.12.9 (bnc#1012628 bsc#1052580 bnc#1049599).
- Delete
patches.drivers/ALSA-usb-audio-Apply-sample-rate-quirk-to-Sennheiser.
- Delete patches.fixes/debug-fix-WARN_ON_ONCE-for-modules.patch.
- Update config files.
- commit f2ab6ba
-------------------------------------------------------------------
Wed Aug 23 09:39:35 CEST 2017 - tiwai@suse.de
- ALSA: hda - Add stereo mic quirk for Lenovo G50-70 (17aa:3978)
(bsc#1020657).
- commit 3f6a0b2
-------------------------------------------------------------------
Wed Aug 23 09:36:44 CEST 2017 - tiwai@suse.de
- ALSA: hda - Add stereo mic quirk for Lenovo G50-70 (17aa:3978)
(bsc#1020657).
- commit d300598
-------------------------------------------------------------------
Wed Aug 23 09:11:31 CEST 2017 - jslaby@suse.cz
- Bluetooth: hidp: fix possible might sleep error in
hidp_session_thread (bnc#1014351).
- commit fa3a4f0
-------------------------------------------------------------------
Tue Aug 22 20:13:09 CEST 2017 - tiwai@suse.de
- ALSA: ice1712: Add support for STAudio ADCIII (bsc#1048934).
- commit 99a99ef
-------------------------------------------------------------------
Tue Aug 22 20:12:04 CEST 2017 - tiwai@suse.de
- ALSA: ice1712: Add support for STAudio ADCIII (bsc#1048934).
- commit 53a20a8
-------------------------------------------------------------------
Tue Aug 22 19:55:58 CEST 2017 - tiwai@suse.de
- ALSA: hda - Implement mic-mute LED mode enum (bsc#1055013).
- commit a3c362f
-------------------------------------------------------------------
Tue Aug 22 19:53:54 CEST 2017 - tiwai@suse.de
- ALSA: hda - Implement mic-mute LED mode enum (bsc#1055013).
- commit b870486
-------------------------------------------------------------------
Mon Aug 21 04:15:39 CEST 2017 - jeffm@suse.com
- Update to 4.13-rc6.
- commit ee50b89
-------------------------------------------------------------------
Fri Aug 18 16:14:38 CEST 2017 - msuchanek@suse.de
- Do not ship firmware (bsc#1054239).
Pull firmware from kernel-firmware instead.
- commit 0b0b5c9
-------------------------------------------------------------------
Thu Aug 17 14:56:01 CEST 2017 - jeffm@suse.com
- supported.conf: clear mistaken external support flag for cifs.ko (bsc#1053802).
- commit 75e287e
-------------------------------------------------------------------
Thu Aug 17 14:55:42 CEST 2017 - jeffm@suse.com
- supported.conf: mark reiserfs unsupported (FATE#323394).
ReiserFS is not supported in SLE15. ReiserFS file systems must be
transferred or converted before installing.
- commit 8a547c5
-------------------------------------------------------------------
Thu Aug 17 14:55:28 CEST 2017 - jeffm@suse.com
- Update to 4.13-rc5.
- commit c3fb699
-------------------------------------------------------------------
Thu Aug 17 07:30:12 CEST 2017 - jslaby@suse.cz
- Linux 4.12.8 (bnc#1012628).
- commit 4d7933a
-------------------------------------------------------------------
Tue Aug 15 13:41:13 CEST 2017 - hare@suse.de
- Delete patches.fixes/scsi-dh-queuedata-accessors.
- commit ee998ab
-------------------------------------------------------------------
Tue Aug 15 13:34:33 CEST 2017 - hare@suse.de
- Delete patches.suse/dm-mpath-detach-existing-hardware-handler.
- commit a8291cd
-------------------------------------------------------------------
Tue Aug 15 13:33:10 CEST 2017 - hare@suse.de
- Delete patches.suse/no-partition-scan (FATE#323406)
- commit e1cccca
-------------------------------------------------------------------
Tue Aug 15 09:17:14 CEST 2017 - tiwai@suse.de
- ALSA: usb-audio: Apply sample rate quirk to Sennheiser headset
(bsc#1052580).
- commit a8251af
-------------------------------------------------------------------
Sun Aug 13 10:27:21 CEST 2017 - jslaby@suse.cz
- Linux 4.12.7 (bnc#1012628).
- commit 7dae241
-------------------------------------------------------------------
Sun Aug 13 09:33:59 CEST 2017 - jslaby@suse.cz
- Refresh
patches.fixes/netfilter-expect-fix-crash-when-putting-uninited-exp.patch.
Update upstream status.
- commit cdb9f49
-------------------------------------------------------------------
Sat Aug 12 10:18:48 CEST 2017 - jslaby@suse.cz
- Linux 4.12.6 (CVE-2017-7542 CVE-2017-8831 bnc#1012628
bsc#1037994 bsc#1049882).
- Delete
patches.fixes/ipv6-avoid-overflow-of-offset-in-ip6_find_1stfragopt.patch.
- Delete
patches.fixes/media-saa7164-fix-double-fetch-PCIe-access-condition.
- commit 29b7412
-------------------------------------------------------------------
Sat Aug 12 01:19:37 CEST 2017 - lduncan@suse.com
- uapi: add a compatibility layer between linux/uio.h and glibc
(bsc#1053501).
- commit fe56e41
-------------------------------------------------------------------
Thu Aug 10 17:07:43 CEST 2017 - msuchanek@suse.de
- Refresh vanilla config.
- commit ae18928
-------------------------------------------------------------------
Thu Aug 10 15:54:22 CEST 2017 - msuchanek@suse.de
- rpm/modules.fips include module list from dracut
- commit f70bab5
-------------------------------------------------------------------
Wed Aug 9 10:35:04 CEST 2017 - ohering@suse.de
- Delete stale patches.fixes/scsi-ibmvscsi-module_alias.patch.
- Delete stale patches.suse/suse-ppc64-branding.
- commit 1c8934b
-------------------------------------------------------------------
Wed Aug 9 09:49:34 CEST 2017 - tiwai@suse.de
- [media] saa7164: fix double fetch PCIe access condition
(CVE-2017-8831 bsc#1037994).
- commit 4d38c27
-------------------------------------------------------------------
Tue Aug 8 05:07:56 CEST 2017 - jeffm@suse.com
- Update to 4.13-rc4.
- commit 94b098f
-------------------------------------------------------------------
Mon Aug 7 08:29:23 CEST 2017 - jslaby@suse.cz
- Linux 4.12.5 (bnc#1012628 bsc#1049483 bsc#1049599).
- Delete patches.fixes/dentry-name-snapshots.patch.
- Delete
patches.suse/0001-md-remove-idx-from-struct-resync_pages.patch.
- commit e6109ef
-------------------------------------------------------------------
Wed Aug 2 15:44:30 CEST 2017 - tiwai@suse.de
- rpm/kernel-docs.spec.in: Fix package list and enable building PDFs
Finally it works! Added some missing pieces (ImageMagick, some texlive
subpkgs) in addition to use explicitly python3-Sphinx stuff.
- commit c117a0c
-------------------------------------------------------------------
Tue Aug 1 13:26:15 CEST 2017 - agraf@suse.de
- Clean up upstreamed patches list. Annotate the remaining ones
with their current status.
- Delete patches.arch/arm-exynos-dwmmc-modalias.patch.
- Delete
patches.arch/arm64-Relocate-screen_info.lfb_base-on-PCI-BAR-alloc.patch.
- commit 3f654d5
-------------------------------------------------------------------
Mon Jul 31 15:43:01 CEST 2017 - jeffm@suse.com
- Update to 4.13-rc3.
- commit 4ef483a
-------------------------------------------------------------------
Fri Jul 28 07:45:21 CEST 2017 - jslaby@suse.cz
- Update config files.
Fix vanillas after the orc update.
- commit 2a27bf2
-------------------------------------------------------------------
Fri Jul 28 07:41:29 CEST 2017 - jslaby@suse.cz
- Linux 4.12.4 (bnc#1012628).
- commit f2e2c0a
-------------------------------------------------------------------
Thu Jul 27 14:57:47 CEST 2017 - mkubecek@suse.cz
- ipv6: avoid overflow of offset in ip6_find_1stfragopt
(CVE-2017-7542 bsc#1049882).
- commit 00c5961
-------------------------------------------------------------------
Thu Jul 27 09:12:00 CEST 2017 - jslaby@suse.cz
- Refresh
patches.suse/0001-md-remove-idx-from-struct-resync_pages.patch.
- commit b11fd5b
-------------------------------------------------------------------
Thu Jul 27 07:46:03 CEST 2017 - jslaby@suse.cz
- objtool: Fix sibling call detection logic (bnc#1018348).
- x86/kconfig: Make it easier to switch to the new ORC unwinder
(bnc#1018348).
- x86/kconfig: Consolidate unwinders into multiple choice
selection (bnc#1018348).
- Refresh
- Refresh patches.suse/0001-x86-unwind-Add-the-ORC-unwinder.patch.
- Refresh
patches.suse/0002-dwarf-do-not-throw-away-unwind-info.patch.
- Refresh
patches.suse/0002-objtool-x86-Add-several-functions-and-files-to-the-o.patch.
- Refresh
patches.suse/0002-x86-entry-64-Initialize-the-top-of-the-IRQ-stack-bef.patch.
- Refresh
patches.suse/0002-x86-kconfig-Make-it-easier-to-switch-to-the-new-ORC-.patch.
- Refresh
patches.suse/0003-objtool-Implement-stack-validation-2.0.patch.
- Refresh
patches.suse/0003-x86-kconfig-Consolidate-unwinders-into-multiple-choi.patch.
- Refresh
patches.suse/0007-x86-entry-64-Add-unwind-hint-annotations.patch.
- Refresh
patches.suse/0008-x86-asm-Add-unwind-hint-annotations-to-sync_core.patch.
- Update config files.
Update to version from -tip. ORC is in -tip completely. So make sure
we use the upstream version.
- x86/kconfig: Make it easier to switch to the new ORC unwinder
(bnc#1018348).
- x86/kconfig: Consolidate unwinders into multiple choice
selection (bnc#1018348).
- Update config files.
- Refresh
patches.suse/0001-x86-entry-64-Refactor-IRQ-stacks-and-make-them-NMI-s.patch.
- Refresh
patches.suse/0002-dwarf-do-not-throw-away-unwind-info.patch.
- Refresh
patches.suse/0002-x86-entry-64-Initialize-the-top-of-the-IRQ-stack-bef.patch.
Update to version from -tip. ORC is in -tip completely. So make sure
we use the upstream version.
- commit 34dd0f5
-------------------------------------------------------------------
Wed Jul 26 21:57:19 CEST 2017 - mcgrof@suse.com
- Refresh
patches.suse/0001-mm-kmemleak-slightly-reduce-the-size-of-some-structu.patch.
- Refresh
patches.suse/0002-mm-kmemleak-factor-object-reference-updating-out-of-.patch.
- Refresh
patches.suse/0003-mm-kmemleak-treat-vm_struct-as-alternative-reference.patch.
- commit f7ebe40
-------------------------------------------------------------------
Wed Jul 26 21:46:06 CEST 2017 - mcgrof@suse.com
- Refresh
patches.suse/0001-mm-kmemleak-slightly-reduce-the-size-of-some-structu.patch.
- Refresh
patches.suse/0002-mm-kmemleak-factor-object-reference-updating-out-of-.patch.
- Refresh
patches.suse/0003-mm-kmemleak-treat-vm_struct-as-alternative-reference.patch.
- commit eb41516
-------------------------------------------------------------------
Wed Jul 26 19:55:58 CEST 2017 - jeffm@suse.com
- Update to 4.13-rc2.
- Eliminated 1 patch.
- Config changes:
- DRM_VBOXVIDEO=m
- commit b545b87
-------------------------------------------------------------------
Wed Jul 26 09:04:59 CEST 2017 - tiwai@suse.de
- Input: ALPS - Fix Alps Touchpad two finger scroll does not
work on right side (bsc#1050582).
- commit 474729b
-------------------------------------------------------------------
Sun Jul 23 19:42:01 CEST 2017 - stefan.bruens@rwth-aachen.de
- config: arm64: Enable RTC and SPI on Allwinner A64/H5
A64 and H5 share the RTC and SPI functional blocks with older SoCs,
enable the corresponding drivers.
- commit b5bf58a
-------------------------------------------------------------------
Fri Jul 21 20:37:00 CEST 2017 - colyli@suse.de
- md: remove 'idx' from 'struct resync_pages' (bsc#1049599).
- commit 515f14e
-------------------------------------------------------------------
Fri Jul 21 11:07:14 CEST 2017 - jslaby@suse.cz
- Linux 4.12.3 (CVE-2017-7541 bnc#1012628 bsc#1049645).
- Refresh patches.suse/pstore-backend-autoaction.
- Delete
patches.fixes/brcmfmac-fix-possible-buffer-overflow-in-brcmf_cfg80.
- commit 409edbf
-------------------------------------------------------------------
Thu Jul 20 18:22:23 CEST 2017 - jack@suse.cz
- dentry name snapshots (bsc#1049483).
- commit 76ea0ca
-------------------------------------------------------------------
Thu Jul 20 14:40:22 CEST 2017 - jslaby@suse.cz
- debug: fix WARN_ON_ONCE() for modules (bnc#1049599).
- commit b1e9bab
-------------------------------------------------------------------
Thu Jul 20 12:50:11 CEST 2017 - tiwai@suse.de
- brcmfmac: fix possible buffer overflow in
brcmf_cfg80211_mgmt_tx() (CVE-2017-7541,bsc#1049645).
- commit 823a643
-------------------------------------------------------------------
Wed Jul 19 22:39:56 CEST 2017 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: find-debuginfo.sh should not touch build-id
This needs rpm-4.14+ (bsc#964063).
- commit f622d60
-------------------------------------------------------------------
Tue Jul 18 16:16:05 CEST 2017 - afaerber@suse.de
- config: armv7hl: Update to v4.13-rc1
- commit 1d72b01
-------------------------------------------------------------------
Tue Jul 18 15:58:06 CEST 2017 - afaerber@suse.de
- config: armv6hl: Update to v4.13-rc1
- commit 5ede81f
-------------------------------------------------------------------
Tue Jul 18 15:37:26 CEST 2017 - afaerber@suse.de
- config: arm64: Update to v4.13-rc1
- commit 7d005f8
-------------------------------------------------------------------
Tue Jul 18 14:48:23 CEST 2017 - jslaby@suse.cz
- Refresh
patches.suse/0001-x86-entry-64-Refactor-IRQ-stacks-and-make-them-NMI-s.patch.
- Refresh
patches.suse/0002-x86-entry-64-Initialize-the-top-of-the-IRQ-stack-bef.patch.
- Refresh
patches.suse/0003-x86-dumpstack-fix-occasionally-missing-registers.patch.
- Refresh
patches.suse/0004-x86-dumpstack-fix-interrupt-and-exception-stack-boun.patch.
- Refresh
patches.suse/0005-objtool-add-ORC-unwind-table-generation.patch.
- Refresh
patches.suse/0006-objtool-x86-add-facility-for-asm-code-to-provide-unw.patch.
- Refresh
patches.suse/0007-x86-entry-64-add-unwind-hint-annotations.patch.
- Refresh
patches.suse/0008-x86-asm-add-unwind-hint-annotations-to-sync_core.patch.
Update upstream information.
- commit 8db850a
-------------------------------------------------------------------
Tue Jul 18 12:40:05 CEST 2017 - tiwai@suse.de
- Delete patches.fixes/drm-i915-Fix-S4-resume-breakage.
The workaround wasn't merged to upstream, and it seems becoming
superfluous with the recent i915 driver, so let's drop this one.
- commit f6f228c
-------------------------------------------------------------------
Tue Jul 18 11:52:45 CEST 2017 - tiwai@suse.de
- rpm/kernel-docs.spec.in: Drop unnecessary xmlto for 4.13+
- commit e47dc84
-------------------------------------------------------------------
Tue Jul 18 11:52:08 CEST 2017 - tiwai@suse.de
- rpm/kernel-docs.spec.in: Correct kernel-docs package summary/description
- commit 697b763
-------------------------------------------------------------------
Tue Jul 18 11:45:39 CEST 2017 - tiwai@suse.de
- rpm/kernel-docs.spec.in: refresh dependencies for PDF build (bsc#1048129)
But it still doesn't work with Tex Live 2017, thus disabled yet.
Also add texlive-anyfontsize for HTML math handling.
- commit ead44a1
-------------------------------------------------------------------
Tue Jul 18 08:07:50 CEST 2017 - jslaby@suse.cz
- Delete patches.rpmify/drm-i915-disable-KASAN-for-handlers.patch.
It was never accepted, is under discussion. But we disabled
CONFIG_DRM_I915_WERROR in commit 5fc7b327348b, so we are safe anyway.
- commit 09fc05f
-------------------------------------------------------------------
Tue Jul 18 07:49:30 CEST 2017 - jslaby@suse.cz
- Delete patches.rpmify/get_builtin_firmware-gcc-7.patch.
This was never accepted. Instead this seems to be fixed in gcc.
- commit c486b10
-------------------------------------------------------------------
Mon Jul 17 23:39:36 CEST 2017 - jeffm@suse.com
- Updated to 4.13-rc1.
- Eliminated 13 patches.
- ARM configs need updating.
- Config changes:
- General:
- SLAB_MERGE_DEFAULT=y (current behavior)
- REFCOUNT_FULL=n (current behavior)
- PERCPU_STATS=n (default)
- FORTIFY_SOURCE=n (needs performance analysis)
- WARN_ALL_UNSEEDED_RANDOM=n
- TRACE_EVAL_MAP_FILE=n
- TEST_SYSCTL=n
- TEST_KMOD=n
- Storage:
- DM_ZONED=m
- IB:
- Options for MLX5:
- MLX5_FPGA
- MLX5_EN_IPSEC=y
- MLXFW=m
- SECURITY_INFINIBAND=y
- Network:
- Options for NFP:
- NFP_APP_FLOWER=y
- CORTINA_PHY=n (intended for embedded apps)
- ATH10K_SDIO=m
- QTNFMAC_PEARL_PCIE=m
- DRM:
- DRM_I915_SW_FENCE_CHECK_DAG=n
- Sound:
- SND_SOC_INTEL_BYT_CHT_ES8316_MACH=m
- SND_SOC_INTEL_KBL_RT5663_MAX98927_MACH=m
- SND_SOC_INTEL_KBL_RT5663_RT5514_MAX98927_MACH=m
- SND_SOC_ZX_AUD96P22=n
- HID:
- HID_ITE=n
- HID_RETRODE=m
- USB:
- TYPEC_UCSI=m
- UCSI_ACPI=m
- Misc:
- RTC_NVMEM=y
- RTC_DRV_DS3232_HWMON=y
- WMI_BMOF=m
- PEAQ_WMI=m
- INTEL_INT0002_VGPIO=m
- CROS_EC_LPC_MEC=y
- EXTCON_INTEL_CHT_WC=m
- NTB_IDT=m
- MULTIPLEXER=m
- KEYBOARD_DLINK_DIR685=n
- TOUCHSCREEN_STMFTS=n
- I2C_DESIGNWARE_SLAVE=n
- SPI_SLAVE=n
- PINCTRL_MCP23S08=n
- PINCTRL_CANNONLAKE=m
- GPIO_XRA1403=n
- BATTERY_BQ27XXX_DT_UPDATES_NVM=n
- CHARGER_LTC3651=n
- SENSORS_IR35221=n
- WATCHDOG_HANDLE_BOOT_ENABLED=y (default)
- File Systems:
- OVERLAY_FS_INDEX=n (mounting on an older kernel read-write will cause unexpected results)
- CIFS_DEBUG_DUMP_KEYS=n
- Crypto:
- CRC4=m
- X86:
- INTEL_SOC_PMIC_CHTWC=m
- CHT_WC_PMIC_OPREGION=y
- ppc64/ppc64le:
- CONFIG_IRQ_TIME_ACCOUNTING=n
- CONFIG_LD_HEAD_STUB_CATCH=n
- ZONE_DEVICE=y
- ppc64le:
- STRICT_KERNEL_RWX=y
- s390x:
- CRYPTO_PAES_S390=m
- commit d418532
-------------------------------------------------------------------
Mon Jul 17 23:29:55 CEST 2017 - jeffm@suse.com
- Delete patches.drivers/ppc64-adb.
This hardware was discontinued in 2006 and the patch was never accepted
upstream.
- commit 995698b
-------------------------------------------------------------------
Mon Jul 17 23:28:26 CEST 2017 - jeffm@suse.com
- Delete patches.arch/ppc-prom-nodisplay.patch.
We no longer support 32-bit ppc and this hardware only existed with a
32-bit CPU.
- commit d94ed1e
-------------------------------------------------------------------
Mon Jul 17 23:25:27 CEST 2017 - jeffm@suse.com
- Delete patches.arch/ppc-pegasos-console-autodetection.patch.
We no longer support 32-bit ppc and this hardware only existed with a
32-bit CPU.
- commit b42ddc6
-------------------------------------------------------------------
Mon Jul 17 23:23:25 CEST 2017 - jeffm@suse.com
- Delete patches.suse/ppc-powerbook-usb-fn-key-default.patch.
We no longer support 32-bit ppc and there were no 64-bit powerbooks.
- commit 9e9a512
-------------------------------------------------------------------
Mon Jul 17 18:04:45 CEST 2017 - jeffm@suse.com
- Disable patches.suse/pstore-backend-autoaction.
It needs updating and there now exists a mount option instead of a module
parameter.
- commit 87a5ab7
-------------------------------------------------------------------
Mon Jul 17 18:04:00 CEST 2017 - jeffm@suse.com
- Delete patches.fixes/block-copy-bi_vcnt-in-_bio_clone_fast.
This was obsoleted by 764f612c6c3c ("blk-merge: don't compute
bi_phys_segments from bi_vcnt for cloned bio").
- commit f0c2642
-------------------------------------------------------------------
Mon Jul 17 17:23:55 CEST 2017 - jslaby@suse.cz
- netfilter: expect: fix crash when putting uninited expectation
(bnc#1048935).
- netfilter: expect: fix crash when putting uninited expectation
(bnc#1048935).
- Delete
patches.fixes/netfilter-nf_ct_expect-fix-expect-removal.patch.
Replace by upstream fix (from a subsys repo).
- commit cc9efac
-------------------------------------------------------------------
Mon Jul 17 17:09:40 CEST 2017 - jslaby@suse.cz
- netfilter: nf_ct_expect: fix expect removal (bnc#1048935).
- commit 28fe876
-------------------------------------------------------------------
Mon Jul 17 11:34:30 CEST 2017 - mmarek@suse.cz
- Drop multiversion(kernel) from the KMP template (fate#323189)
- commit 71504d8
-------------------------------------------------------------------
Sat Jul 15 15:08:05 CEST 2017 - jslaby@suse.cz
- Linux 4.12.2 (bnc#1012628).
- commit 1b6adc0
-------------------------------------------------------------------
Wed Jul 12 17:08:35 CEST 2017 - jslaby@suse.cz
- Linux 4.12.1 (bnc#1012628).
- commit 77712d8
-------------------------------------------------------------------
Tue Jul 11 10:20:24 CEST 2017 - tiwai@suse.de
- rpm/kernel-docs.spec.in: Fix and cleanup for 4.13 doc build (bsc#1048129)
The whole DocBook stuff has been deleted. The PDF build still non-working
thus the sub-packaging disabled so far.
- commit 8e7de10
-------------------------------------------------------------------
Tue Jul 11 10:20:24 CEST 2017 - tiwai@suse.de
- rpm/kernel-docs.spec.in: Fix and cleanup for 4.13 doc build (bsc#1048129)
The whole DocBook stuff has been deleted. The PDF build still non-working
thus the sub-packaging disabled so far.
- commit c9542b9
-------------------------------------------------------------------
Mon Jul 10 09:29:07 CEST 2017 - jslaby@suse.cz
- fs/fcntl: f_setown, avoid undefined behaviour (bnc#1006180).
- fs/fcntl: f_setown, allow returning error (bnc#1006180).
- commit c67ada2
-------------------------------------------------------------------
Mon Jul 10 09:25:05 CEST 2017 - jslaby@suse.cz
- x86/entry/64: Refactor IRQ stacks and make them NMI-safe
(bnc#1018348).
- x86/entry/64: Initialize the top of the IRQ stack before
switching stacks (bnc#1018348).
- x86/dumpstack: fix occasionally missing registers (bnc#1018348).
- x86/dumpstack: fix interrupt and exception stack boundary checks
(bnc#1018348).
Update ORC to v3.
- commit dca9bfc
-------------------------------------------------------------------
Mon Jul 10 09:12:02 CEST 2017 - jslaby@suse.cz
- Refresh
patches.suse/0001-objtool-Move-checking-code-to-check.c.patch.
- Refresh
patches.suse/0002-objtool-x86-Add-several-functions-and-files-to-the-o.patch.
- Refresh
patches.suse/0003-objtool-Implement-stack-validation-2.0.patch.
- Refresh
patches.suse/0004-objtool-Silence-warnings-for-functions-which-use-IRE.patch.
Update upstream status.
- commit f413050
-------------------------------------------------------------------
Mon Jul 10 08:49:23 CEST 2017 - jslaby@suse.cz
- rpm/kernel-docs.spec.in: temporary fix for 4.12 and later
There is a little DocBook in 4.12 and none in 4.13. So remove creating
the link.
- commit 1d6ee3e
-------------------------------------------------------------------
Tue Jul 4 10:49:11 CEST 2017 - jslaby@suse.cz
- Refresh
patches.fixes/tty-handle-the-case-where-we-cannot-restore-a-line-d.patch.
Update upstream status.
- commit 50443d0
-------------------------------------------------------------------
Tue Jul 4 08:03:22 CEST 2017 - jslaby@suse.cz
- Update to 4.12-final.
- commit f35ee68
-------------------------------------------------------------------
Mon Jul 3 11:36:04 CEST 2017 - jslaby@suse.cz
- objtool: Silence warnings for functions which use IRET
(bnc#1018348).
- Update config files.
- Refresh
patches.suse/0002-dwarf-do-not-throw-away-unwind-info.patch.
Push the new version of unDWARF unwinder which is now called Orc. The
first 4 patches were accepted to the -tip tree, so they are marked
appropriatelly.
- commit 48ca048
-------------------------------------------------------------------
Thu Jun 29 16:37:33 CEST 2017 - jslaby@suse.cz
- Linux 4.11.8 (bnc#1012628).
- commit 42bd7a0
-------------------------------------------------------------------
Tue Jun 27 10:58:37 CEST 2017 - tiwai@suse.de
- config/x86_64: Align 8250 serial configs for many ports (bsc#1024449)
- commit 7a8608e
-------------------------------------------------------------------
Mon Jun 26 17:10:55 CEST 2017 - jeffm@suse.com
- Update to 4.12-rc7.
- commit aec31ef
-------------------------------------------------------------------
Mon Jun 26 08:56:10 CEST 2017 - jslaby@suse.cz
- tty: Fix ldisc crash on reopened tty (bnc#1043488).
- commit 53c91b6
-------------------------------------------------------------------
Sun Jun 25 21:17:28 CEST 2017 - afaerber@suse.de
- config: ARM: Consistently enable SPI_GPIO
Bit-banging is needed when a SoC doesn't support native SPI or when such
drivers are missing. SPI_GPIO was enabled for armv6hl and armv7hl default,
but missing for armv7hl lpae and arm64. Set CONFIG_SPI_GPIO=m consistently.
- commit 8750062
-------------------------------------------------------------------
Sat Jun 24 09:54:41 CEST 2017 - jslaby@suse.cz
- Linux 4.11.7 (bnc#1012628).
- commit ddd09a5
-------------------------------------------------------------------
Fri Jun 23 09:04:17 CEST 2017 - jslaby@suse.cz
- drm/nouveau/gpio: enable interrupts on cards with 32 gpio lines
(bnc#1045105).
- commit d61c66b
-------------------------------------------------------------------
Thu Jun 22 22:48:39 CEST 2017 - jeffm@suse.com
- reiserfs: don't preallocate blocks for extended attributes
(bsc#990682).
- commit ffd9401
-------------------------------------------------------------------
Thu Jun 22 22:46:00 CEST 2017 - jeffm@suse.com
- reiserfs: don't preallocate blocks for extended attributes
(bsc#990682).
- commit a4e55c0
-------------------------------------------------------------------
Thu Jun 22 21:01:50 CEST 2017 - jeffm@suse.com
- reiserfs: Protect dquot_writeback_dquots() by s_umount semaphore
(bsc#1037795).
- reiserfs: Make cancel_old_flush() reliable (bsc#1037795).
- commit 5e3bb37
-------------------------------------------------------------------
Thu Jun 22 16:44:14 CEST 2017 - jeffm@suse.com
- config: VGACON_SOFT_SCROLLBACK_SIZE=128 (FATE#307471).
This doubles the scrollback buffer on VGA consoles with only a little
bit of memory cost.
- commit b8a18f3
-------------------------------------------------------------------
Thu Jun 22 09:56:51 CEST 2017 - jslaby@suse.cz
- x86/unwind: add undwarf unwinder (bnc#1018348).
- Refresh
patches.suse/0001-objtool-move-checking-code-to-check.c.patch.
- Refresh patches.suse/0003-objtool-stack-validation-2.0.patch.
- Refresh
patches.suse/0004-objtool-add-undwarf-debuginfo-generation.patch.
- Delete
patches.suse/0008-extable-rename-sortextable-script-to-sorttable.patch.
- Delete
patches.suse/0009-extable-add-undwarf-table-sorting-ability-to-sorttab.patch.
- Delete patches.suse/0010-x86-unwind-add-undwarf-unwinder.patch.
Refresh the UNDWARF unwinder according to usptream reviews. And add
few fixes fro DRAP sequences again and handle more exceptions.
- commit 31d141e
-------------------------------------------------------------------
Tue Jun 20 20:58:13 CEST 2017 - jeffm@suse.com
- btrfs: serialize subvolume mounts with potentially mismatching
rw flags (bsc#951844 bsc#1024015).
- commit 638ee5d
-------------------------------------------------------------------
Tue Jun 20 17:58:56 CEST 2017 - jjolly@suse.de
- Update config files.
- config.conf: Added s390x zfcpdump kernel
- commit 9bfc6ab
-------------------------------------------------------------------
Tue Jun 20 16:26:53 CEST 2017 - jjolly@suse.de
- Update config files.
- config.conf: Added s390x zfcpdump kernel
- commit 5162e6c
-------------------------------------------------------------------
Tue Jun 20 14:22:42 CEST 2017 - jeffm@suse.com
- Update to 4.12-rc6.
- commit 4d2b302
-------------------------------------------------------------------
Tue Jun 20 14:19:08 CEST 2017 - jeffm@suse.com
- btrfs: add cond_resched to btrfs_qgroup_trace_leaf_items
(bsc#1028286 bsc#1017461 bsc#1036171).
- commit 7d41685
-------------------------------------------------------------------
Tue Jun 20 12:17:15 CEST 2017 - mmarek@suse.com
- Only set CONFIG_GCC_PLUGINS=y in kernel-syzkaller (boo#1043591)
- commit 1fffb22
-------------------------------------------------------------------
Tue Jun 20 11:01:58 CEST 2017 - mmarek@suse.com
- Only set CONFIG_GCC_PLUGINS=y in kernel-syzkaller (boo#1043591)
- commit fe00c55
-------------------------------------------------------------------
Tue Jun 20 10:56:46 CEST 2017 - mmarek@suse.com
- rpm/kernel-binary.spec.in: Only kernel-syzkaller needs gcc-devel
(boo#1043591).
- commit d7ff041
-------------------------------------------------------------------
Mon Jun 19 22:50:59 CEST 2017 - mcgrof@suse.com
- mm: kmemleak: treat vm_struct as alternative reference to vmalloc'ed objects (bsc#1027456).
- mm: kmemleak: factor object reference updating out of scan_block() (bsc#1027456).
- mm: kmemleak: slightly reduce the size of some structures on 64-bit architectures (bsc#1027456).
- commit 880dbd7
-------------------------------------------------------------------
Sat Jun 17 08:45:51 CEST 2017 - jslaby@suse.cz
- Linux 4.11.6 (bnc#1012628).
- commit e566a4a
-------------------------------------------------------------------
Thu Jun 15 18:21:55 CEST 2017 - mmarek@suse.com
- kabi.pl: Consider GPL vs. non-GPL exports (fate#322999)
- commit 8bde754
-------------------------------------------------------------------
Wed Jun 14 16:24:30 CEST 2017 - jslaby@suse.cz
- Linux 4.11.5 (CVE-2017-1000380 bnc#1012628 bsc#1040041
bsc#1044125 CVE-2017-7346 bsc#1031796).
- Delete
patches.fixes/ALSA-timer-Fix-missing-queue-indices-reset-at-SNDRV_.
- Delete patches.fixes/ALSA-timer-Fix-race-between-read-and-ioctl.
- Delete
patches.fixes/drm-vmwgfx-limit-the-number-of-mip-levels-in-vmw_gb_.patch.
- Delete
patches.fixes/ptrace-Properly-initialize-ptracer_cred-on-fork.
- commit 8ffa6bb
-------------------------------------------------------------------
Tue Jun 13 17:59:49 CEST 2017 - tiwai@suse.de
- ALSA: timer: Fix missing queue indices reset at
SNDRV_TIMER_IOCTL_SELECT (CVE-2017-1000380,bsc#1044125).
- ALSA: timer: Fix race between read and ioctl
(CVE-2017-1000380,bsc#1044125).
- commit 94096d3
-------------------------------------------------------------------
Tue Jun 13 15:51:25 CEST 2017 - mmarek@suse.com
- rpm/kernel-module-subpackage: Generate proper supplements in the template
... instead of relying on find-provides.ksyms to do it (bsc#981083).
- commit b1fe840
-------------------------------------------------------------------
Mon Jun 12 18:40:31 CEST 2017 - jeffm@suse.com
- Update to 4.12-rc5.
- Eliminated 1 patch.
- commit 270295f
-------------------------------------------------------------------
Mon Jun 12 16:30:47 CEST 2017 - mmarek@suse.com
- rpm/kernel-source.spec.in: Do not list deleted depdendency helpers
(bsc#981083).
- commit 9306efe
-------------------------------------------------------------------
Fri Jun 9 17:20:15 CEST 2017 - mmarek@suse.com
- Define dependencies of in-kernel KMPs statically
This allows us to use rpm's internal dependency generator (bsc#981083).
- commit 88d6128
-------------------------------------------------------------------
Fri Jun 9 17:17:04 CEST 2017 - mmarek@suse.com
- rpm/find-provides: Delete, as /boot/vmlinux-* is no longer in -devel
- commit 4df55cc
-------------------------------------------------------------------
Fri Jun 9 17:16:22 CEST 2017 - mmarek@suse.com
- rpm/package-descriptions: Import SLE12-SP3 KMP descriptions
- commit ff51a7c
-------------------------------------------------------------------
Wed Jun 7 17:14:28 CEST 2017 - jslaby@suse.cz
- Linux 4.11.4 (CVE-2017-8890 CVE-2017-9074 CVE-2017-9075
CVE-2017-9076 CVE-2017-9077 CVE-2017-9211 CVE-2017-9242
bnc#1012628 bsc#1038544 bsc#1039882 bsc#1039883 bsc#1039885
bsc#1040069 bsc#1040389 bsc#1041431).
- Delete
patches.fixes/crypto-skcipher-Add-missing-API-setkey-checks.
- Delete
patches.fixes/dccp-tcp-do-not-inherit-mc_list-from-parent.patch.
- Delete
patches.fixes/ipv6-Check-ip6_find_1stfragopt-return-value-properly.patch.
- Delete
patches.fixes/ipv6-Prevent-overrun-when-parsing-v6-header-options.patch.
- Delete
patches.fixes/ipv6-dccp-do-not-inherit-ipv6_mc_list-from-parent.patch.
- Delete
patches.fixes/ipv6-fix-out-of-bound-writes-in-__ip6_append_data.patch.
- Delete
patches.fixes/sctp-do-not-inherit-ipv6_-mc-ac-fl-_list-from-parent.patch.
- commit cba98ee
-------------------------------------------------------------------
Wed Jun 7 10:08:05 CEST 2017 - mkubecek@suse.cz
- Update upstream reference:
patches.fixes/virtio_net-lower-limit-on-buffer-size.patch.
- commit 922d3e3
-------------------------------------------------------------------
Tue Jun 6 12:45:39 CEST 2017 - jslaby@suse.cz
- tty: handle the case where we cannot restore a line discipline
(bnc#1042878).
- commit 9273e2f
-------------------------------------------------------------------
Tue Jun 6 12:41:20 CEST 2017 - mkubecek@suse.cz
- usb/early: Remove trace_printk() callers in xhci-dbc
(bsc#1042295).
- commit 52a1432
-------------------------------------------------------------------
Tue Jun 6 12:27:55 CEST 2017 - mkubecek@suse.cz
- virtio_net: lower limit on buffer size (bsc#1042717).
- commit a3aa4ed
-------------------------------------------------------------------
Tue Jun 6 11:15:29 CEST 2017 - jslaby@suse.cz
- Update config files.
Disable CONFIG_DRM_I915_WERROR, i.e. -Werror in i915. It causes build
failures in -syzkaller flavor due to a bit oversized frames:
drivers/gpu/drm/i915/intel_dpll_mgr.c:1548:1: error: the frame size of 2240 bytes is larger than 2048 bytes
- commit 5fc7b32
-------------------------------------------------------------------
Tue Jun 6 09:28:09 CEST 2017 - mkubecek@suse.cz
- series.conf: better section label (networking core)
- commit 05ee05a
-------------------------------------------------------------------
Tue Jun 6 09:24:50 CEST 2017 - mkubecek@suse.cz
- ipv6: fix out of bound writes in __ip6_append_data()
(CVE-2017-9242 bsc#1041431).
- commit 26cd5c8
-------------------------------------------------------------------
Mon Jun 5 22:18:27 CEST 2017 - pjakobsson@suse.de
- drm/vmwgfx: limit the number of mip levels in
vmw_gb_surface_define_ioctl() (CVE-2017-7346 bsc#1031796).
- commit dfa88a5
-------------------------------------------------------------------
Mon Jun 5 14:54:23 CEST 2017 - msuchanek@suse.de
- rpm/kernel-binary.spec: remove superfluous flags
This should make build logs more readable and people adding more flags
should have easier time finding a place to add them in the spec file.
- commit 13e40fa
-------------------------------------------------------------------
Mon Jun 5 13:54:42 CEST 2017 - jslaby@suse.cz
- Update
patches.fixes/0001-SUNRPC-Refactor-svc_set_num_threads.patch
(bsc#1039674 CVE-2017-9059).
- Update
patches.fixes/0002-NFSv4-Fix-callback-server-shutdown.patch
(bsc#1039674 CVE-2017-9059).
Fix References tags.
- commit bf7afe3
-------------------------------------------------------------------
Mon Jun 5 09:34:29 CEST 2017 - jslaby@suse.cz
- Refresh
patches.suse/0002-objtool-x86-add-several-functions-and-files-to-the-o.patch.
- Refresh patches.suse/0003-objtool-stack-validation-2.0.patch.
- Refresh
patches.suse/0004-objtool-add-undwarf-debuginfo-generation.patch.
- Refresh
patches.suse/0005-objtool-x86-add-facility-for-asm-code-to-provide-CFI.patch.
Handle more DRAP sequences correctly.
- commit 0a00faf
-------------------------------------------------------------------
Mon Jun 5 09:17:02 CEST 2017 - msuchanek@suse.de
- kernel-binary.spec: Propagate MAKE_ARGS to %build (bsc#1012422)
- commit 6171b7a
-------------------------------------------------------------------
Mon Jun 5 03:24:25 CEST 2017 - jeffm@suse.com
- Update to 4.12-rc4.
- Eliminated 1 patch.
- commit f198de4
-------------------------------------------------------------------
Fri Jun 2 19:28:12 CEST 2017 - afaerber@suse.de
- rpm/dtb.spec.in.in: Fix new include path
Commit 89de3db69113d58cdab14d2c777de6080eac49dc ("rpm/dtb.spec.in.in:
Update include path for dt-bindings") introduced an additional include
path for 4.12. The commit message had it correct, but the spec file
template lacked a path component, breaking the aarch64 build while
succeeding on armv7hl. Fix that.
- commit 36b7480
-------------------------------------------------------------------
Fri Jun 2 11:40:55 CEST 2017 - mmarek@suse.com
- rpm/kernel-source.spec.in: Drop patches.{trace,xen}.tar.bz2
These are empty in current branches.
- commit 742f1d0
-------------------------------------------------------------------
Wed May 31 14:43:33 CEST 2017 - afaerber@suse.de
- rpm/dtb.spec.in.in: Update include path for dt-bindings
Kernels before 4.12 had arch/{arm,arm64}/boot/dts/include/ directories
with a symlink to include/dt-bindings/.
In 4.12 those include/ directories were dropped.
Therefore use include/ directly.
Additionally some cross-architecture .dtsi reuse was introduced, which
requires scripts/dtc/include-prefixes/ that didn't exist on older kernels.
- commit 89de3db
-------------------------------------------------------------------
Wed May 31 11:31:40 CEST 2017 - jslaby@suse.cz
- objtool: move checking code to check.c (bnc#1018348).
- objtool, x86: add several functions and files to the objtool
whitelist (bnc#1018348).
- objtool: stack validation 2.0 (bnc#1018348).
- objtool: add undwarf debuginfo generation (bnc#1018348).
- objtool, x86: add facility for asm code to provide CFI hints
(bnc#1018348).
- x86/entry: add CFI hint undwarf annotations (bnc#1018348).
- x86/asm: add CFI hint annotations to sync_core() (bnc#1018348).
- extable: rename 'sortextable' script to 'sorttable'
(bnc#1018348).
- extable: add undwarf table sorting ability to sorttable script
(bnc#1018348).
- x86/unwind: add undwarf unwinder (bnc#1018348).
- Delete patches.arch/x86_64-unwind-annotations.
- Update config files.
Switch from DWARF to unDWARF. This is the way upstream wants us to go.
We can drop patches.arch/x86_64-unwind-annotations now as it is
superseded by the patchset:
1) Objtool generates info for assembly now.
2) Manual annotations are put to places where objtool gets lost.
This version is RFC submitted upstream.
DWARF unwinder is not dropped on the floor yet, just commented out.
Let's see how this works out and drop it then. So far both
task-context and the interrupt-context traces look good (TM).
unDWARF is supported only on x86_64 so far.
- commit ac3e118
-------------------------------------------------------------------
Tue May 30 21:55:44 CEST 2017 - bpoirier@suse.com
- Refresh
patches.drivers/e1000e-Don-t-return-uninitialized-stats.patch.
Update link.
- commit fe56f0b
-------------------------------------------------------------------
Tue May 30 21:53:42 CEST 2017 - bpoirier@suse.com
- Refresh
patches.drivers/e1000e-Don-t-return-uninitialized-stats.patch.
Fix incorrect tag.
- commit 7bbd095
-------------------------------------------------------------------
Tue May 30 16:15:33 CEST 2017 - afaerber@suse.de
- config: armv7hl: Update to v4.12-rc3
- commit 8cfaade
-------------------------------------------------------------------
Tue May 30 15:44:48 CEST 2017 - afaerber@suse.de
- config: armv6hl: Update to v4.12-rc3
- commit f8dbef0
-------------------------------------------------------------------
Tue May 30 15:27:37 CEST 2017 - afaerber@suse.de
- config: arm64: Update to v4.12-rc3
Harmonize the display drivers while at it.
- commit 305b5bb
-------------------------------------------------------------------
Mon May 29 16:53:26 CEST 2017 - mkubecek@suse.cz
- drm/i915: Fix new -Wint-in-bool-context gcc compiler warning.
- commit d41a006
-------------------------------------------------------------------
Mon May 29 14:47:53 CEST 2017 - mkubecek@suse.cz
- Update to 4.12-rc3.
- Eliminated 1 patch.
- commit 383e7eb
-------------------------------------------------------------------
Mon May 29 02:20:12 CEST 2017 - neilb@suse.com
- NFSv4: Fix callback server shutdown (bsc#1039675,
CVS#2017-9059).
- SUNRPC: Refactor svc_set_num_threads() (bsc#1039675,
CVS#2017-9059).
- commit 596757c
-------------------------------------------------------------------
Fri May 26 10:38:38 CEST 2017 - afaerber@suse.de
- config: arm64: Make PINCTRL_SINGLE built-in
This fixes serial console on the HiKey board (bsc#1040492).
(cherry picked from commit 02f57029be80cfec078617f5dbb4022ea5931ac3)
- config: arm64: Make PINCTRL_SINGLE built-in
This fixes serial console on the HiKey board (bsc#1040492).
- commit 36186ce
-------------------------------------------------------------------
Fri May 26 10:25:31 CEST 2017 - mkubecek@suse.cz
- Update to 4.12-rc2
- Eliminated 32 patches.
- ARM configs need updating.
- OSS (sound) support marked broken
- Config changes:
- Kernel hacking:
- EARLY_PRINTK_USB_XDBC=y
- Block:
- BFQ_GROUP_IOSCHED=y
- BLK_DEV_THROTTLING_LOW=n (experimental)
- DM_INTEGRITY=m
- IOSCHED_BFQ=y
- MQ_IOSCHED_KYBER=y
- Filesystems:
- UBIFS_FS_SECURITY=y
- Networking:
- NET_9P_XEN=m
- NET_SCH_DEFAULT=n (for custom builds)
- Network drivers:
- BT_HCIUART_NOKIA=m
- CAN_HI311X=m
- CAN_MCBA_USB=m
- CAN_PEAK_PCIEFD=m
- CAN_VXCAN=m
- DWC_XLGMAC=m
- DWC_XLGMAC_PCI=m
- IEEE802154_CA8210=m
- IEEE802154_CA8210_DEBUGFS=n
- MDIO_DEVICE=m
- MLX5_CORE_IPOIB=y
- NET_VENDOR_SYNOPSYS=y
- RTL8723BS=m
- TIGON3_HWMON=y
- VSOCKMON=m
- DRM:
- BACKLIGHT_ARCXCNN=m
- DM_INTEGRITY=m
- DRM_FBDEV_OVERALLOC=100 (default)
- DRM_I915_DEBUG_VBLANK_EVADE=n (driver debugging)
- DRM_I915_LOW_LEVEL_TRACEPOINTS=n (driver debugging)
- DRM_I915_SELFTEST=n (driver debugging)
- Sound:
- SND_FIREFACE=m
- SND_FIREWIRE_MOTU=m
- SND_I2S_HI6210_I2S=m
- SND_SOC_ADAU1761_I2C=n
- SND_SOC_ADAU1761_SPI=n
- SND_SOC_CS35L35=m
- SND_SOC_DA7213=m
- SND_SOC_DIO2125=n
- SND_SOC_ES7134=n
- SND_SOC_INTEL_BYT_CHT_DA7213_MACH=m
- SND_SOC_INTEL_BYT_CHT_NOCODEC_MACH=m
- SND_SOC_MAX98927=n
- SND_SOC_NAU8824=m
- PCI:
- PCI_ENDPOINT=y
- PCI_ENDPOINT_CONFIGFS=n
- PCI_ENDPOINT_TEST=m
- PCI_EPF_TEST=m
- PCI_SW_SWITCHTEC=m
- USB:
- TYPEC_FUSB302=m
- TYPEC_TCPCI=m
- TYPEC_TCPM=m
- TYPEC_WCOVE=m
- USB_PCI=y
- NVM:
- NVM_PBLK=m
- Power supply:
- BATTERY_AXP20X=m
- CHARGER_AXP20X=m
- Multimedia:
- CEC_PLATFORM_DRIVERS=y
- IR_SIR=m (renamed LIRC_SIR)
- MEDIA_CEC_RC=y
- USB_RAINSHADOW_CEC=m
- VIDEO_OV2640=m (renamed SOC_CAMERA_OV2640)
- Input:
- JOYSTICK_PSXPAD_SPI=m
- JOYSTICK_PSXPAD_SPI_FF=y
- MOUSE_PS2_SYNAPTICS_SMBUS=y
- TOUCHSCREEN_TSC2007_IIO=y
- Hardware monitoring:
- SENSORS_ASPEED=m
- DAX:
- DAX=y
- EDAC:
- EDAC_GHES=n (causes problems, ask bpetkov)
- Crypto:
- SYSTEM_BLACKLIST_KEYRING=n
- HID:
- HID_ACCUTOUCH=m
- HID_NTI=m
- HID_SENSOR_HUMIDITY=m
- HID_SENSOR_TEMP=m
- Industrial I/O
- AXP20X_ADC=m
- LTC2497=n
- LTC2632=n
- MAX1118=n
- MAX30102=m
- MAX9611=n
- SRF04=m
- VL6180=m
- Thermal:
- THERMAL_EMERGENCY_POWEROFF_DELAY_MS=0
- I2C:
- I2C_MUX_LTC4306=m
- IB:
- INFINIBAND_OPA_VNIC=m
- Staging:
- LNET_XPRT_IB=m
- Xen:
- XEN_PV=y
- x86:
- DELL_WMI_LED=m (renamed LEDS_DELL_NETBOOKS)
- INTEL_CHT_INT33FE=m
- X86_MCELOG_LEGACY=y
- Misc:
- ALTERA_PR_IP_CORE=m
- CHARLCD=m
- FPGA_MGR_XILINX_SPI=m
- HD44780=m
- INTEL_SOC_PMIC_BXTWC=m
- MFD_TI_LMU=m
- MMC_SDHCI_XENON=m
- RAS_CEC=y
- RESET_IMX7=n
- W1_SLAVE_DS2438=m
- XPOWER_PMIC_OPREGION=y
- ppc64le:
- ARCH_MMAP_RND_BITS=14
- ARCH_MMAP_RND_COMPAT_BITS=7
- CRYPTO_CRCT10DIF_VPMSUM=n
- CRYPTO_DEV_CCREE=n
- DA9062_THERMAL=n
- DRM_LVDS_ENCODER=n
- DRM_MEGACHIPS_STDPXXXX_GE_B850V3_FW=n
- DRM_RCAR_DW_HDMI=n
- FA_DUMP=n
- FPGA_MGR_ICE40_SPI=n
- GPIO_FTGPIO010=n
- KPROBES_ON_FTRACE=y
- LEDS_LP3952=n
- PPC_DT_CPU_FTRS=n
- PPC_XIVE_NATIVE=y
- THREAD_SHIFT=14
- XILINX_PR_DECOUPLER=n
- s390x:
- ARCH_RANDOM=y
- ATA=n
- DEFERRED_STRUCT_PAGE_INIT=n
- MAX_PHYSMEM_BITS=46
- S390_CCW_IOMMU=n
- commit a8e0ac1
-------------------------------------------------------------------
Thu May 25 19:55:04 CEST 2017 - jslaby@suse.cz
- Linux 4.11.3 (CVE-2017-7487 bnc#1012628 bsc#1038879).
- Delete
patches.fixes/ipx-call-ipxitf_put-in-ioctl-error-path.patch.
- commit 7262353
-------------------------------------------------------------------
Thu May 25 18:39:12 CEST 2017 - tiwai@suse.de
- Refresh patches.fixes/ptrace-Properly-initialize-ptracer_cred-on-fork.
Update patch-mainline and git-commit tags.
- commit 2182e18
-------------------------------------------------------------------
Wed May 24 13:34:41 CEST 2017 - mkubecek@suse.cz
- ipv6/dccp: do not inherit ipv6_mc_list from parent
(CVE-2017-9076 CVE-2017-9077 bsc#1039885 bsc#1040069).
- commit fcae12e
-------------------------------------------------------------------
Wed May 24 13:30:56 CEST 2017 - mkubecek@suse.cz
- sctp: do not inherit ipv6_{mc|ac|fl}_list from parent
(CVE-2017-9075 bsc#1039883).
- commit 9f0e1bf
-------------------------------------------------------------------
Wed May 24 13:22:36 CEST 2017 - mkubecek@suse.cz
- ipv6: Check ip6_find_1stfragopt() return value properly
(CVE-2017-9074 bsc#1039882).
- ipv6: Prevent overrun when parsing v6 header options
(CVE-2017-9074 bsc#1039882).
- commit 1862833
-------------------------------------------------------------------
Wed May 24 13:17:31 CEST 2017 - mkubecek@suse.cz
- ipx: call ipxitf_put() in ioctl error path (CVE-2017-7487
bsc#1038879).
- commit 01283ea
-------------------------------------------------------------------
Wed May 24 11:36:31 CEST 2017 - mkubecek@suse.cz
- dccp/tcp: do not inherit mc_list from parent (CVE-2017-8890
bsc#1038544).
- commit cedfd44
-------------------------------------------------------------------
Tue May 23 16:57:08 CEST 2017 - tiwai@suse.de
- crypto: skcipher - Add missing API setkey checks
(bsc#1040389,CVE-2017-9211).
- commit a536fda
-------------------------------------------------------------------
Tue May 23 07:52:52 CEST 2017 - tiwai@suse.de
- ptrace: Properly initialize ptracer_cred on fork (bsc#1040041).
- commit d5c0513
-------------------------------------------------------------------
Sat May 20 20:13:12 CEST 2017 - jslaby@suse.cz
- Linux 4.11.2 (bnc#1012628).
- commit 03903d8
-------------------------------------------------------------------
Fri May 19 23:09:43 CEST 2017 - tiwai@suse.de
- Update config files: set HD-audio power save as default (boo#1039995).
- commit ba31213
-------------------------------------------------------------------
Fri May 19 12:36:38 CEST 2017 - jdelvare@suse.de
- Revert "drm/amdgpu: update tile table for oland/hainan"
(boo#1027378, boo#1039806).
- commit 455115d
-------------------------------------------------------------------
Mon May 15 11:42:34 CEST 2017 - jslaby@suse.cz
- Linux 4.11.1 (bnc#1012628 bnc#1038615).
- Delete
patches.fixes/refcount-change-EXPORT_SYMBOL-markings.patch.
- commit c31e8e6
-------------------------------------------------------------------
Fri May 12 13:27:18 CEST 2017 - jthumshirn@suse.de
- IB/rxe: Don't clamp residual length to mtu (boo#1030941).
- commit 89c1e48
-------------------------------------------------------------------
Thu May 11 09:33:52 CEST 2017 - jslaby@suse.cz
- refcount: change EXPORT_SYMBOL markings (bnc#1038615).
- commit 8acb5bf
-------------------------------------------------------------------
Wed May 10 18:29:51 CEST 2017 - jeffm@suse.com
- config: disable XFS_RT
- commit 98d6a9a
-------------------------------------------------------------------
Mon May 8 11:14:29 CEST 2017 - jdelvare@suse.de
- config: armv6hl: Disable KEYBOARD_TM2_TOUCHKEY
The Samsung TM2 board is based on an Exynos SoC, which the armv6
kernel does not support.
- commit 38e6377
-------------------------------------------------------------------
Sat May 6 16:45:25 CEST 2017 - stefan.bruens@rwth-aachen.de
- config: arm64: Enable MEDIA_CONTROLLER API
Option is already set on armv7hl/armv6hl.
- commit efe309e
-------------------------------------------------------------------
Sat May 6 16:21:08 CEST 2017 - stefan.bruens@rwth-aachen.de
- config: arm64: Enable SND_SOC and codecs
Config mimics armv7hl, save any differences due to less SoCs supported
by arm64.
[AF: Rebased onto v4.12-rc3]
- commit 214bcc6
-------------------------------------------------------------------
Thu May 4 18:04:11 CEST 2017 - bpoirier@suse.com
- e1000e: Don't return uninitialized stats (bug#1034635).
- commit 836a5a5
-------------------------------------------------------------------
Thu May 4 17:57:32 CEST 2017 - bpoirier@suse.com
- e1000e: Don't return uninitialized stats (bug#1034635).
- commit 07b59a7
-------------------------------------------------------------------
Wed May 3 10:48:46 CEST 2017 - msuchanek@suse.de
- kernel-binary.spec Remove superfluous [].
- commit 0bf8e9f
-------------------------------------------------------------------
Tue May 2 13:23:39 CEST 2017 - msuchanek@suse.de
- Use make --output-sync feature when available (bsc#1012422).
The mesages in make output can interleave making it impossible to
extract warnings reliably. Since version 4 GNU Make supports
--output-sync flag that prints output of each sub-command atomically
preventing this issue. Detect the flag and use it if available.
SLE11 has make 3.81 so it is required to include make 4 in the kernel
OBS projects to take advantege of this.
- commit 8c2f46d
-------------------------------------------------------------------
Tue May 2 10:56:57 CEST 2017 - tiwai@suse.de
- Set CONFIG_CRYPTO_MD5=y for a better diagnoise at S4 resume (bsc#1031893).
- commit 0ecb407
-------------------------------------------------------------------
Mon May 1 15:12:41 CEST 2017 - jeffm@suse.com
- Update to 4.11-final.
- commit adde629
-------------------------------------------------------------------
Fri Apr 28 15:00:57 CEST 2017 - mkubecek@suse.cz
- supported.conf: move ipvs modules from cluster-network-kmp to kernel packages (fate#323221)
- commit 3b2c2cd
-------------------------------------------------------------------
Fri Apr 28 11:39:01 CEST 2017 - jdelvare@suse.de
- Update x86 config files: disable SILEAD_DMI
The silead_dmi "driver" is in an horrible shape. The design is pretty
bad and the implementation is poor, to stay polite. I wish such code
would not make it into the upstream kernel in the first place. I
don't want it to run on any openSUSE system until it is fixed.
- commit ec41301
-------------------------------------------------------------------
Thu Apr 27 14:23:31 CEST 2017 - jslaby@suse.cz
- Linux 4.10.13 (bnc#1012628).
- commit e5d11ce
-------------------------------------------------------------------
Wed Apr 26 18:22:54 CEST 2017 - tiwai@suse.de
- platform/x86: dell-laptop: Add keyboard backlight timeout AC
settings (bsc#1013561).
- commit 7aedba7
-------------------------------------------------------------------
Wed Apr 26 11:25:10 CEST 2017 - mkubecek@suse.cz
- macsec: avoid heap overflow in skb_to_sgvec (CVE-2017-7477
bsc#1035823).
- commit 461b1f0
-------------------------------------------------------------------
Tue Apr 25 15:57:33 CEST 2017 - mmarek@suse.com
- rpm/kernel-binary.spec.in: Do not split KMPs for openSUSE (fate#319339)
- commit a259f75
-------------------------------------------------------------------
Tue Apr 25 15:53:00 CEST 2017 - mmarek@suse.com
- rpm/dtb.spec.in.in: Do not depend on kernel-source (bsc#1034066)
Apply the patches during build, just like kernel-binary.spec.in does.
- commit 4e37db7
-------------------------------------------------------------------
Mon Apr 24 22:43:58 CEST 2017 - jeffm@suse.com
- Update to 4.11-rc8.
- commit 20b036c
-------------------------------------------------------------------
Mon Apr 24 17:47:04 CEST 2017 - tiwai@suse.de
- Disable CONFIG_POWER_SUPPLY_DEBUG in debug kernels (bsc#1031500).
- commit 11b3f7c
-------------------------------------------------------------------
Mon Apr 24 17:43:18 CEST 2017 - tiwai@suse.de
- Disable CONFIG_POWER_SUPPLY_DEBUG in debug kernels (bsc#1031500).
- commit d90dab6
-------------------------------------------------------------------
Mon Apr 24 11:02:44 CEST 2017 - mkubecek@suse.cz
- supported.conf: sync core networking with SLE12-SP3
- commit e3b9ed8
-------------------------------------------------------------------
Fri Apr 21 13:50:25 CEST 2017 - jslaby@suse.cz
- Linux 4.10.12 (bnc#1012628 bsc#1031935 bsc#1032285).
- Delete
patches.fixes/drm-fb-helper-Allow-var-x-yres-_virtual-fb-width-hei.
- Delete
patches.fixes/drm-nouveau-kms-nv50-fix-double-dma_fence_put-when-d.
- commit 3043bd9
-------------------------------------------------------------------
Thu Apr 20 12:20:19 CEST 2017 - tiwai@suse.de
- Input: soc_button_array - properly map usage 0x07/0xe3 to
KEY_LEFTMETA (boo#1019337,boo#1034642).
- Input: soc_button_array - add support for ACPI 6.0 Generic
Button Device (boo#1019337,boo#1034642).
- Input: soc_button_array - get rid of MAX_NBUTTONS
(boo#1019337,boo#1034642).
- Input: soc_button_array - Propagate error from gpiod_count()
(boo#1019337,boo#1034642).
- Input: soc_button_array - use NULL for GPIO connection ID
(boo#1019337,boo#1034642).
- commit 5e4b2c2
-------------------------------------------------------------------
Thu Apr 20 12:12:08 CEST 2017 - tiwai@suse.de
- Input: axp20x-pek - do not register input device on some systems
(bsc#1035040,boo#1019337).
- Input: axp20x_pek - add axp20x_pek_probe_input_device helper
(bsc#1035040,boo#1019337).
- Input: axp20x-pek - use our own device for errors
(bsc#1035040,boo#1019337).
- commit 9642058
-------------------------------------------------------------------
Thu Apr 20 12:02:36 CEST 2017 - tiwai@suse.de
- i2c: designware-baytrail: fix potential null pointer dereference
on dev (boo#1026458,bsc#1011913).
- i2c: designware: Get selected speed mode sda-hold-time via ACPI
(boo#1026458,bsc#1011913).
- i2c: designware: Disable pm for PMIC i2c-bus even if there is
no _SEM method (boo#1026458,bsc#1011913).
- i2c: designware: Never suspend i2c-busses used for accessing
the system PMIC (boo#1026458,bsc#1011913).
- i2c-designware: increase timeout of semaphore transfer
(boo#1026458,bsc#1011913).
- drm/i915: Listen for PMIC bus access notifications
(boo#1026458,bsc#1011913).
- drm/i915: Add intel_uncore_suspend / resume functions
(boo#1026458,bsc#1011913).
- i2c: designware-baytrail: Call pmic_bus_access_notifier_chain
(boo#1026458,bsc#1011913).
- i2c: designware-baytrail: Acquire P-Unit access on bus acquire
(boo#1026458,bsc#1011913).
- i2c: designware-baytrail: Add support for cherrytrail
(boo#1026458,bsc#1011913).
- i2c: designware-baytrail: Fix race when resetting the semaphore
(boo#1026458,bsc#1011913).
- i2c: designware-baytrail: Disallow the CPU to enter C6 or C7
while holding the punit semaphore (boo#1026458,bsc#1011913).
- i2c: designware-baytrail: Only check iosf_mbi_available()
for shared hosts (boo#1026458,bsc#1011913).
- i2c: designware-baytrail: Pass dw_i2c_dev into helper functions
(boo#1026458,bsc#1011913).
- i2c: designware: Rename accessor_flags to flags
(boo#1026458,bsc#1011913).
- x86/platform/intel/iosf_mbi: Add a PMIC bus access notifier
(boo#1026458,bsc#1011913).
- x86/platform/intel/iosf_mbi: Add a mutex for P-Unit access
(boo#1026458,bsc#1011913).
- commit 72f33ac
-------------------------------------------------------------------
Thu Apr 20 12:00:46 CEST 2017 - tiwai@suse.de
- HID: asus: change mapping from KEY_WLAN to KEY_RFKILL
(bsc#1026458).
- HID: asus: ignore declared dummy usages (bsc#1026458).
- HID: asus: fix and generalize ambiguous preprocessor macros
(bsc#1026458).
- HID: asus: support Republic of Gamers special keys
(bsc#1026458).
- HID: asus: drop dependency on I2C_HID (bsc#1026458).
- commit b794289
-------------------------------------------------------------------
Thu Apr 20 10:59:14 CEST 2017 - tiwai@suse.de
- Enable CONFIG_INTEL_SOC_PMIC and CONFIG_PMIC_OPREGION (boo#1035040).
Some of these configs are built-in only, unfortunately, and consequently
it requires CONFIG_MFD_CORE=y, too.
- commit a2ce90f
-------------------------------------------------------------------
Thu Apr 20 10:54:55 CEST 2017 - tiwai@suse.de
- Enable configs for AXP I2C stuff on Cherrytail devices (boo#1035040).
- commit 636bfd4
-------------------------------------------------------------------
Thu Apr 20 10:52:22 CEST 2017 - tiwai@suse.de
- Enable CONFIG_INPUT_SOC_BUTTON_ARRAY for x86 (boo#1034642).
- commit a7fc032
-------------------------------------------------------------------
Wed Apr 19 23:33:35 CEST 2017 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Do not sign modules if CONFIG_MODULE_SIG=n (bsc#1035053)
- commit 50db9ef
-------------------------------------------------------------------
Wed Apr 19 02:49:59 CEST 2017 - jeffm@suse.com
- Update to 4.11-rc7.
- commit 6e80a14
-------------------------------------------------------------------
Wed Apr 19 02:02:13 CEST 2017 - afaerber@suse.de
- config: arm64: Enable SUN8I_H3_CCU for Allwinner H5
- commit 967bdfb
-------------------------------------------------------------------
Tue Apr 18 23:30:14 CEST 2017 - tiwai@suse.de
- Enable CONFIG_KXCJK1013 for Cherrytrail devices (boo#1034809).
- commit 9add148
-------------------------------------------------------------------
Tue Apr 18 07:55:31 CEST 2017 - jslaby@suse.cz
- Linux 4.10.11 (CVE-2017-7308 bnc#1012628 bsc#1031579).
- Delete
patches.fixes/net-packet-fix-overflow-in-check-for-priv-area-size.patch.
- commit bd70196
-------------------------------------------------------------------
Thu Apr 13 11:18:57 CEST 2017 - afaerber@suse.de
- config: ARM: Make SERIAL_DEV_BUS built-in
- Makes available SERIAL_DEV_CTRL_TTYPORT
- commit 2cea027
-------------------------------------------------------------------
Thu Apr 13 03:17:06 CEST 2017 - afaerber@suse.de
- rpm/dtb.spec.in.in: Enable overlay support for Tumbleweed and Leap 42.3
- Generate __symbols__ node to allow resolution of labels in overlays
- commit c94e646
-------------------------------------------------------------------
Wed Apr 12 13:18:29 CEST 2017 - jslaby@suse.cz
- Linux 4.10.10 (CVE-2017-7261 CVE-2017-7294 bnc#1012628
boo#1031052 boo#1031440).
- Delete
patches.drivers/drm-vmwgfx-NULL-pointer-dereference-in-vmw_surface_define_ioctl.patch.
- Delete
patches.drivers/drm-vmwgfx-fix-integer-overflow-in-vmw_surface_define_ioctl.patch.
- commit a78ebd0
-------------------------------------------------------------------
Tue Apr 11 09:09:39 CEST 2017 - tiwai@suse.de
- drm/nouveau/kms/nv50: fix double dma_fence_put() when destroying
plane state (bsc#1032285).
- commit 739eada
-------------------------------------------------------------------
Mon Apr 10 15:15:15 CEST 2017 - jeffm@suse.com
- Update to 4.11-rc6.
- Eliminated 2 patches.
- commit 5a51416
-------------------------------------------------------------------
Sun Apr 9 02:18:13 CEST 2017 - afaerber@suse.de
- config: armv7hl: Enable i.MX 7 ADC
- commit 5e1975a
-------------------------------------------------------------------
Sat Apr 8 23:50:11 CEST 2017 - afaerber@suse.de
- config: armv7hl: Update for 4.11-rc5
- commit 4559861
-------------------------------------------------------------------
Sat Apr 8 22:46:16 CEST 2017 - afaerber@suse.de
- config: armv6hl: Update to 4.11-rc5
- commit 9a48325
-------------------------------------------------------------------
Sat Apr 8 17:30:03 CEST 2017 - jslaby@suse.cz
- Linux 4.10.9 (CVE-2017-7187 bnc#1012628 bsc#1030213).
- Delete
patches.fixes/scsi-sg-check-length-passed-to-sg_next_cmd_len.patch.
- commit 195f937
-------------------------------------------------------------------
Fri Apr 7 11:35:51 CEST 2017 - mmarek@suse.com
- Sync i386/debug with i386/pae
CONFIG_HWMON=y
CONFIG_LGUEST_GUEST=y
CONFIG_PATA_LEGACY=n
CONFIG_USB_OHCI_HCD_SSB=y
- commit 067b87b
-------------------------------------------------------------------
Fri Apr 7 11:34:57 CEST 2017 - mmarek@suse.com
- Disable CONFIG_ISA in i386/debug to sync with pae.
- commit 9433586
-------------------------------------------------------------------
Fri Apr 7 11:33:44 CEST 2017 - mmarek@suse.com
- Use fragment configs for debug and i386/default.
- commit 32643f4
-------------------------------------------------------------------
Fri Apr 7 03:05:25 CEST 2017 - stefan.bruens@rwth-aachen.de
- config: ARM: Enable TI INA2xx current/voltage sensors
[AF: Disable hwmon driver; enable for armv6hl and armv7hl lpae, too]
- commit 08a83e7
-------------------------------------------------------------------
Thu Apr 6 00:53:47 CEST 2017 - rgoldwyn@suse.com
- btrfs: qgroups: Retry after commit on getting EDQUOT
(bsc#1019614).
- commit 05ecabb
-------------------------------------------------------------------
Mon Apr 3 19:03:43 CEST 2017 - mstaudt@suse.de
- drm/vmwgfx: fix integer overflow in vmw_surface_define_ioctl()
(boo#1031440 CVE-2017-7294).
- drm/vmwgfx: NULL pointer dereference in
vmw_surface_define_ioctl() (boo#1031052 CVE-2017-7261).
- drm/vmwgfx: fix integer overflow in vmw_surface_define_ioctl()
(boo#1031440 CVE-2017-7294).
- drm/vmwgfx: NULL pointer dereference in
vmw_surface_define_ioctl() (boo#1031052 CVE-2017-7261).
- commit eb4ae7d
-------------------------------------------------------------------
Mon Apr 3 14:51:43 CEST 2017 - jeffm@suse.com
- Update to 4.11-rc5.
- Eliminated 1 patch.
- commit a0b8e6b
-------------------------------------------------------------------
Mon Apr 3 13:29:20 CEST 2017 - jthumshirn@suse.de
- scsi: sg: check length passed to SG_NEXT_CMD_LEN (bsc#1030213,
CVE-2017-7187).
- commit 64f4c97
-------------------------------------------------------------------
Mon Apr 3 13:21:46 CEST 2017 - jthumshirn@suse.de
- scsi: sg: check length passed to SG_NEXT_CMD_LEN (bsc#1030213,
CVE-2017-7187).
- commit 4dc7b4d
-------------------------------------------------------------------
Fri Mar 31 19:16:00 CEST 2017 - mkubecek@suse.cz
- Update patches.kernel.org/patch-4.10.7-8 references (add CVE-2017-7184 bsc#1030573).
- commit ea9dcd4
-------------------------------------------------------------------
Fri Mar 31 18:33:34 CEST 2017 - mkubecek@suse.cz
- tcp: mark skbs with SCM_TIMESTAMPING_OPT_STATS (CVE-2017-7277
bsc#1031265).
- tcp: fix SCM_TIMESTAMPING_OPT_STATS for normal skbs
(CVE-2017-7277 bsc#1031265).
- commit 37681e8
-------------------------------------------------------------------
Fri Mar 31 18:25:50 CEST 2017 - mkubecek@suse.cz
- net/packet: fix overflow in check for tp_reserve (CVE-2017-7308
bsc#1031579).
- net/packet: fix overflow in check for tp_frame_nr (CVE-2017-7308
bsc#1031579).
- net/packet: fix overflow in check for priv area size
(CVE-2017-7308 bsc#1031579).
- commit fbe9fc9
-------------------------------------------------------------------
Fri Mar 31 18:20:54 CEST 2017 - tiwai@suse.de
- drm/fb-helper: Allow var->x/yres(_virtual) < fb->width/height
again (bsc#1031935).
- commit 62e9602
-------------------------------------------------------------------
Fri Mar 31 18:17:42 CEST 2017 - mkubecek@suse.cz
- ping: implement proper locking (bsc#1031003).
- commit 3ea493f
-------------------------------------------------------------------
Fri Mar 31 12:00:42 CEST 2017 - jslaby@suse.cz
- Linux 4.10.8 (bnc#1012628).
- commit fd89662
-------------------------------------------------------------------
Fri Mar 31 11:18:05 CEST 2017 - lpechacek@suse.com
- doc/README.SUSE: update links to KMP manual
- commit 9ab365a
-------------------------------------------------------------------
Thu Mar 30 11:49:42 CEST 2017 - jslaby@suse.cz
- drm/i915: disable KASAN for handlers (bnc#1025903).
- commit 28152f5
-------------------------------------------------------------------
Thu Mar 30 11:43:27 CEST 2017 - jslaby@suse.cz
- Linux 4.10.7 (bnc#1007962 bnc#1012628 bsc#1013576 bsc#1028489
bsc#1000619).
- Delete patches.drivers/drm-reference-count-event-completion.
- Delete patches.drivers/fbcon-Fix-vc-attr-at-deinit.
- Delete
patches.fixes/crypto-algif_hash-avoid-zero-sized-array.patch.
- Delete
patches.fixes/genetlink-fix-counting-regression-on-ctrl_dumpfamily.patch.
- commit 24e2bda
-------------------------------------------------------------------
Wed Mar 29 15:02:01 CEST 2017 - jslaby@suse.cz
- linkage: new macros for assembler symbols (dwarf).
- dwarf: do not throw away unwind info (dwarf).
Update to another snapshot. The code is readable and mostly clean now.
- commit e0611e0
-------------------------------------------------------------------
Mon Mar 27 14:50:15 CEST 2017 - jeffm@suse.com
- Update to 4.11-rc4.
- commit 491b77c
-------------------------------------------------------------------
Sun Mar 26 20:39:41 CEST 2017 - jslaby@suse.cz
- Linux 4.10.6 (bnc#1012628 bnc#1025903).
- Delete
patches.rpmify/give-up-on-gcc-ilog2-constant-optimizations.patch.
- commit e326586
-------------------------------------------------------------------
Fri Mar 24 12:46:53 CET 2017 - mkubecek@suse.cz
- genetlink: fix counting regression on ctrl_dumpfamily()
(bsc#1028489).
- commit 5182272
-------------------------------------------------------------------
Thu Mar 23 11:55:32 CET 2017 - tbogendoerfer@suse.de
- Rename global variable "unsupported" to "suse_unsupported" to avoid a clash
with upstream code (commit 578b46b9383c) needed for backport of cxgb4
driver (FATE#321658 bsc#1005778)
- Refresh patches.suse/supported-flag.
- commit 8457d74
-------------------------------------------------------------------
Wed Mar 22 19:43:25 CET 2017 - tiwai@suse.de
- Refresh patches.suse/iwlwifi-expose-default-fallback-ucode-api.
Some ucode's are upgraded to 27 recently.
- commit 2b9b66a
-------------------------------------------------------------------
Wed Mar 22 14:06:39 CET 2017 - jslaby@suse.cz
- Linux 4.10.5 (CVE-2017-6353 bnc#1012628 bsc#1027066
bsc#1027798).
- Delete patches.fixes/bonding-use-ETH_MAX_MTU-as-max-mtu.patch.
- Delete
patches.fixes/sctp-deny-peeloff-operation-on-asocs-with-threads-sl.patch.
- Delete patches.fixes/team-use-ETH_MAX_MTU-as-max-mtu.patch.
- Update config files.
- commit f3fbfc6
-------------------------------------------------------------------
Mon Mar 20 17:02:30 CET 2017 - afaerber@suse.de
- config: arm64: Update to 4.11-rc3
- commit 416ea28
-------------------------------------------------------------------
Mon Mar 20 15:48:34 CET 2017 - jeffm@suse.com
- Update to 4.11-rc3.
- Config changes:
- LPFC_NVME_INITIATOR=y
- LPFC_NVME_TARGET=y
- commit 5799355
-------------------------------------------------------------------
Mon Mar 20 11:31:28 CET 2017 - jslaby@suse.cz
- give up on gcc ilog2() constant optimizations (bnc#1025903).
- commit c2678b7
-------------------------------------------------------------------
Sat Mar 18 13:29:57 CET 2017 - jslaby@suse.cz
- Linux 4.10.4 (bnc#1012628 bsc#1025903).
- Delete
patches.fixes/drm-i915-gvt-Fix-superfluous-newline-in-GVT_DISPLAY_.
- commit e2ef894
-------------------------------------------------------------------
Fri Mar 17 17:12:45 CET 2017 - mkubecek@suse.cz
- team: use ETH_MAX_MTU as max mtu (bsc#1027798).
- openvswitch: Set internal device max mtu to ETH_MAX_MTU
(bsc#1027798).
- commit 338f856
-------------------------------------------------------------------
Wed Mar 15 08:47:00 CET 2017 - jslaby@suse.cz
- Linux 4.10.3 (CVE-2017-2636 bnc#1012628 bnc#1027565
boo#1027378).
- Delete
patches.fixes/drm-amdgpu-revert-update-tile-table-for-oland-hainan.patch.
- Delete
patches.fixes/tty-n_hdlc-get-rid-of-racy-n_hdlc.tbuf.patch.
- commit 0c9f8e4
-------------------------------------------------------------------
Tue Mar 14 15:04:25 CET 2017 - mmarek@suse.com
- rpm/apply-patches: Fix failure if there are no vanilla patches
The grep command returns 1 if there are no patches and we are using
pipefail.
- commit 6dc70de
-------------------------------------------------------------------
Mon Mar 13 14:40:49 CET 2017 - mkubecek@suse.cz
- config: enable IPv6 multicast routing (bsc#1010755)
While the reasoning in bugzilla is rather weak (basically just failing
automated test checking for the feature, without any real user actually
asking for it), I see little reason to have multicast routing enabled
for IPv4 and disabled for IPv6.
- commit bedef39
-------------------------------------------------------------------
Mon Mar 13 13:43:45 CET 2017 - jeffm@suse.com
- Update to 4.11-rc2.
- Eliminated 2 patches.
- Config changes:
- UPROBE_EVENT=y -> UPROBE_EVENTS=y
- KPROBE_EVENT=y -> KPROBE_EVENTS=y
- commit ad81cf3
-------------------------------------------------------------------
Sun Mar 12 20:54:41 CET 2017 - jslaby@suse.cz
- Linux 4.10.2 (bnc#1012628).
- commit bfb2d22
-------------------------------------------------------------------
Fri Mar 10 16:22:09 CET 2017 - msuchanek@suse.de
- powerpc: Blacklist GCC 5.4 6.1 and 6.2 (boo#1028895).
- commit 3f366f7
-------------------------------------------------------------------
Thu Mar 9 17:47:56 CET 2017 - jslaby@suse.cz
- tty: n_hdlc: get rid of racy n_hdlc.tbuf (bnc#1027565
CVE-2017-2636).
- commit c829304
-------------------------------------------------------------------
Tue Mar 7 10:29:19 CET 2017 - jdelvare@suse.de
- Revert "drm/amdgpu: update tile table for oland/hainan"
(boo#1027378).
- commit f764d42
-------------------------------------------------------------------
Mon Mar 6 21:42:51 CET 2017 - jeffm@suse.com
- Update to 4.11-rc1.
- Eliminated 3 patches.
- ARM configs need updating.
- Important for developers, DEBUG_RODATA was renamed to STRICT_KERNEL_RWX
- Config changes:
- Generic:
- PRINTK_SAFE_LOG_BUF_SHIFT=13
- renamed from NMI_LOG_BUF_SHIFT, uses the same value
- CGROUP_RDMA=y
- MAGIC_SYSRQ_SERIAL=y
- WW_MUTEX_SELFTEST=n
- TEST_SORT=n
- TEST_PARMAN=n
- STATIC_USERMODEHELPER=n (needs distro support first)
- SECURITY_APPARMOR_DEBUG=n
- CRYPTO_AES_TIm
- SERIAL_DEV_BUS=m
- GCC:
- GCC_PLUGIN_STRUCTLEAK=n
- Block/Storage:
- BLK_DEBUG_FS=y
- BLK_SED_OPAL=y
- MQ_IOSCHED_DEADLINE=y
- VIRTIO_BLK_SCSI=n (default, use virtio-scsi)
- Network:
- SMC=m
- INET_ESP_OFFLOAD=m
- INET6_ESP_OFFLOAD=m
- NFT_SET_BITMAP=m
- NET_ACT_SAMPLE=m
- PSAMPLE=m
- NET_IFE=m
- QEDF=m
- IPVTAP=m
- NFP=m
- NFP_DEBUG=n (performance)
- i386/x86_64:
- SPI_INTEL_SPI_PLATFORM=m
- XEN_PVH=y
- PC104=y
- x86_64:
- AQTION=m
- INTEL_TURBO_MAX_3=y
- DVB:
- DVB_USB_ZD1301=m
- VIDEO_IVTV_DEPRECATED_IOCTLS=n (default)
- DRM:
- DRM_DEBUG_MM_SELFTEST=n (default)
- DRM_I915_SW_FENCE_DEBUG_OBJECTS=n
- DRM_TINYDRM=m
- TINYDRM_MI0283QT=n
- Console:
- VGACON_SOFT_SCROLLBACK_PERSISTENT_ENABLE_BY_DEFAULT=y (old behavior)
- USB:
- USB_SERIAL_UPD78F0730=m
- USB_HUB_USB251XB=m
- IB:
- INFINIBAND_BNXT_RE=m (except on s390x)
- x86:
- SILEAD_DMI=y
- SND_X86=m
- HDMI_LPE_AUDIO=m
- EXTCON_INTEL_INT3496=m
- ppc64/ppc64le:
- FSI=m
- IIO ADC/DAC/Sensors:
- HX711=n
- MAX11100=n
- TI_ADS7950=n
- TI_TLC4541=n
- MPU3050_I2C=n
- IIO_ST_LSM6DSX=n
- M3605=n
- MAX5481=n
- SRF08=n
- TMP007=n
- PHY:
- PHY_QCOM_USB_HS=m (ARM-only?)
- PHY_QCOM_USB_HSIC=m (ARM-only?)
- Misc:
- LEDS_BRIGHTNESS_HW_CHANGED=y
- TOUCHSCREEN_ZET6223=m
- SERIAL_8250_EXAR=y
- PTP_1588_CLOCK_KVM=m
- PWRSEQ_SD8787=m
- PINCTRL_GEMINILAKE=m
- GPIO_EXAR=m
- GPIO_PCI_IDIO_16=m
- W1_SLAVE_DS2405=m
- CHARGER_SBS=m
- SENSORS_STTS751=m
- SOFT_WATCHDOG_PRETIMEOUT=y
- NIC7018=m
- IR_SPI=m
- EEPROM_IDT_89HPESX=m
- ARM:
- KEYBOARD_TM2_TOUCHKEY=n (exynos)
- PINCTRL_TI_IODELAY=n
- CHARGER_DETECTOR_MAX14656=n
- COMMON_CLK_VC5=n
- MFD_CPCAP=n
- SND_SOC_ES8328_I2C=n
- SND_SOC_ES8328_SPI=n
- SND_SOC_NAU8540=n
- COMMON_CLK_VC5=n
- SOC_ZTE=n
- commit 9086470
-------------------------------------------------------------------
Mon Mar 6 16:29:25 CET 2017 - msuchanek@suse.de
- kernel-binary.spec.in: Add g++ dependency.
[ 44s] ../scripts/gcc-plugin.sh: line 19: g++: command not found
- commit 59857ea
-------------------------------------------------------------------
Mon Mar 6 09:05:39 CET 2017 - mkubecek@suse.cz
- bonding: use ETH_MAX_MTU as max mtu (bsc#1027798).
- commit 2f8350b
-------------------------------------------------------------------
Thu Mar 2 14:05:23 CET 2017 - mkubecek@suse.cz
- Update patches.kernel.org/patch-4.10.1 references (add CVE-2017-6347 bsc#1027179).
- commit 8c10701
-------------------------------------------------------------------
Thu Mar 2 14:00:10 CET 2017 - mkubecek@suse.cz
- sctp: deny peeloff operation on asocs with threads sleeping
on it (CVE-2017-6353 bsc#1027066).
- commit 8fb16bc
-------------------------------------------------------------------
Mon Feb 27 12:17:22 CET 2017 - jslaby@suse.cz
- crypto: algif_hash - avoid zero-sized array (bnc#1007962).
- commit 2f945d3
-------------------------------------------------------------------
Sun Feb 26 13:43:10 CET 2017 - jslaby@suse.cz
- Linux 4.10.1 (bnc#1012628).
- commit 1ecd5af
-------------------------------------------------------------------
Tue Feb 21 10:34:18 CET 2017 - tiwai@suse.de
- drm/i915/gvt: Fix superfluous newline in GVT_DISPLAY_READY
env var (bsc#1025903).
- commit cac9478
-------------------------------------------------------------------
Mon Feb 20 14:08:30 CET 2017 - jeffm@suse.com
- Update to 4.10-final.
- commit 12a7a6d
-------------------------------------------------------------------
Sat Feb 18 18:59:27 CET 2017 - jslaby@suse.cz
- Linux 4.9.11 (CVE-2017-5897 CVE-2017-5970 CVE-2017-5986
bnc#1012628 bsc#1023762 bsc#1024938 bsc#1025235).
- Delete patches.fixes/ip6_gre-fix-ip6gre_err-invalid-reads.patch.
- Delete
patches.fixes/ipv4-keep-skb-dst-around-in-presence-of-IP-options.patch.
- Delete
patches.fixes/sctp-avoid-BUG_ON-on-sctp_wait_for_sndbuf.patch.
- commit cf9c670
-------------------------------------------------------------------
Thu Feb 16 17:33:27 CET 2017 - jeffm@suse.com
- btrfs: use the new VFS super_block_dev (bnc#865869).
- commit f064a41
-------------------------------------------------------------------
Thu Feb 16 17:33:14 CET 2017 - jeffm@suse.com
- fs/super.c: add new super block sub devices super_block_dev
(bnc#865869).
- commit 47c7d30
-------------------------------------------------------------------
Thu Feb 16 09:36:29 CET 2017 - mkubecek@suse.cz
- sctp: avoid BUG_ON on sctp_wait_for_sndbuf (CVE-2017-5986
bsc#1025235).
- commit ffeeef5
-------------------------------------------------------------------
Wed Feb 15 17:50:50 CET 2017 - jslaby@suse.cz
- Linux 4.9.10 (bnc#1012628 bsc#1018100).
- Delete
patches.suse/btrfs-fix-btrfs_compat_ioctl-failures-on-non-compat-ioctls.
- commit 59c7cc2
-------------------------------------------------------------------
Tue Feb 14 08:11:44 CET 2017 - mkubecek@suse.cz
- ipv4: keep skb->dst around in presence of IP options
(CVE-2017-5970 bsc#1024938).
- commit fff3f63
-------------------------------------------------------------------
Mon Feb 13 15:04:27 CET 2017 - jeffm@suse.com
- Update to 4.10-rc8.
- Eliminated 1 patch.
- commit e195904
-------------------------------------------------------------------
Fri Feb 10 10:29:43 CET 2017 - jslaby@suse.cz
- Refresh patches.suse/DWARF-EH-frame-based-stack-unwinding.patch.
Refactoring of the code. It is readable, understandable and a heap
faster. More to come later.
- commit 0a72883
-------------------------------------------------------------------
Thu Feb 9 11:55:53 CET 2017 - jslaby@suse.cz
- Linux 4.9.9 (bnc#1012628 bsc#1021921 bsc#1022181).
- Delete
patches.drivers/drm-i915-execlists-Reset-RING-registers-upon-resume.
- Delete
patches.fixes/PCI-ASPM-Handle-PCI-to-PCIe-bridges-as-roots-of-PCIe.
- commit 6c5120c
-------------------------------------------------------------------
Thu Feb 9 07:26:26 CET 2017 - mkubecek@suse.cz
- ip6_gre: fix ip6gre_err() invalid reads (CVE-2017-5897
bsc#1023762).
- commit e1a455d
-------------------------------------------------------------------
Tue Feb 7 16:58:58 CET 2017 - afaerber@suse.de
- config: armv6hl: Enable RASPBERRYPI_POWER
- commit 7c28efb
-------------------------------------------------------------------
Tue Feb 7 16:51:24 CET 2017 - tiwai@suse.de
- iwlwifi: Expose the default fallback ucode API to module info
(boo#1021082, boo#1023884).
- commit 12d02bb
-------------------------------------------------------------------
Tue Feb 7 16:33:47 CET 2017 - afaerber@suse.de
- config: Make VIRTIO_CONSOLE built-in
- commit 2f30d33
-------------------------------------------------------------------
Tue Feb 7 02:09:44 CET 2017 - jeffm@suse.com
- btrfs: fix btrfs_compat_ioctl failures on non-compat ioctls
(bsc#1018100).
- commit b456c83
-------------------------------------------------------------------
Tue Feb 7 01:41:38 CET 2017 - jeffm@suse.com
- btrfs: fix btrfs_compat_ioctl failures on non-compat ioctls
(bsc#1018100).
- commit d9294c3
-------------------------------------------------------------------
Mon Feb 6 16:59:33 CET 2017 - jeffm@suse.com
- Update to 4.10-rc7.
- Eliminated 1 patch.
- commit bbf896d
-------------------------------------------------------------------
Sat Feb 4 10:04:54 CET 2017 - jslaby@suse.cz
- Linux 4.9.8 (bnc#1012628).
- commit af90696
-------------------------------------------------------------------
Fri Feb 3 00:08:25 CET 2017 - jkosina@suse.cz
- Refresh
patches.fixes/x86-efi-Always-map-the-first-physical-page-into-the-EFI-pagetables.patch:
update references
- commit 4e3d085
-------------------------------------------------------------------
Thu Feb 2 13:10:43 CET 2017 - jslaby@suse.cz
- Update config files.
- Refresh patches.suse/DWARF-EH-frame-based-stack-unwinding.patch.
- fix for save_stack_trace (lockdep et al.)
- depends on !KASAN (too slow)
- commit 7c0ba48
-------------------------------------------------------------------
Thu Feb 2 13:07:26 CET 2017 - jslaby@suse.cz
- Update config files.
- Refresh patches.suse/DWARF-EH-frame-based-stack-unwinding.patch.
- fix for save_stack_trace (lockdep et al.)
- depends on !KASAN (too slow)
- commit 2e68dd0
-------------------------------------------------------------------
Wed Feb 1 09:00:07 CET 2017 - jslaby@suse.cz
- Linux 4.9.7 (bnc#1012628 bnc#1022792 bsc#1018358).
- Delete
patches.drivers/drm-Fix-broken-VT-switch-with-video-1366x768-option.
- Delete patches.fixes/userns-Make-ucounts-lock-irq-safe.patch.
- commit 1680560
-------------------------------------------------------------------
Tue Jan 31 12:23:32 CET 2017 - jslaby@suse.cz
- userns: Make ucounts lock irq-safe (bnc#1022792).
- commit 4a049e6
-------------------------------------------------------------------
Tue Jan 31 11:25:11 CET 2017 - jslaby@suse.cz
- Refresh patches.suse/DWARF-EH-frame-based-stack-unwinding.patch.
Fix build failures for good.
- commit b1105aa
-------------------------------------------------------------------
Tue Jan 31 10:54:22 CET 2017 - jslaby@suse.cz
- Update config files.
- Refresh patches.suse/DWARF-EH-frame-based-stack-unwinding.patch.
- Refresh patches.suse/stack-unwind-disable-kasan.patch.
Update dwarf unwinder. It fixes a build failure when disabled and
moves files around.
- commit db55b7b
-------------------------------------------------------------------
Tue Jan 31 10:45:34 CET 2017 - jslaby@suse.cz
- Update config files.
- Refresh patches.suse/DWARF-EH-frame-based-stack-unwinding.patch.
- Refresh patches.suse/stack-unwind-disable-kasan.patch.
Update dwarf unwinder. It fixes a build failure when disabled and
moves files around.
- commit 18f6269
-------------------------------------------------------------------
Tue Jan 31 09:47:30 CET 2017 - jslaby@suse.cz
- Update config files.
Re-disable CONFIG_STACK_UNWIND in syzkaller. It was disabled until
4.9-rc1 because the stack unwinder and KASAN do not play well
together. In 4.9-rc1 we disabled DWARF stack unwinder completely due
to upstream stack unwindining rewrite. I ported the unwinder recently,
but forgot to disable it in the syzkaller flavor. Do it now.
- commit 22e78d3
-------------------------------------------------------------------
Mon Jan 30 17:46:39 CET 2017 - jeffm@suse.com
- Update to 4.10-rc6.
- Eliminated 1 patch.
- commit 85b893c
-------------------------------------------------------------------
Mon Jan 30 11:42:59 CET 2017 - tiwai@suse.de
- PCI/ASPM: Handle PCI-to-PCIe bridges as roots of PCIe
hierarchies (bsc#1022181).
- commit 29fd208
-------------------------------------------------------------------
Mon Jan 30 10:20:52 CET 2017 - jkosina@suse.cz
- [tip:efi/urgent] x86/efi: Always map the first physical page
into the EFI pagetables.
- commit 33e3495
-------------------------------------------------------------------
Fri Jan 27 22:46:09 CET 2017 - tiwai@suse.de
- drm: reference count event->completion (bsc#1013576).
- commit c67d673
-------------------------------------------------------------------
Thu Jan 26 10:09:16 CET 2017 - jslaby@suse.cz
- Linux 4.9.6 (bnc#1012628).
- commit d1207ac
-------------------------------------------------------------------
Wed Jan 25 16:53:18 CET 2017 - tiwai@suse.de
- drm/i915/execlists: Reset RING registers upon resume
(bsc#1021921).
- commit 7b0a59a
-------------------------------------------------------------------
Mon Jan 23 22:16:45 CET 2017 - tiwai@suse.de
- [media] uvcvideo: uvc_scan_fallback() for webcams with broken
chain (bsc#1021474).
- commit 9bb1a8a
-------------------------------------------------------------------
Mon Jan 23 16:14:41 CET 2017 - jeffm@suse.com
- Update to 4.10-rc5.
- commit 7dca981
-------------------------------------------------------------------
Mon Jan 23 11:53:59 CET 2017 - dsterba@suse.com
- rpm/kernel-binary.spec.in: gcc plugins needs gcc-devel
The build fails with missing bversion.h header.
- commit 95b4403
-------------------------------------------------------------------
Fri Jan 20 13:14:45 CET 2017 - mmarek@suse.com
- rpm/kernel-binary.spec.in: scripts/gcc-plugin.sh needs gcc-c++
- commit d577958
-------------------------------------------------------------------
Fri Jan 20 11:22:06 CET 2017 - jslaby@suse.cz
- Linux 4.9.5 (bnc#1003623 bnc#1012628).
- Delete
patches.fixes/revert-tty-serial-8250-add-CON_CONSDEV-to-flags.patch.
- commit 699d1c6
-------------------------------------------------------------------
Wed Jan 18 14:48:20 CET 2017 - jslaby@suse.cz
- Update config files (bnc#1011884).
Kill FRAME_POINTER. Thanks to the stack traces generation rewrite in
4.9 & DWARF unwinding port, frame pointers are no longer needed for
stack traces. We can shoot them down now. I am going to implement
generation of DWARF info for assembly rather soon.
If issues occur, please revert this and let me know.
- commit 911f899
-------------------------------------------------------------------
Tue Jan 17 09:29:12 CET 2017 - mmarek@suse.com
- rpm/kernel-binary.spec.in: Fix installation of /etc/uefi/certs (bsc#1019594)
- commit c6c6a91
-------------------------------------------------------------------
Mon Jan 16 13:55:10 CET 2017 - jslaby@suse.cz
- Refresh
patches.fixes/revert-tty-serial-8250-add-CON_CONSDEV-to-flags.patch.
Update upstream status.
- commit d26480f
-------------------------------------------------------------------
Mon Jan 16 13:37:05 CET 2017 - jslaby@suse.cz
- Update config files.
- Refresh patches.suse/stack-unwind-disable-kasan.patch.
- Delete
patches.suse/revert-x86-remove-warning-and-warning_symbol-from-struct-stacktrace_ops.
Update and enable the DWARF unwinder.
- commit d7228f4
-------------------------------------------------------------------
Mon Jan 16 03:39:22 CET 2017 - jeffm@suse.com
- Update to 3.10-rc4.
- commit 4f824f1
-------------------------------------------------------------------
Mon Jan 16 03:36:40 CET 2017 - jeffm@suse.com
- Delete
patches.suse/revert-btrfs-fix-lockdep-warning-on-deadlock-against-an-inode-s-log-mutex.patch.
- Delete
patches.suse/revert-btrfs-improve-performance-on-fsync-against-new-inode-after-rename-unlink.patch.
- commit a282581
-------------------------------------------------------------------
Sun Jan 15 17:50:09 CET 2017 - jslaby@suse.cz
- Linux 4.9.4 (bnc#1012628).
- commit ddcc4a0
-------------------------------------------------------------------
Sun Jan 15 00:43:40 CET 2017 - agraf@suse.de
- Enable CMA DMA on AArch64 (boo#1020021)
- commit 26c1d96
-------------------------------------------------------------------
Fri Jan 13 16:42:07 CET 2017 - jeffm@suse.com
- reiserfs: fix race in prealloc discard (bsc#987576).
- commit 5e5739e
-------------------------------------------------------------------
Fri Jan 13 16:41:02 CET 2017 - jeffm@suse.com
- reiserfs: fix race in prealloc discard (bsc#987576).
- commit 0467a6d
-------------------------------------------------------------------
Fri Jan 13 16:29:18 CET 2017 - tiwai@suse.de
- rpm/kernel-docs.spec.in: Fix building docs
- Fix html and pdf creation in Documetation/media/*
- Add graphviz to buildreq for image conversion
- Fix file list to remove REPORTING-BUGS
- commit a6d2693
-------------------------------------------------------------------
Fri Jan 13 14:22:55 CET 2017 - jeffm@suse.com
- config: RING_BUFFER_BENCHMARK=m on s390x (bsc#1019860).
Commit 0b422208 should have done this but set it =y instead.
- commit 6cd2532
-------------------------------------------------------------------
Thu Jan 12 12:32:53 CET 2017 - tiwai@suse.de
- drm: Fix broken VT switch with video=1366x768 option
(bsc#1018358).
- commit 1ed7879
-------------------------------------------------------------------
Thu Jan 12 11:48:58 CET 2017 - jslaby@suse.cz
- Linux 4.9.3 (bnc#1012628 bsc#1000433).
- Delete
patches.drivers/drm-radeon-Always-store-CRTC-relative-radeon_crtc-cu.
- commit 7204b59
-------------------------------------------------------------------
Wed Jan 11 16:16:15 CET 2017 - jeffm@suse.com
- Delete
patches.suse/btrfs-fix-extent-tree-corruption-due-to-relocation.patch.
The issue being investigated with resolved with upstream commit
054570a1dc94 (Btrfs: fix relocation incorrectly dropping data references).
- commit ed9b04a
-------------------------------------------------------------------
Wed Jan 11 11:27:06 CET 2017 - jslaby@suse.cz
- Refresh patches.suse/DWARF-EH-frame-based-stack-unwinding.patch.
- Delete patches.suse/unwind-use-thread_return-on-i386.
Fix a warning and unwinding of blocked tasks. thread_return fix not
needed anymore.
- commit abd21b1
-------------------------------------------------------------------
Mon Jan 9 18:07:38 CET 2017 - jeffm@suse.com
- unwind: use thread_return on i386
This fixes a build failure. I don't have an i386 environment set up for
testing.
- commit f1c24bb
-------------------------------------------------------------------
Mon Jan 9 17:13:10 CET 2017 - jeffm@suse.com
- config/vanilla: re-add CONFIG_SCHED_OMIT_FRAME_POINTER=y
Re-enabling the stack unwind patches means that we need this defined
on vanilla flavors on i386 and x86_64.
- commit 0482a34
-------------------------------------------------------------------
Mon Jan 9 16:44:37 CET 2017 - jeffm@suse.com
- Update to 4.10-rc3.
- Config changes:
- armv7hl/default:
- HZ_FIXED=0, HZ_200=y (restriction removed upstream)
- commit ff90e91
-------------------------------------------------------------------
Mon Jan 9 10:22:07 CET 2017 - jslaby@suse.cz
- Linux 4.9.2 (bnc#1012628 bsc#1000433).
- Refresh
patches.drivers/drm-radeon-Always-store-CRTC-relative-radeon_crtc-cu.
- Delete
patches.drivers/drm-radeon-Also-call-cursor_move_locked-when-the-cur.
- Delete
patches.drivers/drm-radeon-Hide-the-HW-cursor-while-it-s-out-of-boun.
- commit 2d3c294
-------------------------------------------------------------------
Fri Jan 6 14:56:48 CET 2017 - jslaby@suse.cz
- Refresh patches.suse/stack-unwind-disable-kasan.patch.
- Delete
patches.suse/revert-x86-remove-warning-and-warning_symbol-from-struct-stacktrace_ops.
- Update config files.
Port the DWARF unwinder to 4.9+.
- commit 17aa5c3
-------------------------------------------------------------------
Fri Jan 6 13:39:12 CET 2017 - afaerber@suse.de
- rpm: Add arm64 dtb-zte subpackage
4.9 added arch/arm64/boot/dts/zte/.
- commit 23a79e3
-------------------------------------------------------------------
Fri Jan 6 13:04:49 CET 2017 - jslaby@suse.cz
- Linux 4.9.1 (bnc#1012628 bnc#1016101 bsc#1010690).
- Delete
patches.fixes/ASoC-intel-Fix-crash-at-suspend-resume-without-card.
- Delete
patches.rpmify/kbuild-provide-include-asm-asm-prototypes.h-for-x86.patch.
- commit da02006
-------------------------------------------------------------------
Fri Jan 6 12:46:50 CET 2017 - afaerber@suse.de
- rpm: Add arm64 dtb-allwinner subpackage
4.10 added arch/arm64/boot/dts/allwinner/.
- commit 81535fa
-------------------------------------------------------------------
Thu Jan 5 21:42:16 CET 2017 - mmarek@suse.cz
- rpm/kernel-obs-build.spec.in: Fix typo
- commit 45e1765
-------------------------------------------------------------------
Thu Jan 5 16:39:18 CET 2017 - mmarek@suse.com
- Enable kernel-obs-{build,qa} also in the vanilla branches
- commit 022e5fb
-------------------------------------------------------------------
Thu Jan 5 16:35:39 CET 2017 - mmarek@suse.com
- rpm/dtb.spec.in.in: Fix for kernel-source-vanilla (bsc#1011920)
- commit 4de517c
-------------------------------------------------------------------
Thu Jan 5 11:37:08 CET 2017 - mmarek@suse.com
- rpm/mkspec: Do not build dtbs for kernel-source-rt (bsc#1011920)
- commit f3a9899
-------------------------------------------------------------------
Thu Jan 5 11:36:38 CET 2017 - mmarek@suse.com
- rpm/dtb.spec.in.in: Use kernel-source-vanilla in vanilla branches (bsc#1011920)
- commit 44cf2d6
-------------------------------------------------------------------
Thu Jan 5 09:51:31 CET 2017 - tiwai@suse.de
- fbcon: Fix vc attr at deinit (bsc#1000619).
- commit e989b9d
-------------------------------------------------------------------
Mon Jan 2 21:37:39 CET 2017 - jeffm@suse.com
- Update to 4.10-rc2.
- Eliminated 1 patch.
- commit 870a2f2
-------------------------------------------------------------------
Mon Jan 2 19:19:51 CET 2017 - afaerber@suse.de
- config: armv7hl: Update to v4.10-rc1
- commit 3dd6d79
-------------------------------------------------------------------
Mon Jan 2 18:54:05 CET 2017 - afaerber@suse.de
- config: armv6hl: Update to v4.10-rc1
- commit 1856e58
-------------------------------------------------------------------
Mon Jan 2 18:35:14 CET 2017 - afaerber@suse.de
- config: arm64: Update to 4.10-rc1
- commit 544f60a
-------------------------------------------------------------------
Mon Jan 2 10:56:20 CET 2017 - jslaby@suse.cz
- drm: Use u64 for intermediate dotclock calculations
(bnc#1006472).
- commit 1af4b0f
-------------------------------------------------------------------
Mon Jan 2 10:01:14 CET 2017 - jslaby@suse.cz
- x86/kbuild: enable modversions for symbols exported from asm
(bnc#1016101).
Replace by the upstream version.
- commit 772efd7
-------------------------------------------------------------------
Thu Dec 29 05:27:06 CET 2016 - jeffm@suse.com
- Update to 4.10-rc1.
- Eliminated 6 patches.
- ARM configs need updating.
- Config changes:
- General:
- CONSOLE_LOGLEVEL_DEFAULT=7 (default)
- BUG_ON_DATA_CORRUPTION=y
- REMOTEPROC=m
- CGROUP_BPF=y
- STM_SOURCE_FTRACE=m
- Block:
- BLK_DEV_ZONED=y
- BLK_WBT=y
- BLK_WBT_SQ=n (default)
- BLK_WBT_MQ=y (default)
- x86:
- INTEL_RDT_A=y
- SCHED_MC_PRIO=y
- Net:
- INET_RAW_DIAG=m
- IPV6_SEG6_LWTUNNEL=y
- IPV6_SEG6_INLINE=n
- IPV6_SEG6_HMAC=y
- NF_LOG_NETDEV=m
- NF_CT_PROTO_DCCP=y
- NF_CT_PROTO_SCTP=y
- NF_CT_PROTO_UDPLITE=y
- NFT_RT=m
- NFT_OBJREF=m
- IP_SET_HASH_IPMAC=m
- NF_SOCKET_IPV4=m
- NFT_FIB_IPV4=m
- NF_SOCKET_IPV6=m
- NFT_FIB_IPV6=m
- NFT_FIB_INET=m
- LWTUNNEL_BPF=y
- AMD_XGBE=m
- AMD_XGBE_DCB=y
- BNXT_DCB=y
- MLXSW_CORE_THERMAL=y
- MLXSW_I2C=m
- MLXSW_SWITCHIB=m
- MLXSW_MINIMAL=m
- SFC_FALCON=m
- SFC_FALCON_MTD=y
- LED_TRIGGER_PHY=y
- Debugging:
- TEST_ASYNC_DRIVER_PROBE=n
- DMA_FENCE_TRACE=n
- DVB_DEMUX_SECTION_LOSS_LOG=n
- SW_SYNC=n
- MTD:
- MTD_NAND_OXNAS=n
- NVME:
- NVME_FC=m
- NVME_TARGET_FC=m
- NVME_TARGET_FCLOOP=m
- DM_DEBUG_BLOCK_MANAGER_LOCKING=n
- iSCSI:
- QEDI=m
- ARM:
- MESON_GXL_PHY
- PINCTRL_SX150X
- DRM_SIL_SII8620
- DRM_TI_TFP410
- DRM_HISI_HIBMC
- DRM_MXSFB
- SND_SOC_MSM8916_WCD_ANALOG
- SND_SOC_MSM8916_WCD_DIGITAL
- LEDS_NIC78BX
- RTC_DRV_R7301
- COMMON_CLK_MT2701
- COMMON_CLK_MT2701_MMSYS
- COMMON_CLK_MT2701_IMGSYS
- COMMON_CLK_MT2701_VDECSYS
- COMMON_CLK_MT2701_HIFSYS
- COMMON_CLK_MT2701_ETHSYS
- COMMON_CLK_MT2701_BDPSYS
- LIQUIDIO_VF
- SENSORS_XGENE
- ALTERA_MBOX
- ARM_SCPI_PROTOCOL
- IIO_CROS_EC_SENSORS_CORE
- Sound:
- SND_SOC_CS35L34=m
- SND_SOC_CS42L42=m
- Wifi:
- WIRELESS_WDS=n (deprecated)
- Misc drivers:
- RMI4_SMB=m
- RMI4_F03=y
- RMI4_F34=y
- SCR24X=m
- I2C_MUX_MLXCPLD=m
- SENSORS_TC654=m
- SENSORS_TMP108=m
- MEDIA_CEC_SUPPORT=y
- IR_SERIAL=m
- IR_SERIAL_TRANSMITTER=y
- DRM_I915_ALPHA_SUPPORT=n
- DRM_I915_CAPTURE_ERROR=y
- DRM_I915_COMPRESS_ERROR=y
- DRM_I2C_ADV7511_AUDIO=y
- HID_MAYFLASH=m
- HID_UDRAW_PS3=m
- USB_SERIAL_F8153X=m
- MMC_SDHCI_CADENCE=m
- LEDS_USER=m
- INFINIBAND_VMWARE_PVRDMA=m
- HT16K33=m
- UIO_HV_GENERIC=m
- VFIO_MDEV=m
- VFIO_MDEV_DEVICE=m
- SURFACE3_WMI=m
- SURFACE_3_BUTTON=m
- MLX_CPLD_PLATFORM=m
- CRYPTO_DEV_VIRTIO=m
- I2C_MLXCPLD=m
- Misc chip drivers:
- DA280=n
- DA311=n
- DMARD10=n
- AD7766=n
- ENVELOPE_DETECTOR=n
- 104_QUAD_8=n
- DPOT_DAC=n
- HTS221=n
- LMP91000=n
- ABP060MG=n
- FPGA_BRIDGE=m
- FPGA_REGION=m
- GPU:
- DRM_I915_GVT_KVMGT=m
- File systems:
- OVERLAY_FS_REDIRECT_DIR=n (not backward compatible)
- UBIFS_FS_ENCRYPTION=y
- ppc64:
- CC_STACKPROTECTOR_REGULAR=y
- USE_THIN_ARCHIVES=n
- KEXEC_FILE=y
- RELOCATABLE_TEST=n
- MOVABLE_NODE=y
- TCG_TIS=m
- PPC_PTDUMP=n
- CRYPTO_CRC32C_VPMSUM=m
- s390x:
- IRQ_DOMAIN_DEBUG=n
- BATMAN_ADV_BATMAN_V=y (consistent with other flavors)
- I2C options, mostly =n -- enable as needed
- commit c901e2e
-------------------------------------------------------------------
Wed Dec 28 20:39:34 CET 2016 - tiwai@suse.de
- rpm/kernel-binary.spec.in: Obsolete ftsteutates KMP (boo#997172)
- commit 34c1867
-------------------------------------------------------------------
Tue Dec 20 22:04:04 CET 2016 - xxxxxmichl@googlemail.com
- added De0-Nanos-SoC board support (and others based on Altera SOC).
- commit 3299f4a
-------------------------------------------------------------------
Mon Dec 19 12:03:38 CET 2016 - jdelvare@suse.de
- config: Disable GPIO_TS4900 in most kernels
The gpio-ts4900 driver is only useful on i.MX6 boards, and only one
of our kernels supports them.
- commit 92e9ad3
-------------------------------------------------------------------
Fri Dec 16 15:07:14 CET 2016 - jslaby@suse.cz
- kbuild: provide include/asm/asm-prototypes.h for x86 (bnc#1016101).
- commit 74d2b9b
-------------------------------------------------------------------
Thu Dec 15 10:39:22 CET 2016 - jslaby@suse.cz
- ipc: msg, make msgrcv work with LONG_MIN (bnc#1005918).
- commit 1583bd5
-------------------------------------------------------------------
Wed Dec 14 17:54:56 CET 2016 - jeffm@suse.com
- Fix incorrect 'Reference' tag.
- commit fd5379c
-------------------------------------------------------------------
Mon Dec 12 15:21:46 CET 2016 - jeffm@suse.com
- Update to 4.9-final.
- Eliminated 1 patch.
- commit f49341d
-------------------------------------------------------------------
Mon Dec 12 08:58:11 CET 2016 - jslaby@suse.cz
- Linux 4.8.14 (CVE-2016-9576 bnc#1012628 bsc#1013604
CVE-2016-8655 bsc#1012754).
- Delete
patches.fixes/don-t-feed-anything-but-regular-iovec-s-to-blk_rq_map_user_iov.patch.
- Delete
patches.fixes/packet-fix-race-condition-in-packet_set_ring.patch.
- commit ab53e9a
-------------------------------------------------------------------
Fri Dec 9 10:03:38 CET 2016 - jthumshirn@suse.de
- Don't feed anything but regular iovec's to blk_rq_map_user_iov
(CVE-2016-9576 bsc#1013604).
- commit 7730184
-------------------------------------------------------------------
Fri Dec 9 09:35:02 CET 2016 - jthumshirn@suse.de
- Don't feed anything but regular iovec's to blk_rq_map_user_iov
(CVE-2016-9576 bsc#1013604).
- commit f66af51
-------------------------------------------------------------------
Fri Dec 9 08:53:16 CET 2016 - jslaby@suse.cz
- Linux 4.8.13 (bnc#1012628 boo#1008745 bsc#1010970).
- Delete
patches.fixes/0001-libfc-fix-seconds_since_last_reset-miscalculation.patch.
- Delete patches.fixes/kasan-update-kasan_global-for-gcc-7.patch.
- Delete
patches.fixes/zram-Fix-unbalanced-idr-management-at-hot-removal.
- commit 725988c
-------------------------------------------------------------------
Mon Dec 5 17:17:35 CET 2016 - jeffm@suse.com
- Update to 4.9-rc8.
- Eliminated 1 patch.
- MODVERSIONS is enabled again.
- commit 59795cb
-------------------------------------------------------------------
Mon Dec 5 16:06:36 CET 2016 - jslaby@suse.cz
- kasan: update kasan_global for gcc 7 (syzkaller).
- commit 18bb86c
-------------------------------------------------------------------
Mon Dec 5 15:37:40 CET 2016 - jslaby@suse.cz
- kasan: support use-after-scope detection (syzkaller).
- commit 5e37e6b
-------------------------------------------------------------------
Fri Dec 2 10:44:55 CET 2016 - jslaby@suse.cz
- Linux 4.8.12 (CVE-2016-7913 bnc#1012628 bsc#1010478
bsc#1000287).
- Delete
patches.apparmor/apparmor-fix-change_hat-not-finding-hat-after-policy-replacement.patch.
- Delete patches.fixes/xc2028-Fix-use-after-free-bug-properly.
- commit f8adb5a
-------------------------------------------------------------------
Fri Dec 2 08:59:24 CET 2016 - mkubecek@suse.cz
- packet: fix race condition in packet_set_ring (CVE-2016-8655
bsc#1012754).
- commit 3d7ef11
-------------------------------------------------------------------
Wed Nov 30 23:16:24 CET 2016 - jslaby@suse.cz
- drm/i915: Mark CPU cache as dirty when used for rendering
(bnc#931300).
- commit 04f28df
-------------------------------------------------------------------
Wed Nov 30 17:49:08 CET 2016 - jeffm@suse.com
- Update to 4.9-rc7.
- Eliminated 3 patches.
- MODVERSIONS (temporarily) depends on BROKEN (because it is). This will
need to be re-enabled when it is fixed or KMPs won't work.
- commit 3fd3ec0
-------------------------------------------------------------------
Wed Nov 30 17:27:48 CET 2016 - afaerber@suse.de
- config: arm64: Enable TCG_INFINEON
- commit bcfa9f0
-------------------------------------------------------------------
Tue Nov 29 13:59:22 CET 2016 - msuchanek@suse.de
- Add power key support for PMIcs which are already included in the configs
(boo#1012477).
Arm64 already has these so no need to patch it.
- commit b8f3026
-------------------------------------------------------------------
Tue Nov 29 10:41:36 CET 2016 - mmarek@suse.cz
- rpm/kernel-spec-macros: Fix the check if there is no rebuild counter (bsc#1012060)
- commit 6f16f5f
-------------------------------------------------------------------
Mon Nov 28 20:03:42 CET 2016 - mmarek@suse.com
- rpm/kernel-binary.spec.in: Export a make-stderr.log file (bsc#1012422)
- commit f738229
-------------------------------------------------------------------
Sun Nov 27 08:30:57 CET 2016 - tiwai@suse.de
- Fix bug reference in
patches.fixes/Revert-ACPI-Execute-_PTS-before-system-reboot
(bsc#1012220).
- commit f8ae998
-------------------------------------------------------------------
Sat Nov 26 17:26:36 CET 2016 - jslaby@suse.cz
- Linux 4.8.11 (: :).
- commit 8e531aa
-------------------------------------------------------------------
Fri Nov 25 16:49:16 CET 2016 - tiwai@suse.de
- ASoC: intel: Fix crash at suspend/resume without card
registration (bsc#1010690).
- commit ab10cc8
-------------------------------------------------------------------
Fri Nov 25 14:43:05 CET 2016 - tiwai@suse.de
- Revert "ACPI: Execute _PTS before system reboot" (bsc#101220).
- commit a8caaa4
-------------------------------------------------------------------
Fri Nov 25 14:19:08 CET 2016 - mmarek@suse.com
- rpm/dtb.spec.in.in: Adjust the formatting to osc's liking (bsc#1011920)
- commit cdcab93
-------------------------------------------------------------------
Fri Nov 25 11:33:45 CET 2016 - tiwai@suse.de
- xc2028: Fix use-after-free bug properly (CVE-2016-7913
bsc#1010478).
- commit dcfac88
-------------------------------------------------------------------
Thu Nov 24 19:20:51 CET 2016 - msuchanek@suse.de
- Enable Intel i810 audio driver used in OpenQA VMs (boo#1012575).
- commit 19ce2a6
-------------------------------------------------------------------
Thu Nov 24 13:30:01 CET 2016 - mkubecek@suse.cz
- Update patches.kernel.org/patch-4.8.7-8 references (add CVE-2016-9555 bsc#1011685).
- commit d81bac1
-------------------------------------------------------------------
Thu Nov 24 11:31:31 CET 2016 - mmarek@suse.com
- rpm/kernel-spec-macros: Ignore too high rebuild counter (bsc#1012060)
- commit 4ba30ba
-------------------------------------------------------------------
Wed Nov 23 16:58:41 CET 2016 - mmarek@suse.com
- rpm/mkspec-dtb: Print progress like mkspec does (bsc#1011920)
- commit 327c01e
-------------------------------------------------------------------
Wed Nov 23 16:52:29 CET 2016 - mmarek@suse.com
- Drop dtb-source.spec and move the sources to kernel-source (bsc#1011920)
- commit 3a67f58
-------------------------------------------------------------------
Wed Nov 23 15:38:51 CET 2016 - mmarek@suse.com
- Preprocess the dtb spec template with the kernel mkspec script
This sets up the kernel version and release macros (bsc#1011920).
- commit 814c4ec
-------------------------------------------------------------------
Wed Nov 23 13:42:44 CET 2016 - mmarek@suse.com
- rpm/mkspec-dtb: Do not run the osc specfile formatter (bsc#1011920)
It may produce varying output depending on the installed osc version.
- commit 2673925
-------------------------------------------------------------------
Wed Nov 23 13:40:59 CET 2016 - mmarek@suse.com
- rpm/mkspec-dtb: Stop copying the dtb .changes files (bsc#1011920)
We are using the kernel changelog now.
- commit c7605f2
-------------------------------------------------------------------
Wed Nov 23 13:38:05 CET 2016 - mmarek@suse.com
- rename dtb's pre_checkin.pl to mkspec-dtb (bsc#1011920)
- commit 9e33f22
-------------------------------------------------------------------
Wed Nov 23 13:24:57 CET 2016 - mmarek@suse.com
- Import dtb-source specfiles into kernel-source (bsc#1011920)
- commit 7975919
-------------------------------------------------------------------
Mon Nov 21 17:30:15 CET 2016 - mmarek@suse.cz
- Drop kernel-obs-qa-xen unconditionally (bsc#1010040)
The IBS cannot build it, even if there is a xen-capable
kernel-obs-build.
- commit f4797cb
-------------------------------------------------------------------
Mon Nov 21 16:14:49 CET 2016 - jeffm@suse.com
- Update to 4.9-rc6.
- commit 5f5c2ad
-------------------------------------------------------------------
Mon Nov 21 14:33:14 CET 2016 - tiwai@suse.de
- zram: Fix unbalanced idr management at hot removal
(bsc#1010970).
- commit d1ec066
-------------------------------------------------------------------
Mon Nov 21 12:09:30 CET 2016 - jslaby@suse.cz
- Linux 4.8.10 (: :).
- commit 86386e1
-------------------------------------------------------------------
Sat Nov 19 10:52:42 CET 2016 - jslaby@suse.cz
- Linux 4.8.9 (: :).
- commit be1f097
-------------------------------------------------------------------
Tue Nov 15 15:29:31 CET 2016 - mmarek@suse.com
- rpm/kernel-binary.spec.in: Only build -base and -extra with CONFIG_MODULES (bsc#1000118)
- commit 4bd9ec7
-------------------------------------------------------------------
Tue Nov 15 14:10:24 CET 2016 - mmarek@suse.com
- rpm/kernel-binary.spec.in: Do not create KMPs with CONFIG_MODULES=n
- commit 1cf9838
-------------------------------------------------------------------
Tue Nov 15 13:42:45 CET 2016 - mmarek@suse.com
- Reformat spec files according to the format_spec_file osc helper
- commit a3cd251
-------------------------------------------------------------------
Tue Nov 15 13:41:44 CET 2016 - mmarek@suse.com
- Update the copyright header in spec files
- commit 50b5bef
-------------------------------------------------------------------
Tue Nov 15 08:53:56 CET 2016 - jslaby@suse.cz
- Linux 4.8.8 (CVE-2016-7039 bsc#1001486).
- Delete patches.fixes/net-add-recursion-limit-to-GRO.patch.
- commit 7b7d4ed
-------------------------------------------------------------------
Mon Nov 14 15:18:32 CET 2016 - jeffm@suse.com
- Update to 4.9-rc5.
- commit 22cecc1
-------------------------------------------------------------------
Mon Nov 14 14:38:56 CET 2016 - jslaby@suse.cz
- rpm/constraints.in: increase disk for kernel-syzkaller
The kernel-syzkaller build now consumes around 30G. This causes
headache in factory where the package rebuilds over and over. Require
35G disk size to successfully build the flavor.
- commit 970a25c
-------------------------------------------------------------------
Sat Nov 12 10:25:29 CET 2016 - tiwai@suse.de
- Update config files: disable ASoC Intel BXT drivers
They are still premature, and it enables snd-soc-skl automatically
that interferes with the legacy HD-audio driver. Keep them disabled
until the issues are solved in upstream.
- commit 9e0babb
-------------------------------------------------------------------
Fri Nov 11 14:46:56 CET 2016 - jeffm@suse.com
- Disabled kmsg patches for s390.
It needs updating to deal with printk headers.
- commit 2740f7f
-------------------------------------------------------------------
Thu Nov 10 18:06:41 CET 2016 - jslaby@suse.cz
- Linux 4.8.7 (CVE-2016-7042 bnc#1006417 bnc#1008880 boo#1006825
bsc#1004517 bsc#1007653 boo#1003298 bsc#1007615).
- Delete
patches.drivers/0001-usbhid-add-ATEN-CS962-to-list-of-quirky-devices.patch.
- Delete
patches.fixes/0001-KEYS-Fix-short-sprintf-buffer-in-proc-keys-show-func.patch.
- Delete patches.fixes/ALSA-seq-Fix-time-account-regression.
- Delete
patches.fixes/btrfs-fix-races-on-root_log_ctx-lists.patch.
- Delete
patches.fixes/drm-fb-helper-Dont-call-dirty-callback-for-untouched-clips.
- Delete
patches.fixes/drm-i915-gen9-fix-DDB-partitioning-for-multi-screen-.patch.
- Delete
patches.fixes/x86-smpboot-Init-apic-mapping-before-usage.patch.
- commit c8f02a2
-------------------------------------------------------------------
Tue Nov 8 10:11:45 CET 2016 - jthumshirn@suse.de
- libfc: fix seconds_since_last_reset miscalculation (boo#1008745).
- commit da23b4a
-------------------------------------------------------------------
Mon Nov 7 13:19:16 CET 2016 - jslaby@suse.cz
- drm/i915/gen9: fix DDB partitioning for multi-screen cases
(bnc#1008880).
- commit 4a45dd3
-------------------------------------------------------------------
Sun Nov 6 02:55:28 CET 2016 - jeffm@suse.com
- Update to 4.9-rc4.
- Eliminated 2 patches.
- commit fccf41c
-------------------------------------------------------------------
Thu Nov 3 12:45:30 CET 2016 - oneukum@suse.com
- usbhid: add ATEN CS962 to list of quirky devices (bsc#1007615).
- commit f452d0b
-------------------------------------------------------------------
Thu Nov 3 09:36:24 CET 2016 - jlee@suse.com
- KEYS: Fix short sprintf buffer in /proc/keys show function
(bsc#1004517, CVE-2016-7042).
- commit 9d6b45c
-------------------------------------------------------------------
Wed Nov 2 04:33:07 CET 2016 - afaerber@suse.de
- config: arm64/armv7hl: Disable QCOM_WCNSS_PIL for now
Avoids a depmod error/abort:
depmod: ERROR: Cycle detected: qcom_wcnss_iris -> qcom_wcnss -> qcom_wcnss_iris
- commit 7183962
-------------------------------------------------------------------
Tue Nov 1 14:16:13 CET 2016 - mkubecek@suse.cz
- Update patches.fixes/net-add-recursion-limit-to-GRO.patch mainline reference.
- commit 3d61b80
-------------------------------------------------------------------
Tue Nov 1 14:12:52 CET 2016 - mkubecek@suse.cz
- Update patches.fixes/net-add-recursion-limit-to-GRO.patch mainline reference.
- commit dce3a53
-------------------------------------------------------------------
Mon Oct 31 13:02:56 CET 2016 - jslaby@suse.cz
- x86/smpboot: Init apic mapping before usage (bnc#1006417).
- commit 7d70b08
-------------------------------------------------------------------
Mon Oct 31 12:53:57 CET 2016 - jslaby@suse.cz
- Linux 4.8.6 (: :).
- commit dbb68be
-------------------------------------------------------------------
Sun Oct 30 02:24:19 CET 2016 - jeffm@suse.com
- Update to 4.9-rc3.
- Eliminated 2 patches.
- commit b005706
-------------------------------------------------------------------
Sat Oct 29 03:19:52 CEST 2016 - jeffm@suse.com
- btrfs: fix races on root_log_ctx lists (bsc#1007653).
- commit c710f61
-------------------------------------------------------------------
Sat Oct 29 03:16:07 CEST 2016 - jeffm@suse.com
- btrfs: fix races on root_log_ctx lists (bsc#1007653).
- commit 1e904fd
-------------------------------------------------------------------
Fri Oct 28 10:28:06 CEST 2016 - jslaby@suse.cz
- Linux 4.8.5 (: :).
- commit 9148e7c
-------------------------------------------------------------------
Thu Oct 27 17:32:03 CEST 2016 - tiwai@suse.de
- drm/radeon: Hide the HW cursor while it's out of bounds
(bsc#1000433).
- drm/radeon: Also call cursor_move_locked when the cursor size
changes (bsc#1000433).
- drm/radeon: Always store CRTC relative radeon_crtc->cursor_x/y
values (bsc#1000433).
- drm/radeon: Hide the HW cursor while it's out of bounds
(bsc#1000433).
- drm/radeon: Also call cursor_move_locked when the cursor size
changes (bsc#1000433).
- drm/radeon: Always store CRTC relative radeon_crtc->cursor_x/y
values (bsc#1000433).
- commit 30e023d
-------------------------------------------------------------------
Tue Oct 25 16:31:30 CEST 2016 - tiwai@suse.de
- ALSA: seq: Fix time account regression (boo#1006825).
- commit 9168b92
-------------------------------------------------------------------
Tue Oct 25 10:21:05 CEST 2016 - tiwai@suse.de
- drm/fb-helper: Don't call dirty callback for untouched clips
(boo#1003298).
- commit 092ed80
-------------------------------------------------------------------
Mon Oct 24 14:40:34 CEST 2016 - jeffm@suse.com
- Update to 4.9-rc2.
- commit ac4ccc9
-------------------------------------------------------------------
Sat Oct 22 13:24:48 CEST 2016 - jslaby@suse.cz
- Linux 4.8.4 (CVE-2016-7425 bnc#1003623 bsc#999932).
- Delete
patches.fixes/drm-virtio-reinstate-drm_virtio_set_busid.patch.
- Delete
patches.fixes/scsi-arcmsr-buffer-overflow-in-arcmsr_iop_message_xfer.patch.
- commit 402d8c1
-------------------------------------------------------------------
Fri Oct 21 11:49:23 CEST 2016 - afaerber@suse.de
- config: armv7hl: Update to v4.9-rc1
- commit 11efe27
-------------------------------------------------------------------
Thu Oct 20 18:02:23 CEST 2016 - afaerber@suse.de
- config: arm64: Update to v4.9-rc1
- commit 4e38383
-------------------------------------------------------------------
Thu Oct 20 11:18:45 CEST 2016 - jslaby@suse.cz
- Update patches.kernel.org/patch-4.8.2-3 (bnc#1004418
CVE-2016-5195).
- commit 94eb9fb
-------------------------------------------------------------------
Thu Oct 20 11:13:27 CEST 2016 - jslaby@suse.cz
- Linux 4.8.3 (: :).
- commit db38c1f
-------------------------------------------------------------------
Wed Oct 19 10:38:59 CEST 2016 - afaerber@suse.de
- config: armv6hl: Update to v4.9-rc1
- commit 46b5233
-------------------------------------------------------------------
Tue Oct 18 17:50:08 CEST 2016 - jslaby@suse.cz
- Revert "tty: serial: 8250: add CON_CONSDEV to flags"
(bnc#1003623).
- commit 967aac9
-------------------------------------------------------------------
Tue Oct 18 16:32:06 CEST 2016 - fdmanana@suse.com
- Btrfs: fix extent tree corruption due to relocation
(bsc#990384).
- commit 8930e43
-------------------------------------------------------------------
Mon Oct 17 21:37:55 CEST 2016 - jeffm@suse.com
- config: restore vanilla configs
I accidentally appended the new config options to the vanilla configs.
This patch restores them.
- commit 55c3dd5
-------------------------------------------------------------------
Mon Oct 17 19:37:51 CEST 2016 - jeffm@suse.com
- Update to 4.9-rc1.
- Eliminated 14 patches.
- ARM configs need updating.
- Config changes:
- General:
- VMAP_STACK=y (where supported)
- GCC plugins:
- GCC_PLUGIN_LATENT_ENTROPY=n (fork/irq overhead)
- CPUFREQ:
- CPU_FREQ_GOV_SCHEDUTIL=y
- x86:
- MLX_PLATFORM=m
- INTEL_ISH_HID=m
- SND_SOC_INTEL_BDW_RT5677_MACH=m
- SERIAL_8250_LPSS=y (i386)
- PCI:
- PCIE_PTM=y
- Networking:
- TCP_CONG_BBR=m
- NFT_NUMGEN=m
- NFT_SET_RBTREE=m
- NFT_SET_HASH=m
- NFT_QUOTA=m
- NET_ACT_SKBMOD=m
- NET_ACT_TUNNEL_KEY=m
- NET_IFE_SKBTCINDEX=m
- BATMAN_ADV_DEBUGFS=y
- BT_HCIUART_MRVL=y
- AF_RXRPC_IPV6=y
- AF_RXRPC_INJECT_LOSS=n
- ENA_ETHERNET=m
- MICROSEMI_PHY=m
- CRYPTO_DEV_CHELSIO=m
- INFINIBAND_QEDR=m
- GPIO:
- INPUT_GPIO_DECODER=m
- GPIO_MOCKUP=m
- GPIO_GPIO_MM=m
- GPIO_TS4900=m
- DRM:
- DRM_AMDGPU_SI=y
- DRM_PANEL_JDI_LT070ME05000=n
- DRM_DUMB_VGA_DAC=m
- DRM_LEGACY (this may impact users with older hardware)
- Sound:
- SND_SOC_NAU88C10=m
- SND_SIMPLE_SCU_CARD=m
- USB:
- USB_LEDS_TRIGGER_USBPORT=m
- USBIP_VHCI_HC_PORTS=8 (default)
- USBIP_VHCI_NR_HCS=1 (default)
- USB_HSIC_USB4604=m
- Other:
- LEDS_IS31FL319X=m
- RTC_DRV_DS1307_CENTURY=y
- WDAT_WDT=m
- WATCHDOG_PRETIMEOUT_GOV_PANIC=m
- WATCHDOG_PRETIMEOUT_GOV_NOOP=y
- VIDEO_TW5864=m
- CONFIG_LEDS_MLXCPLD=m
- SCSI_SMARTPQI=m
- TOUCHSCREEN_EKTF2127=m
- syzkaller config:
- UBSAN_NULL=y
- commit 059949b
-------------------------------------------------------------------
Mon Oct 17 18:25:38 CEST 2016 - jeffm@suse.com
- config: disable NET_DSA on non-ARM
- commit 17e3f19
-------------------------------------------------------------------
Mon Oct 17 11:30:10 CEST 2016 - jslaby@suse.cz
- drm: virtio: reinstate drm_virtio_set_busid() (bnc#1003623).
- commit 7574477
-------------------------------------------------------------------
Sun Oct 16 20:11:45 CEST 2016 - jslaby@suse.cz
- Linux 4.8.2 (: :).
- commit b46d583
-------------------------------------------------------------------
Sun Oct 16 09:01:19 CEST 2016 - afaerber@suse.de
- config: armv7hl: Enable MMC_SDHCI_IPROC for lpae (boo#1005019)
Needed for Raspberry Pi 2, and default flavor already has it.
- commit 81ca578
-------------------------------------------------------------------
Fri Oct 14 09:24:35 CEST 2016 - jslaby@suse.cz
- time: Avoid undefined behaviour in ktime_add_safe() (syzkaller
testing).
- commit 28732f2
-------------------------------------------------------------------
Fri Oct 14 09:13:22 CEST 2016 - jslaby@suse.cz
- fix get_builtin_firmware compilation with gcc 7 (bnc#1004626).
- commit c8f15f0
-------------------------------------------------------------------
Tue Oct 11 21:42:46 CEST 2016 - mwilck@suse.de
- Input: ALPS - set DualPoint flag for 74 03 28 devices
(bsc#987703).
- Input: ALPS - allow touchsticks to report pressure (bsc#987703).
- Input: ALPS - handle 0-pressure 1F events (bsc#987703).
- Input: ALPS - add touchstick support for SS5 hardware
(bsc#987703).
- Input: ALPS - set DualPoint flag for 74 03 28 devices
(bsc#987703).
- Input: ALPS - allow touchsticks to report pressure (bsc#987703).
- Input: ALPS - handle 0-pressure 1F events (bsc#987703).
- Input: ALPS - add touchstick support for SS5 hardware
(bsc#987703).
- commit 5e4310e
-------------------------------------------------------------------
Mon Oct 10 02:30:23 CEST 2016 - mkubecek@suse.cz
- net: add recursion limit to GRO (CVE-2016-7039 bsc#1001486).
- commit c6408a6
-------------------------------------------------------------------
Fri Oct 7 16:54:54 CEST 2016 - jslaby@suse.cz
- Linux 4.8.1 (: :).
- commit 4861355
-------------------------------------------------------------------
Fri Oct 7 13:22:26 CEST 2016 - jroedel@suse.de
- Update blacklisting documentation to contain path-blacklisting
- README.blacklist:
- blacklist.conf:
- commit 57a57d3
-------------------------------------------------------------------
Wed Oct 5 22:22:30 CEST 2016 - jeffm@suse.com
- apparmor: fix change_hat not finding hat after policy
replacement (bsc#1000287).
- commit b49afc4
-------------------------------------------------------------------
Wed Oct 5 22:21:49 CEST 2016 - jeffm@suse.com
- Update AppArmor network mediation patchset.
- AppArmor: basic networking rules (FATE#300516).
- apparmor: Fix quieting of audit messages for network mediation
(FATE#300516).
- Delete
patches.apparmor/apparmor-compatibility-patch-for-v5-network-control.
- commit a586694
-------------------------------------------------------------------
Wed Oct 5 15:04:10 CEST 2016 - mkubecek@suse.cz
- net: add recursion limit to GRO (CVE-2016-7039 bsc#1001486).
- commit 0a6dcd2
-------------------------------------------------------------------
Tue Oct 4 12:00:13 CEST 2016 - jroedel@suse.de
- Document the process to blacklist upstream commit-ids
- README.blacklist:
- blacklist.conf:
- commit ff3ff42
-------------------------------------------------------------------
Mon Oct 3 14:57:45 CEST 2016 - jeffm@suse.com
- Update to 4.8-final.
- commit bbbf852
-------------------------------------------------------------------
Mon Oct 3 11:18:49 CEST 2016 - mmarek@suse.com
- rpm/kernel-binary.spec.in: Simplify debug info switch
Any CONFIG_DEBUG_INFO sub-options are answered in the configs nowadays.
- commit d404ac5
-------------------------------------------------------------------
Fri Sep 30 14:05:29 CEST 2016 - jslaby@suse.cz
- rpm/package-descriptions: the flavor is 64kb, not 64k
- commit 2e05d02
-------------------------------------------------------------------
Fri Sep 30 13:26:33 CEST 2016 - jslaby@suse.cz
- Linux 4.7.6 (bsc#997639 bsc#999600).
- Delete
patches.fixes/autofs-use-dentry-flags-to-block-walks-during-expire.
- Delete
patches.suse/btrfs-ensure-that-file-descriptor-used-with-subvol-i.patch.
- commit 0b20275
-------------------------------------------------------------------
Tue Sep 27 13:01:09 CEST 2016 - mmarek@suse.com
- Refresh malformed patches for series2git
- Refresh patches.arch/acpi_thermal_passive_blacklist.patch.
- Refresh patches.fixes/dm-table-switch-to-readonly.
- commit 991ee60
-------------------------------------------------------------------
Mon Sep 26 15:34:54 CEST 2016 - jeffm@suse.com
- Update to 4.8-rc8.
- Eliminated 2 patches.
- commit 698a4f4
-------------------------------------------------------------------
Mon Sep 26 09:52:29 CEST 2016 - schwab@suse.de
- kernel-module-subpackage: Properly quote flavor in expressions
That fixes a parse error if the flavor starts with a digit or contains
other non-alphabetic characters.
- commit 19ba528
-------------------------------------------------------------------
Mon Sep 26 09:42:49 CEST 2016 - agraf@suse.de
- rpm/package-descriptions: Add 64kb kernel flavor description
- commit 7d9e0ef
-------------------------------------------------------------------
Sun Sep 25 12:39:49 CEST 2016 - bp@suse.de
- scsi: arcmsr: Buffer overflow in arcmsr_iop_message_xfer()
(bsc#999932 CVE-2016-7425).
- commit 135aa22
-------------------------------------------------------------------
Sun Sep 25 12:25:05 CEST 2016 - bp@suse.de
- scsi: arcmsr: Buffer overflow in arcmsr_iop_message_xfer()
(bsc#999932 CVE-2016-7425).
- commit 60fc512
-------------------------------------------------------------------
Sat Sep 24 13:41:43 CEST 2016 - jslaby@suse.cz
- Linux 4.7.5 (CVE-2016-6828 bnc#994066 bsc#993996 bsc#994296).
- Delete
patches.fixes/drm-i915-Ignore-OpRegion-panel-type-except-on-select.
- Delete
patches.fixes/mm-oom-prevent-premature-OOM-killer-invocation-for-h.patch.
- Delete
patches.fixes/tcp-fix-use-after-free-in-tcp_xmit_retransmit_queue.patch.
- Delete patches.rpmify/i915-fix-build-error-with-werror.
- commit c7aed11
-------------------------------------------------------------------
Fri Sep 23 18:50:11 CEST 2016 - afaerber@suse.de
- config: arm64: Enable RASPBERRYPI_POWER
- commit bd37084
-------------------------------------------------------------------
Fri Sep 23 18:47:37 CEST 2016 - afaerber@suse.de
- config: armv7hl: Enable RASPBERRYPI_POWER
- commit 4dc44c4
-------------------------------------------------------------------
Fri Sep 23 18:27:17 CEST 2016 - afaerber@suse.de
- config: armv7hl: Enable virtio-pci
Needed for armv7l-on-aarch64 OBS workers.
- commit 2407e65
-------------------------------------------------------------------
Fri Sep 23 18:19:55 CEST 2016 - afaerber@suse.de
- config: armv6hl: Enable USB OTG
The Raspberry Pi Zero has an OTG port accessible.
- commit 3f0806a
-------------------------------------------------------------------
Fri Sep 23 13:57:54 CEST 2016 - jslaby@suse.cz
- Update config files.
- Delete patches.suse/syzkaller-no-gcc-plugin.patch.
The patch is actually not needed as we have sanitizer support in gcc
directly (no need for plugins).
- commit 0c67c61
-------------------------------------------------------------------
Fri Sep 23 11:44:27 CEST 2016 - jslaby@suse.cz
- Update config files.
Enable UBSAN for syzkaller. It still boots, even though we are down
at 250 s boot time from 130 s. Might be reconsidered later.
- commit 07d153e
-------------------------------------------------------------------
Thu Sep 22 12:07:12 CEST 2016 - gqjiang@suse.com
- md-cluster: make resync lock also could be interruptted
(fate#316335).
- md-cluster: introduce dlm_lock_sync_interruptible to fix tasks
hang (fate#316335).
- md-cluster: convert the completion to wait queue (fate#316335).
- md-cluster: protect md_find_rdev_nr_rcu with rcu lock
(fate#316335).
- md-cluster: clean related infos of cluster (fate#316335).
- md: changes for MD_STILL_CLOSED flag (fate#316335).
- md-cluster: remove some unnecessary dlm_unlock_sync
(fate#316335).
- md-cluster: use FORCEUNLOCK in lockres_free (fate#316335).
- md-cluster: call md_kick_rdev_from_array once ack failed
(fate#316335).
- commit a983435
-------------------------------------------------------------------
Wed Sep 21 20:54:36 CEST 2016 - agraf@suse.de
- arm64: disable hvc_dcc
- commit 30c4b0b
-------------------------------------------------------------------
Wed Sep 21 20:02:39 CEST 2016 - agraf@suse.de
- arm64: Relocate screen_info.lfb_base on PCI BAR allocation (bsc#975159).
- commit c3f6949
-------------------------------------------------------------------
Wed Sep 21 17:48:39 CEST 2016 - agraf@suse.de
- arm64: Refuse to install 4k kernel on 64k system
- commit 478142f
-------------------------------------------------------------------
Wed Sep 21 17:14:12 CEST 2016 - mmarek@suse.com
- Delete now unneeded patches.suse/split-package (bsc#1000118)
- Update config files.
- Refresh patches.suse/supported-flag,
- commit 5c1d976
-------------------------------------------------------------------
Wed Sep 21 16:15:46 CEST 2016 - jeffm@suse.com
- btrfs: ensure that file descriptor used with subvol ioctls is
a dir (bsc#999600).
- commit cf44e6a
-------------------------------------------------------------------
Wed Sep 21 16:15:15 CEST 2016 - jeffm@suse.com
- btrfs: ensure that file descriptor used with subvol ioctls is
a dir (bsc#999600).
- commit c246d58
-------------------------------------------------------------------
Wed Sep 21 11:52:48 CEST 2016 - mmarek@suse.com
- rpm/package-descriptions: pv has been merged into -default (fate#315712)
- commit 711ab33
-------------------------------------------------------------------
Wed Sep 21 11:26:42 CEST 2016 - jslaby@suse.cz
- rpm/package-descriptions: add kernel-syzkaller
- commit 620032b
-------------------------------------------------------------------
Wed Sep 21 10:58:48 CEST 2016 - mmarek@suse.com
- rpm/kernel-binary.spec.in: Build the -base package unconditionally (bsc#1000118)
- commit 1d8c576
-------------------------------------------------------------------
Wed Sep 21 09:50:13 CEST 2016 - jslaby@suse.cz
- Add syzkaller flavor (bnc#1000094).
- Update config files.
- syzkaller: no need for gcc plugins (bnc#1000094).
- commit 3c1aea1
-------------------------------------------------------------------
Tue Sep 20 18:29:38 CEST 2016 - agraf@suse.de
- arm64: Add 64kb flavor for 64kb page size
- commit 4f006b4
-------------------------------------------------------------------
Tue Sep 20 18:25:14 CEST 2016 - agraf@suse.de
- Switch arm64 default config to 4k
- commit 5244f77
-------------------------------------------------------------------
Tue Sep 20 14:43:56 CEST 2016 - tiwai@suse.de
- rpm/kernel-docs.spec.in: Add support of sphinx with recent kernels
- commit b503754
-------------------------------------------------------------------
Tue Sep 20 12:29:38 CEST 2016 - tiwai@suse.de
- Refresh
patches.fixes/autofs-use-dentry-flags-to-block-walks-during-expire.
Update git commit tag to sync with upstream.
- commit 5c2468b
-------------------------------------------------------------------
Mon Sep 19 18:26:18 CEST 2016 - jeffm@suse.com
- Update to 4.8-rc7.
- Eliminated 1 patch.
- commit 70952c1
-------------------------------------------------------------------
Thu Sep 15 15:08:06 CEST 2016 - mmarek@suse.cz
- Delete scripts/mkpatch.py
It is an obsolete script that does not work with git, as pointed out by
Benjamin Poirier.
- commit 38a9f7b
-------------------------------------------------------------------
Thu Sep 15 11:30:53 CEST 2016 - tiwai@suse.de
- drm/i915: Ignore OpRegion panel type except on select machines (bsc#993996).
- commit 7dc014c
-------------------------------------------------------------------
Thu Sep 15 09:32:40 CEST 2016 - jslaby@suse.cz
- Linux 4.7.4.
- commit b93c795
-------------------------------------------------------------------
Wed Sep 14 15:54:36 CEST 2016 - jeffm@suse.com
- config: enable RANDOMIZE_BASE and friends (bsc#998554).
- commit 4de94c3
-------------------------------------------------------------------
Wed Sep 14 15:51:41 CEST 2016 - jeffm@suse.com
- Update to 4.8-rc6.
- commit 01852e1
-------------------------------------------------------------------
Wed Sep 14 13:45:16 CEST 2016 - tiwai@suse.de
- drm/i915: Ignore OpRegion panel type except on select machines (bsc#993996).
- commit 6a5bbb1
-------------------------------------------------------------------
Mon Sep 12 21:25:36 CEST 2016 - mhocko@suse.cz
- mm, oom: prevent premature OOM killer invocation for high
order request (bnc#994066).
- commit d2c8eb9
-------------------------------------------------------------------
Thu Sep 8 15:04:24 CEST 2016 - tiwai@suse.de
- Refresh patches.fixes/autofs-use-dentry-flags-to-block-walks-during-expire
Fix schedule_timeout() call with uninterruptible one (bsc#997639)
- commit 7ad9c1d
-------------------------------------------------------------------
Wed Sep 7 17:41:12 CEST 2016 - tiwai@suse.de
- autofs - use dentry flags to block walks during expire
(bsc#997639).
- commit 268a807
-------------------------------------------------------------------
Wed Sep 7 16:52:16 CEST 2016 - jeffm@suse.com
- config: enable FAIL_MAKE_REQUEST for testing purposes
- commit 28527e8
-------------------------------------------------------------------
Wed Sep 7 09:38:58 CEST 2016 - jslaby@suse.cz
- Linux 4.7.3 (CVE-2016-6480 bsc#991608).
- Delete
patches.fixes/aacraid-check-size-values-after-double-fetch-from-user.patch.
- commit 2ecc7fe
-------------------------------------------------------------------
Tue Sep 6 17:18:07 CEST 2016 - jeffm@suse.com
- Update to 4.8-rc5.
- Config changes:
- ARMV6: HW_RANDOM_MXC_RNGA=m
- commit a0ab922
-------------------------------------------------------------------
Sun Sep 4 13:06:35 CEST 2016 - afaerber@suse.de
- config: arm64: Enable brcmfmac
The Raspberry Pi 3 has a BCM43438, with a firmware package being prepared.
- commit 6575948
-------------------------------------------------------------------
Fri Sep 2 12:57:42 CEST 2016 - mmarek@suse.com
- rpm/mkspec: Read a default release string from rpm/config.sh (bsc997059)
- commit cf65a8d
-------------------------------------------------------------------
Thu Sep 1 14:08:53 CEST 2016 - afaerber@suse.de
- config: arm64: Update to 4.8-rc4
- commit 5ce1ddf
-------------------------------------------------------------------
Thu Sep 1 13:56:40 CEST 2016 - afaerber@suse.de
- config: armv6hl: Update to 4.8-rc4
- commit 14bbfb0
-------------------------------------------------------------------
Thu Sep 1 13:44:24 CEST 2016 - afaerber@suse.de
- config: armv7hl: Update to 4.8-rc4
- commit 8442357
-------------------------------------------------------------------
Tue Aug 30 00:03:10 CEST 2016 - jeffm@suse.com
- Revert "Btrfs: improve performance on fsync against new inode
after rename/unlink" (bsc#981597).
- Revert "Btrfs: fix lockdep warning on deadlock against an
inode's log mutex" (bsc#981597).
- commit 003f624
-------------------------------------------------------------------
Mon Aug 29 22:58:34 CEST 2016 - tiwai@suse.de
- drm/i915: Fix S4 resume breakage
(bsc#984629,bsc#984632,bsc#993472).
- commit 7e4bf41
-------------------------------------------------------------------
Mon Aug 29 22:56:22 CEST 2016 - tiwai@suse.de
- drm/i915: Fix S4 resume breakage
(bsc#984629,bsc#984632,bsc#993472).
- drm/i915: Add distinct stubs for PM hibernation phases
(bsc#984632,bsc#993472).
- x86 / hibernate: Use hlt_play_dead() when resuming from
hibernation (bsc#984629,bsc#993472).
- commit 1d73b85
-------------------------------------------------------------------
Mon Aug 29 14:42:34 CEST 2016 - jeffm@suse.com
- Update to 4.8-rc4.
- commit fa01113
-------------------------------------------------------------------
Tue Aug 23 10:09:14 CEST 2016 - mkubecek@suse.cz
- tcp: fix use after free in tcp_xmit_retransmit_queue()
(CVE-2016-6828 bsc#994296).
- commit 73d7d3e
-------------------------------------------------------------------
Mon Aug 22 16:52:18 CEST 2016 - jeffm@suse.com
- Update to 4.8-rc3.
- Eliminated 1 patch.
- commit c0d2ef5
-------------------------------------------------------------------
Sun Aug 21 10:59:11 CEST 2016 - jslaby@suse.cz
- Linux 4.7.2.
- Refresh patches.fixes/block-copy-bi_vcnt-in-_bio_clone_fast.
- commit 34ba8d6
-------------------------------------------------------------------
Sat Aug 20 15:15:08 CEST 2016 - mmarek@suse.cz
- rpm/old-flavors: Obsolte kernel-compute by kernel-rt (fate#320686)
- commit 964f3e2
-------------------------------------------------------------------
Sat Aug 20 07:11:23 CEST 2016 - jason.derose@experient-inc.com
- Enable Sea Island GPUs in AMDGPU
- commit 545853f
-------------------------------------------------------------------
Tue Aug 16 19:00:15 CEST 2016 - mbrugger@suse.com
- Delete
patches.arch/arm64-1-6-drivers-net-xgene-MAC-and-PHY-configuration-changes.patch.
- Delete
patches.arch/arm64-2-6-drivers-net-xgene-Backward-compatibility-with-older-firmware.patch.
- Delete
patches.arch/arm64-3-6-drivers-net-phy-Add-MDIO-driver.patch.
- Delete
patches.arch/arm64-6-6-drivers-net-xgene-Fix-module-load-unload-crash.patch.
Newer version of this patches are upstream
- commit 0fce644
-------------------------------------------------------------------
Tue Aug 16 16:32:45 CEST 2016 - jslaby@suse.cz
- Linux 4.7.1 (CVE-2016-6516 bsc#991604).
- Delete
patches.fixes/vfs-ioctl-prevent-double-fetch-in-dedupe-ioctl.
- commit 61bf3f1
-------------------------------------------------------------------
Tue Aug 16 16:11:36 CEST 2016 - mmarek@suse.com
- rpm/kernel-source.spec.in: Unroll a loop with only a single iteration
- commit 601c09e
-------------------------------------------------------------------
Mon Aug 15 23:21:33 CEST 2016 - jeffm@suse.com
- Re-enable s390 message catalog patches.
The printk changes that went into v4.8-rc1 were reverted (temporarily)
for -rc2, so the old patches merge simply again.
- commit 5640fd4
-------------------------------------------------------------------
Mon Aug 15 16:45:24 CEST 2016 - jeffm@suse.com
- Update to 4.8-rc2.
- Config changes:
- Global:
- HARDENED_USERCOPY=n (we need to examine how it affects performance)
- s390:
- S390_GUEST_OLD_TRANSPORT=n (deprecated)
- commit 42c9649
-------------------------------------------------------------------
Mon Aug 15 11:46:42 CEST 2016 - agraf@suse.de
- Delete
patches.arch/arm64-0010-KVM-ARM-Hack-to-enable-VGIC-mapping-on-64k-PAGE_SIZE.patch.
- we no longer need to run on non-64k compliant hardware
- commit c55dae1
-------------------------------------------------------------------
Mon Aug 15 11:45:32 CEST 2016 - agraf@suse.de
- Remove ppc64le support on early POWER7 systems (we build for p8 by now anyway)
- Delete
patches.arch/ppc64le-ile-0001-powerpc-Add-global-exports-for-all-interrupt-vectors.patch.
- Delete
patches.arch/ppc64le-ile-0002-powerpc-Add-relocation-code-for-fixups.patch.
- Delete
patches.arch/ppc64le-ile-0003-powerpc-Add-hack-to-make-ppc64le-work-on-hosts-witho.patch.
- Delete
patches.arch/ppc64le-ile-0004-powerpc-Don-t-return-to-BE-mode-when-we-are-already-.patch.
- commit c4ff529
-------------------------------------------------------------------
Mon Aug 15 01:57:11 CEST 2016 - jeffm@suse.com
- Update to 4.8-rc1.
- Eliminated 7 patches.
- ARM configs need updating.
- Several patches need to be merged by their owners.
- Config changes:
- Global:
- GCC_PLUGINS=y (menu option)
- GCC_PLUGIN_CYC_COMPLEXITY=n
- TPM:
- TCG_TIS_SPI
- TCG_VTPM_PROXY
- TCG_TIS_ST33ZP24_I2C
- TCG_TIS_ST33ZP24_SPI
- Sensors:
- SENSORS_FTSTEUTATES
- SENSORS_SHT3x
- SENSORS_INA3221
- BMA220=n
- MMA7660=n
- MAX5487=n
- Graphics:
- DRM_I915_GVT=y
- ACPI:
- DPTF_POWER
- ACPI_CONFIGFS
- CPUFreq:
- CPU_FREQ_STAT=n (overhead)
- PCI:
- PCIE_DPC=y (should be m but it's a bool)
- RapidIO:
- RAPIDIO_CHMAN
- RAPIDIO_RXS_GEN3
- IP:
- TCP_CONG_NV
- Netfilter:
- NET_CLS_MATCHALL
- Virtualization:
- VIRTIO_VSOCKETS
- VHOST_VSOCK
- Networking hardware:
- INTEL_XWAY_PHY
- B53=n
- NVME:
- NVME_RDMA
- NVME_TARGET
- NVME_TARGET_LOOP
- NVME_TARGET_RDMA
- Infiniband:
- RDMA_RXE
- RTC:
- RTC_DRV_MAX6916=n
- Storage:
- SCSI_UFS_DWC_TC_PCI=n (test hardware)
- SCSI_UFS_DWC_TC_PLATFORM=n
- Input:
- TABLET_USB_PEGASUS
- INPUT_ATMEL_CAPTOUCH
- HID:
- HID_LED
- HID_ALPS
- LEDs:
- LEDS_LP3952
- LEDS_TRIGGER_DISK
- Touchscreen I2C/SPI:
- TOUCHSCREEN_RM_TS
- TOUCHSCREEN_SILEAD
- TOUCHSCREEN_SIS_I2C
- TOUCHSCREEN_SURFACE3_SPI
- File Systems:
- EXT4_ENCRYPTION=y
- PSTORE_LZO_COMPRESS=y
- NFSD_FLEXFILELAYOUT=y
- SECURITY_APPARMOR_HASH_DEFAULT=y
- Crypto:
- CRYPTO_DH
- CRYPTO_ECDH
- CRYPTO_SHA3
- Video:
- DRM_I2C_ADV7533
- Staging:
- MEDIA_CEC=y (will be part of another module when out of staging)
- KS7010
- Audio:
- SND_SOC_INTEL_BXT_DA7219_MAX98357A_MACH
- SND_SOC_BT_SCO
- Misc:
- IIO_SW_DEVICE
- IIO_TIGHTLOOP_TRIGGER=n
- MTD_NAND_MTK
- x86:
- INTEL_VBTN
- PWM_CROS_EC
- x86_64:
- CRYPTO_SHA256_MB
- CRYPTO_SHA512_MB
- powerpc:
- GEN_RTC=n
- HOTPLUG_PCI_POWERNV
- CXL_BIMODAL=y
- SCSI_IBMVSCSIS
- ADB_PMU_LED_DISK=y
- POWERNV_OP_PANEL
- JUMP_LABEL_FEATURE_CHECKS=y
- JUMP_LABEL_FEATURE_CHECK_DEBUG=n
- CRYPT_CRC32C_VPMSUM
- BPF_JIT=y
- s390:
- KCOV=n
- CRYPTO_CRC32_S390=y
- ARM (disabled):
- MDIO_HISI_FEMAC
- DRM_SII902X
- DRM_TOSHIBA_TC358767
- SND_SUN4I_I2S
- SND_SOC_ADAU7002
- SND_SOC_CS35L33
- SND_SOC_CS53L30
- SND_SOC_MAX98504
- SND_SOC_MAX9860
- SND_SOC_WM8985
- SUNXI_CCU
- TI_SYSCON_RESET
- NET_NCSI (only used by Faraday, which is ARM hardware)
- commit d5c4fe8
-------------------------------------------------------------------
Tue Aug 9 14:49:30 CEST 2016 - jthumshirn@suse.de
- aacraid: Check size values after double-fetch from user
(CVE-2016-6480 bsc#991608).
- Delete
patches.drivers/0001-aacraid-prevent-out-of-bounds-access-due-to-changing.patch.
- commit 39024c1
-------------------------------------------------------------------
Fri Aug 5 10:50:53 CEST 2016 - jslaby@suse.cz
- rpm/constraints.in: set memory minimum for binaries
Binary packages are sometimes built on machines with 1G. For example
one arm build ran in a VM with -smp 1 -m 1024. And it caused OOMs.
So set the minimal memory to 2G for all archs.
- commit b3acaa2
-------------------------------------------------------------------
Thu Aug 4 13:26:54 CEST 2016 - jthumshirn@suse.de
- aacraid: prevent out-of-bounds access due to changing fip
header sizes (bsc#991608, CVE-2016-6480).
- commit 162f969
-------------------------------------------------------------------
Thu Aug 4 01:48:42 CEST 2016 - neilb@suse.com
- Revert "SUNRPC: xs_sock_mark_closed() does not need to trigger
socket autoclose" (bsc#990356).
- commit fd538ca
-------------------------------------------------------------------
Wed Aug 3 14:23:00 CEST 2016 - tiwai@suse.de
- vfs: ioctl: prevent double-fetch in dedupe ioctl
(CVE-2016-6516,bsc#991604).
- commit 4008557
-------------------------------------------------------------------
Wed Aug 3 11:43:29 CEST 2016 - mkubecek@suse.cz
- Update
patches.fixes/netfilter-x_tables-speed-up-jump-target-validation.patch
references (CVE-2016-4998 bsc#986365).
- commit 10e550e
-------------------------------------------------------------------
Tue Aug 2 10:53:22 CEST 2016 - jdelvare@suse.de
- Make pinctrl-amd driver modular
The pinctrl-amd driver can now be built as a module.
- commit 52eb238
-------------------------------------------------------------------
Tue Aug 2 10:53:18 CEST 2016 - jdelvare@suse.de
- config: Enable missing BayTrail and LynxPoint drivers
We support the Intel BayTrail and LynxPoint systems so I believe we
should enable all related drivers.
- commit 69e57d4
-------------------------------------------------------------------
Mon Aug 1 09:50:41 CEST 2016 - jslaby@suse.cz
- rpm/constraints.in: inscrease binary size req to 25G
Currently, the build of master's -default kernel consumes exactly 20G.
So increase the limit from 20G to 25G to stop build failures in the
BS.
- commit c8360d6
-------------------------------------------------------------------
Wed Jul 27 11:30:33 CEST 2016 - schwab@suse.de
- group-source-files.pl: mark arch/*/scripts as devel
make[2]: /usr/src/linux-4.6.4-2/arch/powerpc/scripts/gcc-check-mprofile-kernel.sh: Command not found
- commit aa32770
-------------------------------------------------------------------
Mon Jul 25 11:51:10 CEST 2016 - tiwai@suse.de
- apparmor: fix arg_size computation for when setprocattr is
null terminated (CVE-2016-6187,bsc#988307).
- commit d1fa560
-------------------------------------------------------------------
Mon Jul 25 09:22:34 CEST 2016 - mkubecek@suse.cz
- netfilter: x_tables: speed up jump target validation
(CVE-2016-4997 bsc#986362).
- commit 9105e0d
-------------------------------------------------------------------
Mon Jul 25 01:28:04 CEST 2016 - jeffm@suse.com
- Update to 4.7-final.
- commit 24f30d5
-------------------------------------------------------------------
Thu Jul 21 15:28:10 CEST 2016 - agraf@suse.de
- Disable all ARMv8.1 and 8.2 features (bsc#981051)
- commit 684e9e1
-------------------------------------------------------------------
Thu Jul 21 14:38:47 CEST 2016 - jeffm@suse.com
- config.conf: re-enable arm64/vanilla config
- commit 152f160
-------------------------------------------------------------------
Tue Jul 19 11:06:59 CEST 2016 - jslaby@suse.cz
- Update patches.kernel.org/patch-4.6.3-4 (bnc#982729 bnc#985604).
Add one more reference.
- commit 8f4696b
-------------------------------------------------------------------
Mon Jul 18 21:46:49 CEST 2016 - jeffm@suse.com
- Update to 4.7-rc7.
- Eliminated 2 patches.
- commit f837062
-------------------------------------------------------------------
Mon Jul 18 10:14:34 CEST 2016 - mmarek@suse.com
- rpm/kernel-spec-macros: Add kver_cmp macro
This allows to use %if statements based on the version of the kernel to
be built.
- commit ea700be
-------------------------------------------------------------------
Fri Jul 15 18:03:57 CEST 2016 - afaerber@suse.de
- config: armv7hl: Enable POWER_RESET_AS3722 for lpae
It's needed for the Jetson TK1 (boo#989450).
(cherry picked from commit 5348402a99300b0b9fbe3e395a0d8d029833cce8)
- config: armv7hl: Enable POWER_RESET_AS3722 for lpae
It's needed for the Jetson TK1 (boo#989450).
- config: armv7hl: Enable POWER_RESET_AS3722 for lpae
It's needed for the Jetson TK1.
- commit 4d3bed9
-------------------------------------------------------------------
Fri Jul 15 17:32:23 CEST 2016 - tiwai@suse.de
- rpm/kernel-source.spec.in: recommends openssl-devel (bsc#987384)
- commit 2c51311
-------------------------------------------------------------------
Fri Jul 15 08:05:57 CEST 2016 - tiwai@suse.de
- Update patch-tags for ecrpytfs fix patches (boo#989084).
- commit ecd9058
-------------------------------------------------------------------
Wed Jul 13 12:17:38 CEST 2016 - tiwai@suse.de
- rpm/constraints.in: Increase to 8GB for kernel-source
4GB seems still too tight for some archs like ppc64le...
- commit d82b2bf
-------------------------------------------------------------------
Mon Jul 11 19:59:12 CEST 2016 - jslaby@suse.cz
- Linux 4.6.4 (bnc#982729).
- Delete
patches.drivers/0001-Subject-PATCH-USB-xhci-Add-broken-streams-quirk-for-.patch.
- commit 103c936
-------------------------------------------------------------------
Mon Jul 11 11:31:39 CEST 2016 - tiwai@suse.de
- apparmor: fix oops, validate buffer size in
apparmor_setprocattr() (CVE-2016-6187,bsc#988307).
- commit fbe379c
-------------------------------------------------------------------
Fri Jul 8 14:46:06 CEST 2016 - tiwai@suse.de
- ASoC: intel: Fix sst-dsp dependency on dw stuff (boo#988117).
- commit 7b473e9
-------------------------------------------------------------------
Fri Jul 8 12:30:57 CEST 2016 - tiwai@suse.de
- Update config files: enable DW_DMAC and ASoC Intel BDW/HSW drivers (boo#988117)
- commit cc11143
-------------------------------------------------------------------
Fri Jul 8 09:41:10 CEST 2016 - jdelvare@suse.de
- config: Disable RTC_DRV_DS1302
All other SPI RTC device drivers are disabled, no reason why this
one would be an exception.
- commit 0721302
-------------------------------------------------------------------
Fri Jul 8 02:22:32 CEST 2016 - afaerber@suse.de
- config: arm64: Enable TEGRA210_ADMA
- commit 8852ee8
-------------------------------------------------------------------
Fri Jul 8 02:20:22 CEST 2016 - afaerber@suse.de
- config: arm64: Enable DRM_ANALOGIX_ANX78XX
- commit 2773482
-------------------------------------------------------------------
Fri Jul 8 02:10:02 CEST 2016 - afaerber@suse.de
- config: armv7hl: Enable HDCP support for Snapdragon
arm64 already had it enabled.
- commit 1f3ecb7
-------------------------------------------------------------------
Fri Jul 8 00:52:44 CEST 2016 - afaerber@suse.de
- config: arm64: Align USB gadget options
Enable serial gadget console support, enable a missing configfs option
and disable legacy UAC1.
- commit 5065003
-------------------------------------------------------------------
Fri Jul 8 00:45:54 CEST 2016 - afaerber@suse.de
- config: armv7hl: Enable USB gadget options
This allows to build solutions like a Ceph USB storage gateway,
presented at openSUSE Conference:
https://events.opensuse.org/conference/oSC16/program/proposal/934
- commit a8b4ce6
-------------------------------------------------------------------
Fri Jul 8 00:22:19 CEST 2016 - afaerber@suse.de
- config: armv6hl: Enable remaining LED triggers
Also enable flash LED class while at it.
- commit 97b6c11
-------------------------------------------------------------------
Fri Jul 8 00:16:22 CEST 2016 - afaerber@suse.de
- config: armv6hl: Update to 4.7
- commit 5cd0742
-------------------------------------------------------------------
Thu Jul 7 20:31:26 CEST 2016 - jeffm@suse.com
- config: restore default cpufreq governor
The update to 4.7-rc1 mistakenly used CPU_FREQ_DEFAULT_GOV_PERFORMANCE=y.
This patch reverts to the longtime default of ONDEMAND.
- commit 27cce0c
-------------------------------------------------------------------
Thu Jul 7 02:10:04 CEST 2016 - neilb@suse.com
- Update patches.fixes/0001-posix_acl-Add-set_posix_acl.patch
(bsc#986570 CVE-2016-1237).
- Update
patches.fixes/0002-nfsd-check-permissions-when-setting-ACLs.patch
(bsc#986570 CVE-2016-1237).
- commit 789949d
-------------------------------------------------------------------
Wed Jul 6 08:13:54 CEST 2016 - neilb@suse.com
- Update patches.fixes/0001-posix_acl-Add-set_posix_acl.patch
(bsc#986570 CVE#2016-1237).
- Update
patches.fixes/0002-nfsd-check-permissions-when-setting-ACLs.patch
(bsc#986570 CVE#2016-1237).
- commit 10c8c01
-------------------------------------------------------------------
Wed Jul 6 06:32:20 CEST 2016 - neilb@suse.com
- nfsd: check permissions when setting ACLs (bsc#986570).
- posix_acl: Add set_posix_acl (bsc#986570).
- commit 2763888
-------------------------------------------------------------------
Tue Jul 5 23:47:04 CEST 2016 - jeffm@suse.com
- Revert "ecryptfs: forbid opening files without mmap handler".
- commit 9fd42f4
-------------------------------------------------------------------
Tue Jul 5 23:41:07 CEST 2016 - jeffm@suse.com
- Revert "ecryptfs: forbid opening files without mmap handler".
- commit a491e21
-------------------------------------------------------------------
Tue Jul 5 20:24:11 CEST 2016 - afaerber@suse.de
- config: armv7hl: Enable ipq4019
It's a Cortex-A7.
- commit 016ff1f
-------------------------------------------------------------------
Tue Jul 5 20:16:29 CEST 2016 - afaerber@suse.de
- config: armv7hl: Enable BCM2836 support for lpae
The Raspberry Pi 2 has a Cortex-A7, so let's enable it in lpae flavor.
Consistently make HW_RANDOM_BCM2835 a module.
- commit fb11bde
-------------------------------------------------------------------
Tue Jul 5 19:41:41 CEST 2016 - afaerber@suse.de
- config: armv7hl: Enable all LED triggers
Let's be consistent about offering them. arm64 has them already.
- commit 4dd8d95
-------------------------------------------------------------------
Mon Jul 4 22:49:04 CEST 2016 - agraf@suse.de
- Remove deprecated patches:
- Delete patches.arch/arm-arndale-dma.patch.
- Delete
patches.arch/arm64-0004-net-xgbe-Add-A0-silicon-support.patch.
- commit 4f0a683
-------------------------------------------------------------------
Mon Jul 4 17:30:35 CEST 2016 - jeffm@suse.com
- patches.rpmify/i915-fix-build-error-with-werror: actually fix the error
- commit 309a9bd
-------------------------------------------------------------------
Mon Jul 4 16:45:27 CEST 2016 - jeffm@suse.com
- Update to 4.7-rc6.
- commit 916c4e7
-------------------------------------------------------------------
Mon Jul 4 16:25:50 CEST 2016 - jeffm@suse.com
- patches.rpmify/i915-fix-build-error-with-werror: fix patch description
- i915: fix build error with -Werror.
- commit cf4ceb9
-------------------------------------------------------------------
Fri Jul 1 12:14:52 CEST 2016 - jthumshirn@suse.de
- Update config files to allow building of libnvdimm as module.
- commit f8d3041
-------------------------------------------------------------------
Tue Jun 28 14:17:24 CEST 2016 - mmarek@suse.com
- Delete patches.suse/sd_init.mark_majors_busy.patch (bsc#744658)
It was only required by the xenlinux frontent drivers.
- commit 50d8ea0
-------------------------------------------------------------------
Mon Jun 27 22:38:16 CEST 2016 - jeffm@suse.com
- Update to 4.7-rc5.
- Eliminated 1 patch.
- commit 1207339
-------------------------------------------------------------------
Mon Jun 27 15:37:24 CEST 2016 - jkosina@suse.cz
- HID: hiddev: validate num_values for HIDIOCGUSAGES,
HIDIOCSUSAGES commands (bsc#986572 CVE-2016-5829).
- commit 0646d06
-------------------------------------------------------------------
Mon Jun 27 11:01:27 CEST 2016 - mkubecek@suse.cz
- Update patches.kernel.org/patch-4.6.2-3 (add CVE-2016-4997 bsc#986362).
- commit fbd108c
-------------------------------------------------------------------
Sun Jun 26 09:34:33 CEST 2016 - jslaby@suse.cz
- Linux 4.6.3 (CVE-2016-4951 bsc#981058 bsc#983458).
- Delete
patches.arch/arm64-mm-always-take-dirty-state-from-new-pte-in-pte.patch.
- Delete
patches.fixes/tipc-check-nl-sock-before-parsing-nested-attributes.patch.
- commit d4bcf2a
-------------------------------------------------------------------
Wed Jun 22 19:22:31 CEST 2016 - matwey.kornilov@gmail.com
- config: armv7hl: Update configs for 4.7
[AF: Updated to -rc6: OMAP5 erratum]
[AF: Revert CPU_FREQ_DEFAULT_GOV_PERFORMANCE (follows x86)]
[AF: Keep CONFIG_ARM_EXYNOS[5]_BUS_DEVFREQ enabled]
[AF: Enable DRM_ANALOGIX_ANX78XX, SND_SOC_WM8960]
- commit 62dcd14
-------------------------------------------------------------------
Tue Jun 21 08:12:52 CEST 2016 - jlee@suse.com
- KEYS: potential uninitialized variable (bsc#984755,
CVE-2016-4470).
- commit 96a29db
-------------------------------------------------------------------
Mon Jun 20 14:28:21 CEST 2016 - jeffm@suse.com
- Update to 4.7-rc4.
- commit 03b01dd
-------------------------------------------------------------------
Mon Jun 20 14:03:35 CEST 2016 - jslaby@suse.cz
- base: make module_create_drivers_dir race-free (bnc#983977).
- commit 6cfe0b8
-------------------------------------------------------------------
Wed Jun 15 17:06:07 CEST 2016 - mmarek@suse.cz
- rpm/constraints.in: Really require 4GB for kernel-source, add -rt (bsc#984845)
- commit a0dec36
-------------------------------------------------------------------
Wed Jun 15 15:17:11 CEST 2016 - mmarek@suse.cz
- rpm/constraints.in: Require 4GB of disk space for kernel-source (bsc#984845)
- commit 1b20111
-------------------------------------------------------------------
Tue Jun 14 16:56:52 CEST 2016 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Use the default value for CONFIG_MODULE_SIG_KEY (bsc#983634)
- commit 6eb6cd2
-------------------------------------------------------------------
Mon Jun 13 21:46:58 CEST 2016 - jeffm@suse.com
- Update to 4.7-rc3.
- 2 patches eliminated.
- commit 59af2d0
-------------------------------------------------------------------
Fri Jun 10 16:54:59 CEST 2016 - bp@suse.de
- rds: fix an infoleak in rds_inc_info_copy (bsc#983213
CVE-2016-5244).
- commit 1847ef5
-------------------------------------------------------------------
Fri Jun 10 16:51:08 CEST 2016 - bp@suse.de
- rds: fix an infoleak in rds_inc_info_copy (bsc#983213
CVE-2016-5244).
- commit 14295d6
-------------------------------------------------------------------
Fri Jun 10 00:17:29 CEST 2016 - agraf@suse.de
- enable arm64 config again
- commit c1b6204
-------------------------------------------------------------------
Thu Jun 9 15:40:08 CEST 2016 - jkosina@suse.cz
- Refresh
patches.drivers/pstore_disable_efi_backend_by_default.patch.
pstore_register() error handling is wrong -- it tries to release
lock before it's acquired, causing spinlock / preemption imbalance.
- commit 0059eb1
-------------------------------------------------------------------
Wed Jun 8 22:57:33 CEST 2016 - ohering@suse.de
- Delete patches.suse/xen-pv-devmem_is_allowed.patch (bnc#982991)
- commit 66b15dc
-------------------------------------------------------------------
Wed Jun 8 17:35:15 CEST 2016 - jeffm@suse.com
- ecryptfs: don't allow mmap when the lower file system doesn't
allow it (bsc#983143 CVE-2016-1583).
- commit c50d3aa
-------------------------------------------------------------------
Wed Jun 8 15:26:41 CEST 2016 - agraf@suse.de
- arm64: mm: always take dirty state from new pte in
ptep_set_access_flags (bsc#983458).
- Update config files.
- commit d662464
-------------------------------------------------------------------
Wed Jun 8 06:50:20 CEST 2016 - jslaby@suse.cz
- Linux 4.6.2.
- commit b664f9a
-------------------------------------------------------------------
Mon Jun 6 21:08:16 CEST 2016 - ohering@suse.de
- x86/pat: Document the PAT initialization sequence (bnc#982991,
bnc#974257, bnc#982991).
- x86/xen, pat: Remove PAT table init code from Xen (bnc#982991,
bnc#974257, bnc#982991).
- x86/mtrr: Fix PAT init handling when MTRR is disabled
(bnc#982991, bnc#974257, bnc#982991).
- x86/mtrr: Fix Xorg crashes in Qemu sessions (bnc#982991,
bnc#974257, bnc#982991).
- x86/mm/pat: Replace cpu_has_pat with boot_cpu_has() (bnc#982991,
bnc#974257, bnc#982991).
- x86/mm/pat: Add pat_disable() interface (bnc#982991, bnc#974257,
bnc#982991).
- x86/mm/pat: Add support of non-default PAT MSR setting
(bnc#982991, bnc#974257, bnc#982991).
- commit 3988263
-------------------------------------------------------------------
Mon Jun 6 20:58:54 CEST 2016 - ohering@suse.de
- Delete patches.suse/xen-pv-devmem_is_allowed.patch (bnc#982991)
- commit 23cb422
-------------------------------------------------------------------
Mon Jun 6 16:38:48 CEST 2016 - jeffm@suse.com
- Update to 4.7-rc2.
- commit de964ac
-------------------------------------------------------------------
Mon Jun 6 16:35:14 CEST 2016 - jeffm@suse.com
- config: update ppc64 configs
Config changes:
- ppc64:
- PPC_RADIX_MMU=m
- FSL_LBC=y
- UIO_FSL_ELBC_GPCM=m
- UIO_FSL_ELBC_GPCM_NETX5152=y
- LIVEPATCH=y
- commit 4c2d9e6
-------------------------------------------------------------------
Sat Jun 4 09:38:15 CEST 2016 - jslaby@suse.cz
- Refresh
patches.drivers/0001-Subject-PATCH-USB-xhci-Add-broken-streams-quirk-for-.patch.
Upstream status.
- commit 2720edf
-------------------------------------------------------------------
Sat Jun 4 08:43:45 CEST 2016 - tiwai@suse.de
- rtlwifi: Fix scheduling while atomic error from commit
49f86ec21c01 (boo#983036).
- commit 5a9c4b2
-------------------------------------------------------------------
Thu Jun 2 12:54:08 CEST 2016 - oneukum@suse.com
- USB: xhci: Add broken streams quirk for Frescologic device id
1009 (bnc#982729).
- commit 4a04f23
-------------------------------------------------------------------
Thu Jun 2 07:51:56 CEST 2016 - jslaby@suse.cz
- Linux 4.6.1 (boo#978953 bsc#966849 bsc#971799 bsc#979715
CVE-2016-3713).
- Delete
patches.fixes/Bluetooth-vhci-Fix-race-at-creating-hci-device.
- Delete
patches.fixes/Bluetooth-vhci-fix-open_timeout-vs.-hdev-race.
- Delete patches.fixes/Bluetooth-vhci-purge-unhandled-skbs.
- Delete patches.fixes/kvm-remove-variable-physbase-mtrr.patch.
- Delete
patches.fixes/watchdog-sp5100_tco-properly-check-for-new-register.
- commit 9cdcddd
-------------------------------------------------------------------
Wed Jun 1 17:40:50 CEST 2016 - mbrugger@suse.com
- arm64: Update config files.
Build MDIO_XGENE as module
- commit 56eece9
-------------------------------------------------------------------
Wed Jun 1 17:39:36 CEST 2016 - mbrugger@suse.com
- Revert "Set CONFIG_NET_XGENE=y as a workaround for (bsc#973756)"
This reverts commit b829bc700eb18f7cd1ef48d42964555e9e9f2357.
- commit 807fbbd
-------------------------------------------------------------------
Wed Jun 1 17:09:18 CEST 2016 - jeffm@suse.com
- Update to 4.7-rc1.
- Eliminated 14 patches.
- ARM configs need updating.
- Config changes:
- General:
- NMI_LOG_BUF_SHIFT=13 (default 8k, old value ~4k)
- CC_OPTIMIZE_FOR_PERFORMANCE=y (no change)
- MEMORY_HOTPLUG_DEFAULT_ONLINE=n (no change)
- CPU_FREQ_DEFAULT_GOV_PERFORMANCE=y (no change)
- SLAB_FREELIST_RANDOM=y (security feature, minimal performance impact)
- DEVFREQ_GOV_PASSIVE=m
- CPU_FREQ_GOV_SCHEDUTIL=m
- Security:
- KEY_DH_OPERATIONS=y
- SECURITY_LOADPIN=n
- DAX:
- NVDIMM_DAX=y
- DEV_DAX=m
- DEV_DAX_PMEM=m
- EFI:
- EFI_BOOTLOADER_CONTROL=m
- EFI_CAPSULE_LOADER=m
- Misc:
- RCU_PERF_TEST=m
- HIST_TRIGGERS=y
- TEST_HASH=n
- Z3FOLD=m
- ACPI_TABLE_UPGRADE=y
- Options for existing drivers:
- F2FS
- F2FS_FAULT_INJECTION=y
- QED
- QED_SRIOV=y
- QEDE
- QEDE_GENEVE=y
- QEDE_VXLAN=y
- MLXSW_SPECTRUM
- MLXSW_SPECTRUM_DCB=y
- SERIAL_8250
- SERIAL_8250_FINTEK=y
- DRM_I915
- DRM_I915_WERROR=y
- DRM_I915_DEBUG=n
- DRM_I915_DEBUG_GEM=n
- NFC_PN533
- NFC_PN533_USB=m
- NFC_PN533_I2C=m
- TCM_QLA2XXX
- TCM_QLA2XXX_DEBUG=n
- DRM_AMDGPU
- DRM_AMDGPU_GART_DEBUGFS=n
- WIZNET_W5100
- WIZNET_W5100_SPI=m
- Storage:
- ISCSI_TARGET_CXGB4=m
- SATA_DWC=n -- ppc32 only
- Networking:
- RXKAD=y
- GTP=m
- Sound:
- SND_SOC_TAS5720=m
- USB:
- UCSI=m
- Video:
- VIDEO_TW686X=m
- Misc drivers:
- MFD_MAX77620=n
- DRM_ARCPGU=n
- PWRSEQ_EMMC=m
- PWRSEQ_SIMPLE=m
- PCIE_DPC=m
- SENSORS_MAX31722=m
- INT3406_THERMAL=m
- GENERIC_ADC_THERMAL=m
- LEDS_TRIGGER_MTD=n (bool)
- LEDS_TRIGGER_PANIC=n (bool)
- RTC_DRV_DS1302=m
- SYNC_FILE=n
- AD5592R=n
- AD5593R=n
- AM2315=m
- BMI160_I2C=m
- BMI160_SPI=m
- BH1780=m
- MAX44000=m
- VEML6070=m
- BMC150_MAGN_I2C=m
- BMC150_MAGN_SPI=m
- DS1803=m
- MCP4131=m
- HP03=m
- HP206C=m
- x86:
- PERF_EVENTS_INTEL_RAPL=y
- PERF_EVENTS_INTEL_CSTATE=y
- SND_SOC_INTEL_BXT_RT298_MACH=m
- INTEL_PMC_CORE=y
- CROS_KBD_LED_BACKLIGHT=m
- MCE_AMD_INJ=m
- HID_ASUS=m
- s390:
- GPIOLIB=n
- ARM:
- SPI_ROCKCHIP=n
- DRM_ANALOGIX_ANX78XX=n
- SND_SOC_WM8960=n
- COMMON_CLK_OXNAS=n
- commit 7dd2551
-------------------------------------------------------------------
Wed Jun 1 12:33:40 CEST 2016 - mbrugger@suse.com
- [v1,6/6] drivers: net: xgene: Fix module load/unload crash
(bsc#981652 bsc#979043).
- Update config files.
- [v1,3/6] drivers: net: phy: Add MDIO driver (bsc#981652
bsc#979043).
- [v1, 2/6] drivers: net: xgene: Backward compatibility with
older firmware (bsc#981652 bsc#979043).
- [v1,1/6] drivers: net: xgene: MAC and PHY configuration changes
(bsc#981652 bsc#979043).
- [v1,6/6] drivers: net: xgene: Fix module load/unload crash
(bsc#981652 bsc#979043).
- Update config files.
- [v1,3/6] drivers: net: phy: Add MDIO driver (bsc#981652
bsc#979043).
- [v1, 2/6] drivers: net: xgene: Backward compatibility with
older firmware (bsc#981652 bsc#979043).
- [v1,1/6] drivers: net: xgene: MAC and PHY configuration changes
(bsc#981652 bsc#979043).
- commit d0e3d83
-------------------------------------------------------------------
Thu May 26 11:20:17 CEST 2016 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Obsolete SLE12-SP1 compat-wireless-kmp (bsc#975894)
- commit 127728b
-------------------------------------------------------------------
Wed May 25 22:08:51 CEST 2016 - dmueller@suse.com
- Set CONFIG_NET_XGENE=y as a workaround for (bsc#973756)
- commit b829bc7
-------------------------------------------------------------------
Wed May 25 08:15:06 CEST 2016 - tiwai@suse.de
- Fix build breakage due to missing buildroot in rpm/kernel-binary.spec.in
- commit c03eb71
-------------------------------------------------------------------
Wed May 25 08:02:29 CEST 2016 - tiwai@suse.de
- Update patch-mainline tags
- patches.fixes/ALSA-timer-Fix-leak-in-SNDRV_TIMER_IOCTL_PARAMS
- patches.fixes/ALSA-timer-Fix-leak-in-events-via-snd_timer_user_cca
- patches.fixes/ALSA-timer-Fix-leak-in-events-via-snd_timer_user_tin
- patches.fixes/Bluetooth-vhci-Fix-race-at-creating-hci-device
- patches.fixes/Bluetooth-vhci-fix-open_timeout-vs.-hdev-race
- patches.fixes/Bluetooth-vhci-purge-unhandled-skbs
- commit f3a62f6
-------------------------------------------------------------------
Wed May 25 07:57:09 CEST 2016 - tiwai@suse.de
- Bluetooth: fix power_on vs close race (bsc#966849).
- commit d1682e1
-------------------------------------------------------------------
Tue May 24 20:15:00 CEST 2016 - jeffm@suse.com
- drm/amd: add Kconfig dependency for ACP on DRM_AMDGPU.
- Update config files.
- commit 99e9d31
-------------------------------------------------------------------
Tue May 24 16:36:09 CEST 2016 - ohering@suse.de
- Use CONFIG_64bit instead of IS_ENABLED in hv_storvsc
- commit 2369093
-------------------------------------------------------------------
Tue May 24 16:06:31 CEST 2016 - tiwai@suse.de
- rpm/kernel-binary.spec.in: Fix build error when no firmware is installed
- commit a09ef60
-------------------------------------------------------------------
Tue May 24 11:49:18 CEST 2016 - mmarek@suse.com
- rpm/kernel-binary.spec.in: Do not package helper files in -devel (bsc#981204)
- commit 4c334f0
-------------------------------------------------------------------
Tue May 24 08:57:39 CEST 2016 - mkubecek@suse.cz
- tipc: check nl sock before parsing nested attributes
(CVE-2016-4951 bsc#981058).
- commit 353e24b
-------------------------------------------------------------------
Mon May 23 22:50:50 CEST 2016 - mmarek@suse.com
- rpm/kernel-binary.spec.in: Install only needed firmware for -base (bsc#966447)
- commit f685839
-------------------------------------------------------------------
Mon May 23 22:49:00 CEST 2016 - mmarek@suse.com
- rpm/kernel-binary.spec.in: Fix placement of the reproducible build hack
- commit 26e4b73
-------------------------------------------------------------------
Mon May 23 20:08:49 CEST 2016 - ohering@suse.de
- Update change for hv-storvsc to set sg_tablesize on x86
- commit 758fc22
-------------------------------------------------------------------
Tue May 17 12:53:27 CEST 2016 - tiwai@suse.de
- ALSA: hrtimer: Handle start/stop more properly (bsc#973378).
- commit 713ff49
-------------------------------------------------------------------
Tue May 17 11:05:07 CEST 2016 - tiwai@suse.de
- watchdog: sp5100_tco: properly check for new register layouts
(boo#978953).
- commit 8096c43
-------------------------------------------------------------------
Mon May 16 15:51:46 CEST 2016 - bp@suse.de
- kvm: Remove variable physbase MTRR 0x2f8 (bsc#979715,
CVE-2016-3713).
- commit ffec37b
-------------------------------------------------------------------
Mon May 16 14:22:10 CEST 2016 - jeffm@suse.com
- Update to 4.6-final.
- Eliminated 1 patch.
- Config changes:
- MLX5_CORE_EN_VXLAN=y
- commit d9e67cc
-------------------------------------------------------------------
Fri May 13 21:17:30 CEST 2016 - bp@suse.de
- net: fix infoleak in llc (bsc#978821, CVE-2016-4485).
- commit 4715b83
-------------------------------------------------------------------
Fri May 13 21:07:02 CEST 2016 - bp@suse.de
- net: fix infoleak in llc (bsc#978821, CVE-2016-4485).
- commit f2da272
-------------------------------------------------------------------
Fri May 13 12:24:48 CEST 2016 - tiwai@suse.de
- Update tags in two patches for CVE-2016-4578, bsc#979879
- commit f3ff4d1
-------------------------------------------------------------------
Wed May 11 17:23:21 CEST 2016 - jslaby@suse.cz
- Linux 4.5.4 (bsc#969870).
- Delete
patches.arch/ACPI-processor-Request-native-thermal-interrupt-hand.
- commit db90c25
-------------------------------------------------------------------
Wed May 11 16:39:33 CEST 2016 - jeffm@suse.com
- config: FRAME_POINTER is required on i386/x86_64 vanilla configs
- commit 7b99bd4
-------------------------------------------------------------------
Wed May 11 16:23:28 CEST 2016 - jeffm@suse.com
- config: re-disable FRAME_POINTER on i386/x86_64
It was enabled during the brief time that STACK_UNWIND was disabled and
should have been disabled once that patchset was updated.
- commit 7303146
-------------------------------------------------------------------
Wed May 11 08:14:40 CEST 2016 - tiwai@suse.de
- ALSA: timer: Fix leak in events via snd_timer_user_tinterrupt
(CVE-2016-4569,bsc#979213).
- ALSA: timer: Fix leak in events via snd_timer_user_ccallback
(CVE-2016-4569,bsc#979213).
- ALSA: timer: Fix leak in SNDRV_TIMER_IOCTL_PARAMS
(CVE-2016-4569,bsc#979213).
- ALSA: timer: Fix leak in events via snd_timer_user_tinterrupt
(CVE-2016-4569,bsc#979213).
- ALSA: timer: Fix leak in events via snd_timer_user_ccallback
(CVE-2016-4569,bsc#979213).
- ALSA: timer: Fix leak in SNDRV_TIMER_IOCTL_PARAMS
(CVE-2016-4569,bsc#979213).
- commit 875e079
-------------------------------------------------------------------
Wed May 11 07:59:38 CEST 2016 - tiwai@suse.de
- Bluetooth: vhci: Fix race at creating hci device
(bsc#971799,bsc#966849).
- Bluetooth: vhci: purge unhandled skbs (bsc#971799,bsc#966849).
- Bluetooth: vhci: fix open_timeout vs. hdev race
(bsc#971799,bsc#966849).
- Bluetooth: vhci: Fix race at creating hci device
(bsc#971799,bsc#966849).
- Bluetooth: vhci: purge unhandled skbs (bsc#971799,bsc#966849).
- Bluetooth: vhci: fix open_timeout vs. hdev race
(bsc#971799,bsc#966849).
- commit c39ec8d
-------------------------------------------------------------------
Tue May 10 14:35:43 CEST 2016 - mkubecek@suse.cz
- net: fix infoleak in rtnetlink (CVE-2016-4486 bsc#978822).
- commit 61212a2
-------------------------------------------------------------------
Tue May 10 14:35:11 CEST 2016 - mkubecek@suse.cz
- bpf: fix refcnt overflow (CVE-2016-4558 bsc#979019).
- commit 6f2153b
-------------------------------------------------------------------
Tue May 10 14:34:23 CEST 2016 - mkubecek@suse.cz
- bpf: fix double-fdput in replace_map_fd_with_map_ptr()
(CVE-2016-4557 bsc#979018).
- commit c96cd1e
-------------------------------------------------------------------
Mon May 9 10:55:27 CEST 2016 - mmarek@suse.com
- rpm/kernel-binary.spec.in: Make KMPs provide "$name-kmp" (bsc#976634)
- commit c1a48cb
-------------------------------------------------------------------
Mon May 9 04:19:50 CEST 2016 - jeffm@suse.com
- Update to 4.6-rc7
- Eliminated 1 patch.
- Config changes:
- ARM64:
- SATA_AHCI_SEATTLE=m
- commit 7e682be
-------------------------------------------------------------------
Thu May 5 06:46:29 CEST 2016 - jslaby@suse.cz
- Linux 4.5.3.
- Delete patches.arch/arm64-kvm-fix-hrtimer.patch.
- Delete
patches.fixes/sched-cgroup-Fix-cleanup-cgroup-teardown-init.patch.
- Update config files (BMP085 changed to m).
- commit d29747f
-------------------------------------------------------------------
Tue May 3 12:17:58 CEST 2016 - jslaby@suse.cz
- sched/cgroup: Fix/cleanup cgroup teardown/init.
- commit 4da1329
-------------------------------------------------------------------
Mon May 2 17:38:59 CEST 2016 - jeffm@suse.com
- Update to 4.6-rc6.
- Config changes:
- ARM64:
- I2C_XLP9XX=m
- commit a3fdd2b
-------------------------------------------------------------------
Mon May 2 17:36:27 CEST 2016 - jeffm@suse.com
- config: use fragment configs for vanilla
scripts/run_oldconfig.sh now preserves the options needed by the spec file.
- commit db91738
-------------------------------------------------------------------
Fri Apr 29 09:59:56 CEST 2016 - mmarek@suse.com
- rpm/kernel-binary.spec.in: CONFIG_MODULE_SIG_KEY is not needed as a macro
- commit f445774
-------------------------------------------------------------------
Thu Apr 28 21:33:39 CEST 2016 - jeffm@suse.com
- Revert "config: use fragment configs for vanilla"
This reverts commit aa69781bd1b338ca6d45d2e2f3f88296c3d5f3f5.
The macros in the spec file don't work with the fragments yet.
- commit 9f363d4
-------------------------------------------------------------------
Thu Apr 28 20:53:36 CEST 2016 - jeffm@suse.com
- config: use fragment configs for vanilla
- commit aa69781
-------------------------------------------------------------------
Wed Apr 27 16:11:12 CEST 2016 - jeffm@suse.com
- rpm/kernel-binary.spec.in: only merge configs when vanilla is a fragment
We can skip the (slow) merging when we know that the config isn't a
fragment by keying off of an always-defined option like CONFIG_MMU.
- commit 66c90c3
-------------------------------------------------------------------
Tue Apr 26 16:51:40 CEST 2016 - jeffm@suse.com
- config: resync vanilla configs with default
This is the result of copying default (or pae) to vanilla and running
run_oldconfig.sh --vanilla.
Differences from default:
- General:
- LOCALVERSION="-vanilla" -- obvious
- x86_64/i386:
- SCHED_OMIT_FRAME_POINTER=y -- option is removed with STACK_UNWIND=y
- commit 4885ab3
-------------------------------------------------------------------
Mon Apr 25 22:39:16 CEST 2016 - jeffm@suse.com
- Update to 4.6-rc5.
- Config changes:
- SERIAL_8250_RT288X=n (mips32 only)
- commit 8f81955
-------------------------------------------------------------------
Mon Apr 25 22:24:43 CEST 2016 - jeffm@suse.com
- rpm/kernel-binary.in: reassemble vanilla config from arch default and fragment
This patch reassembles the vanilla config using the default config
for the arch ("default" for everything but i386 which uses "pae") and
the vanilla config fragment.
This will do the right thing even if the vanilla config is still a
standalone config, but scripts/kconfig/merge_config.sh will be slow. Care
should be taken to convert the vanilla configs on any branch that pulls
this commit.
- commit a9a75a0
-------------------------------------------------------------------
Thu Apr 21 11:07:52 CEST 2016 - jslaby@suse.cz
- Update patches.kernel.org/patch-4.5.1-2 (fate#318900 bsc#963490
bnc#972330).
One more bnc.
- commit 0454a6e
-------------------------------------------------------------------
Wed Apr 20 16:55:23 CEST 2016 - afaerber@suse.de
- arm: Drop patches.arch/arm-xen-fixup.patch
The SUSE Xen patches are no longer applied on master branch, so this
fixup is unneeded. The define added is not used elsewhere.
- commit 23accf5
-------------------------------------------------------------------
Wed Apr 20 16:28:41 CEST 2016 - eich@suse.com
- rpm/kernel-binary.spec.in:
Add
Recommends: perl make gcc
to kernel-devel. This will install the tools requires to build
kernels or kernel modules.
- commit 9c9087c
-------------------------------------------------------------------
Wed Apr 20 09:06:29 CEST 2016 - jslaby@suse.cz
- Linux 4.5.2 (fate#318900 bsc#963490).
- Delete patches.suse/fs_add_file_dentry.patch.
- Delete patches.suse/nfs-use-file-dentry.patch.
- commit 8a6791e
-------------------------------------------------------------------
Tue Apr 19 14:17:11 CEST 2016 - mkubecek@suse.cz
- series.conf: move netfilter section right after core networking
- commit c7ac3f0
-------------------------------------------------------------------
Tue Apr 19 14:04:21 CEST 2016 - mkubecek@suse.cz
- netfilter: x_tables: fix unconditional helper (CVE-2016-3134
bsc#971126).
- netfilter: x_tables: make sure e->next_offset covers remaining
blob size (CVE-2016-3134 bsc#971126).
- netfilter: x_tables: validate e->target_offset early
(CVE-2016-3134 bsc#971126).
- netfilter: x_tables: check for size overflow (CVE-2016-3135
bsc#970904).
- commit 99697f1
-------------------------------------------------------------------
Tue Apr 19 14:02:14 CEST 2016 - mkubecek@suse.cz
- series.conf: move netfilter section right after core networking
- commit 9105886
-------------------------------------------------------------------
Mon Apr 18 15:31:44 CEST 2016 - jeffm@suse.com
- Update to 4.6-rc4.
- Eliminated 1 patch.
- commit 059d33a
-------------------------------------------------------------------
Mon Apr 18 14:13:25 CEST 2016 - rgoldwyn@suse.com
- cifs: Use file_dentry() (bsc#974527).
- commit e46ea9c
-------------------------------------------------------------------
Mon Apr 18 11:17:41 CEST 2016 - jslaby@suse.cz
- Update patches.kernel.org/patch-4.5.1 (CVE-2016-2184
CVE-2016-2185 CVE-2016-2186 CVE-2016-3140 CVE-2016-3689
bnc#967903 bnc#970892 bnc#970958 bnc#971124 bnc#971628
boo#969098 boo#970968 boo#971737 boo#971853 bsc#969383
bsc#969870 bsc#971125 bnc#970955 CVE-2016-3136).
One more reference.
- commit da73f3b
-------------------------------------------------------------------
Thu Apr 14 23:11:25 CEST 2016 - jeffm@suse.com
- Update to 4.6-rc3.
- Eliminated 3 patches.
- Config changes:
- i386/default: FB_OLPC_DCON=m
- commit 43f1ed4
-------------------------------------------------------------------
Thu Apr 14 19:09:54 CEST 2016 - afaerber@suse.de
- arm64: Drop broken non-mainline Synopsys USB driver
For 13.2 a downstream USB driver was added (USB_EHCI_HCD_SYNOPSYS)
for the Juno board (boo#902632). With 4.6 that driver no longer builds.
A check of arch/arm64/boot/dts/arm/juno-base.dtsi indicates that it
is using the "generic-ehci" compatible string, i.e. not this driver.
Therefore drop these patches:
patches.arch/arm64-0001-usb-Add-support-for-Synopsis-H20AHB-EHCI-host-contro.patch
patches.arch/arm64-0002-usb-fix-hcd-h20ahb-driver-depends.patch
Cc: Andreas Schwab <schwab@suse.de>
- commit aab4a72
-------------------------------------------------------------------
Thu Apr 14 19:00:18 CEST 2016 - afaerber@suse.de
- config: armv7hl: Disable USB_EHCI_HCD_SYNOPSYS
patches.arch/arm64-0001-usb-Add-support-for-Synopsis-H20AHB-EHCI-host-contro.patch
was added for the arm64 Juno board (bsc#902632).
Is no longer building okay in 4.6, so disable it where not needed, as a
first step.
- commit e96d206
-------------------------------------------------------------------
Thu Apr 14 16:18:50 CEST 2016 - afaerber@suse.de
- config: arm64: Enable drivers for ZynqMP
Enable options reported missing for Xilinx Zynq UltraScale+ MPSoC.
- commit b513584
-------------------------------------------------------------------
Thu Apr 14 10:40:34 CEST 2016 - agraf@suse.de
- Update config files: arm64: Enable Xilinx ZynqMP
- Update config files: Enable Xlilinx ZynqMP
- commit fd7ad3d
-------------------------------------------------------------------
Wed Apr 13 16:17:39 CEST 2016 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: No -kgraft subpackage for openSUSE (fate#320268)
- commit 269d6bf
-------------------------------------------------------------------
Wed Apr 13 08:19:22 CEST 2016 - jslaby@suse.cz
- Linux 4.5.1 (CVE-2016-2184 CVE-2016-2185 CVE-2016-2186
CVE-2016-3140 CVE-2016-3689 bnc#967903 bnc#970892 bnc#970958
bnc#971124 bnc#971628 boo#969098 boo#970968 boo#971737
boo#971853 bsc#969383 bsc#969870 bsc#971125).
- Delete
patches.arch/intel_idle-prevent-SKL-H-boot-failure-when-C8-C9-C10.
- Delete
patches.drivers/0001-Input-ims-pcu-sanity-check-against-missing-interface.patch.
- Delete
patches.drivers/0001-Input-powermate-fix-oops-with-malicious-USB-descript.patch.
- Delete
patches.drivers/0001-USB-digi_acceleport-do-sanity-checking-for-the-numbe.patch.
- Delete
patches.drivers/0001-USB-usb_driver_claim_interface-add-sanity-checking.patch.
- Delete
patches.drivers/ALSA-hda-Don-t-handle-ELD-notify-from-invalid-port.
- Delete
patches.drivers/ALSA-hda-Fix-forgotten-HDMI-monitor_present-update.
- Delete
patches.drivers/ALSA-hda-Fix-spurious-kernel-WARNING-on-Baytrail-HDM.
- Delete
patches.drivers/ALSA-hda-Fix-unexpected-resume-through-regmap-code-p.
- Delete
patches.drivers/ALSA-hda-Limit-i915-HDMI-binding-only-for-HSW-and-la.
- Delete
patches.drivers/ALSA-hda-Really-restrict-i915-notifier-to-HSW.
- Delete
patches.drivers/ALSA-usb-audio-Add-sanity-checks-for-endpoint-access.
- Delete
patches.drivers/ALSA-usb-audio-Fix-NULL-dereference-in-create_fixed.
- Delete
patches.drivers/drm-radeon-rework-fbdev-handling-on-chips-with-no-co.
- Delete
patches.fixes/net-irda-Fix-use-after-free-in-irtty_open.patch.
- commit cf9b28d
-------------------------------------------------------------------
Sun Apr 10 03:48:41 CEST 2016 - afaerber@suse.de
- config: armv7hl: Disable SND_SOC_MT8173_*
MediaTek MT8173 is arm64.
- commit 43b03db
-------------------------------------------------------------------
Sun Apr 10 03:40:37 CEST 2016 - afaerber@suse.de
- config: armv7hl: Update for 4.6-rc2
- commit 8c87f3a
-------------------------------------------------------------------
Sun Apr 10 01:36:53 CEST 2016 - afaerber@suse.de
- config: armv6hl: Update for 4.6-rc2
- commit 5a8a02f
-------------------------------------------------------------------
Sun Apr 10 00:59:29 CEST 2016 - afaerber@suse.de
- config: arm64: Update for 4.6-rc2
Update configs and align vanilla with default again.
- commit 3ec8358
-------------------------------------------------------------------
Sat Apr 9 22:36:02 CEST 2016 - afaerber@suse.de
- config: armv7hl: Enable R8169
Enable RTL8169 PCI NIC, found on Jetson TK1 board.
- commit 3cbd7e2
-------------------------------------------------------------------
Thu Apr 7 17:01:03 CEST 2016 - jeffm@suse.com
- Delete patches.suse/dm-mpath-no-activate-for-offlined-paths.
According to Hannes, this patch is obsoleted by an upstream implementation.
- commit c78ad02
-------------------------------------------------------------------
Thu Apr 7 11:46:22 CEST 2016 - ohering@suse.de
- Delete POWER4 specific patches.suse/8250-sysrq-ctrl_o.patch.
- commit 05568ac
-------------------------------------------------------------------
Thu Apr 7 11:44:00 CEST 2016 - oneukum@suse.com
- USB: digi_acceleport: do sanity checking for the number of ports
(bnc#970892, CVE-2016-3140).
- commit c3d2a95
-------------------------------------------------------------------
Wed Apr 6 14:04:49 CEST 2016 - agraf@suse.de
- KVM: arm/arm64: Handle forward time correction gracefully (bnc#974266).
- commit 76b2c0d
-------------------------------------------------------------------
Tue Apr 5 16:56:41 CEST 2016 - oneukum@suse.com
- Input: powermate - fix oops with malicious USB descriptors
(bnc#970958, CVE-2016-2186).
- commit 9c51535
-------------------------------------------------------------------
Tue Apr 5 15:27:19 CEST 2016 - jslaby@suse.cz
- Disable KASAN+KCOV for stack unwinder code.
Disable kcov too.
- commit 7f2acea
-------------------------------------------------------------------
Tue Apr 5 12:11:05 CEST 2016 - oneukum@suse.com
- USB: usb_driver_claim_interface: add sanity checking
(bnc#971124, CVE-2016-2185).
- commit babf987
-------------------------------------------------------------------
Tue Apr 5 11:27:25 CEST 2016 - oneukum@suse.com
- Input: ims-pcu - sanity check against missing interfaces
(bnc#971628, CVE-2016-3689).
- commit f5cb5e8
-------------------------------------------------------------------
Mon Apr 4 20:16:26 CEST 2016 - jeffm@suse.com
- lightnvm: fix "warning: ret may be used uninitialized".
- virtio: fix "warning: queue may be used uninitialized".
- mac80211: fix "warning: target_metric may be used
uninitialized".
- commit a05f04c
-------------------------------------------------------------------
Mon Apr 4 19:42:18 CEST 2016 - jeffm@suse.com
- Update to 4.6-rc2.
- commit 8678e34
-------------------------------------------------------------------
Mon Apr 4 17:24:17 CEST 2016 - mmarek@suse.com
- rpm/find-requires: Generate dependencies for KMPs defined in supported.conf (fate#319339)
- commit 007a83c
-------------------------------------------------------------------
Mon Apr 4 17:21:38 CEST 2016 - jeffm@suse.com
- Merge mpath-fix into patch into feature patch.
- Refresh patches.suse/dm-mpath-no-activate-for-offlined-paths.
- Delete patches.suse/mpath-fix.
- commit e104ad5
-------------------------------------------------------------------
Mon Apr 4 17:15:10 CEST 2016 - jeffm@suse.com
- Update to 4.6-rc1.
- Eliminated 12 patches.
- ARM configs need updating.
- Config changes:
- i386/x86_64
- PERF_EVENTS_AMD_POWER=m
- DELL_SMBIOS=m
- x86_64:
- ZONE_DEVICE=y (required by DAX)
- X86_INTEL_MEMORY_PROTECTION_KEYS=y
- PCI_HYPERV=m
- CONFIG_VOP_BUS=m
- VOP=m
- ppc64:
- DEFERRED_STRUCT_PAGE_INIT=y
- DISABLE_MPROFILE_KERNEL=n
- s390:
- IRQ_DOMAIN_DEBUG=y
- Networking:
- NET_ACT_IFE=m
- NET_IFE_SKBMARK=m
- NET_IFE_SKBPRIO=m
- AF_KCM=m
- NET_DEVLINK=m
- MACSEC=m
- NET_DSA_MV88E6123=m
- BNX2X_GENEVE=y
- CHELSIO_T4_UWIRE=y
- E1000E_HWTS=y
- MLX5_CORE_EN_DCB=y
- IWLWIFI_PCIE_RTPM=y
- BATMAN_ADV_BATMAN_V=y
- CAN_IFI_CANFD=m
- INFINIBAND_I40IW=m
- INFINIBAND_RDMAVT=m
- MM:
- NVDIMM_PFN=y
- FS:
- FS_ENCRYPTION=m
- FAT_DEFAULT_UTF8=n (change in default)
- ORANGEFS_FS=m
- NFSD_BLOCKLAYOUT=y
- NFSD_SCSILAYOUT=y
- Debug:
- PAGE_POISONING=n
- DEBUG_PAGE_REF=n
- CPU_HOTPLUG_STATE_CONTROL=n
- OF_RECONFIG_NOTIFIER_ERROR_INJECT=n
- TEST_BITMAP=n
- STACK_VALIDATION=y (compile time)
- KASAN=n (runtime)
- KCOV=n (runtime)
- Input:
- MOUSE_PS2_BYD=y
- TOUCHSCREEN_MELFAS_MIP4=m
- RMI4_CORE=m
- RMI4_I2C=m
- RMI4_SPI=m
- RMI4_F11=y
- RMI4_F12=y
- RMI4_F30=y
- SERIAL_8250_MOXA=m
- SERIAL_MVEBU_UART=n (no module option)
- HID:
- HID_CMEDIA=m
- Bluetooth:
- BT_LEDS=y
- BT_HCIUART_AG6XX=y
- DRM:
- DRM_DP_AUX_CHARDEV=y
- DRM_AMD_ACP=y
- DRM_I915_USERPTR=y
- Misc:
- LEDS_IS31FL32XX=m
- I2C_DEMUX_PINCTRL=m
- GPIO_104_DIO_48E=m
- GPIO_WS16C48=m
- GPIO_TPIC2810=m
- GPIO_PISOSR=m
- SENSORS_LTC2990=m
- RTC_DRV_DS1307_HWMON=y
- ATLAS_PH_SENSOR=m
- INV_MPU6050_I2C=m
- TPL0102=m
- STM_SOURCE_HEARTBEAT=m
- FW_CFG_SYSFS=m
- FW_CFG_SYSFS_CMDLINE=y
- RAPIDIO_MPORT_CDEV
- Watchdog:
- EBC_C384_WDT=m
- INTEL_MEI_WDT=m
- NI903X_WDT=m
- MFD:
- MFD_ACT8945A=n
- MFD_AXP20X_I2C=n
- MFD_TPS65086=n
- Sensors and DACs for specialty applications:
- TI_ADC0832=n
- TI_ADS1015=n
- AD5761 (go fix)
- STX104=n
- VF610_DAC=n
- AFE4403=n
- AFE4404=n
- INV_MPU6050_SPI=n
- MPL115_I2C=n
- MPL115_SPI=n
- ARM:
- PCIE_DW_PLAT=n
- ATH10K_AHB=n
- SPI_AXI_SPI_ENGINE=n
- SND_SUN4I_SPDIF=n
- SND_SOC_PCM179X_I2C=n
- SND_SOC_PCM179X_SPI=n
- SND_SOC_RT5616=n
- RTC_DRV_RX6110=n
- QCOM_HIDMA_MGMT=n
- QCOM_HIDMA=n
- GOLDFISH=n
- MDIO_THUNDER=n
- commit d293079
-------------------------------------------------------------------
Mon Apr 4 16:38:19 CEST 2016 - jeffm@suse.com
- Delete patches.fixes/scsi-ibmvscsi-show-config.patch.
This patch was added to address empty sysfs files in SLES9. The root
cause was ultimately identified later: the buffer size passed to the
hardware to query was a 16-bit value, so 64k caused nothing to be
returned. It was fixed correctly in v3.7 commit 225c56960fc
(ibmvscsi: Fix host config length field overflow), rendering this
patch unnecessary.
- commit 9afce9b
-------------------------------------------------------------------
Mon Apr 4 10:35:39 CEST 2016 - mmarek@suse.com
- rpm/kernel-source.rpmlintrc: Disable rpmlint check for KMP subpackages
The check is there to ensure that KMPs are built using the
%kernel_module_package macro, but we are deliberately not doing this for
KMPs built from the kernel specfile (fate#319339).
- commit 7c48b60
-------------------------------------------------------------------
Sun Apr 3 12:33:45 CEST 2016 - jslaby@suse.cz
- Update config files.
Set SERIAL_8250_SHARE_IRQ (bnc#973748).
- commit 606f94a
-------------------------------------------------------------------
Sat Apr 2 10:18:27 CEST 2016 - tiwai@suse.de
- ACPI / processor: Request native thermal interrupt handling
via _OSC (bsc#969870).
- commit f6eec7e
-------------------------------------------------------------------
Fri Apr 1 15:41:27 CEST 2016 - mmarek@suse.com
- rpm/kernel-binary.spec.in: Only build the -kgraft package for modular kernels (fate#320268)
- commit 9bab96f
-------------------------------------------------------------------
Fri Apr 1 15:39:13 CEST 2016 - mmarek@suse.com
- rpm/kernel-binary.spec.in: Only build KMPs if CONFIG_SPLIT_PACKAGE=y (fate#319339)
- commit def905b
-------------------------------------------------------------------
Fri Apr 1 13:34:49 CEST 2016 - mmarek@suse.com
- rpm/kernel-binary.spec.in: Tag KMPs so that weak-modules2 ignores them
Also require a suse-module-tools version that can handle this (fate#319339).
- commit 58d21f9
-------------------------------------------------------------------
Fri Apr 1 12:49:52 CEST 2016 - mmarek@suse.com
- rpm/kernel-binary.spec.in: Add rpm scriptlets for KMPs (fate#319339)
Use the --{add,remove}-kernel-modules options of weak-modules2 instead
of --{add,remove}-kmp, because we do not want any symlinks to be created
for these KMPs.
- commit 95f8b94
-------------------------------------------------------------------
Thu Mar 31 13:23:55 CEST 2016 - mmarek@suse.com
- rpm/split-modules: Split modules into KMPs defined in supported.conf (fate#319339)
- commit 39da39b
-------------------------------------------------------------------
Thu Mar 31 13:22:48 CEST 2016 - mmarek@suse.com
- rpm/mkspec: Generate KMP subpackage sections from a template (fate#319339)
The KMPs are defined in the supported.conf file using a +<name>-kmp
guard.
- commit 09cb6b1
-------------------------------------------------------------------
Thu Mar 31 00:35:33 CEST 2016 - dvaleev@suse.com
- rpm/kernel-obs-build.spec.in:add e1000 and ibmveth
Those modules are required in order to have --vm-telnet option
working
- commit 1e87b6d
-------------------------------------------------------------------
Wed Mar 30 16:52:55 CEST 2016 - mmarek@suse.com
- rpm/kernel-binary.spec.in: Simplify parsing of supported.conf (fate#319339)
- commit 006b146
-------------------------------------------------------------------
Wed Mar 30 15:10:55 CEST 2016 - mmarek@suse.com
- rpm/kernel-binary.spec.in: Introduce a kernel-default-kgraft metapackage (fate#320268)
- commit 0439a47
-------------------------------------------------------------------
Mon Mar 28 09:27:57 CEST 2016 - tiwai@suse.de
- intel_idle: prevent SKL-H boot failure when C8+C9+C10 enabled
(bsc#969870,boo#970968,boo#969098).
- commit 8cf0ce6
-------------------------------------------------------------------
Thu Mar 24 13:50:11 CET 2016 - rgoldwyn@suse.com
- fs: add file_dentry() (bsc#963490,fate#318900).
- nfs: use file_dentry() (fate#318900).
- commit ede6caf
-------------------------------------------------------------------
Thu Mar 24 10:53:21 CET 2016 - jdelvare@suse.de
- supported.conf: Fix usb-common path
usb-common moved to its own subdirectory in kernel v3.16.
- commit 92e1e68
-------------------------------------------------------------------
Thu Mar 24 10:31:48 CET 2016 - tiwai@suse.de
- drm/radeon: rework fbdev handling on chips with no connectors
(boo#971853).
- commit af68ff6
-------------------------------------------------------------------
Wed Mar 23 21:55:21 CET 2016 - rgoldwyn@suse.com
- fs: add file_dentry() (bsc#963490,fate#318900).
- commit 66ef336
-------------------------------------------------------------------
Wed Mar 23 21:54:42 CET 2016 - rgoldwyn@suse.com
- nfs: use file_dentry() (fate#318900).
- commit 69a3e57
-------------------------------------------------------------------
Fri Mar 18 21:35:24 CET 2016 - tiwai@suse.de
- ALSA: hda - Fix spurious kernel WARNING on Baytrail HDMI
(boo#971737).
- ALSA: hda - Fix forgotten HDMI monitor_present update
(boo#971737).
- ALSA: hda - Really restrict i915 notifier to HSW+ (boo#971737).
- commit 9ebd8b6
-------------------------------------------------------------------
Fri Mar 18 16:21:45 CET 2016 - hare@suse.de
- Update config files: Enable CONFIG_BLK_DEV_NVME_SCSI (bsc#965497)
- commit 766f032
-------------------------------------------------------------------
Fri Mar 18 13:33:44 CET 2016 - jslaby@suse.cz
- net: irda: Fix use-after-free in irtty_open() (bnc#967903).
- commit ff61c16
-------------------------------------------------------------------
Fri Mar 18 10:45:00 CET 2016 - tiwai@suse.de
- ALSA: hda - Limit i915 HDMI binding only for HSW and later
(boo#971737).
- ALSA: hda - Don't handle ELD notify from invalid port
(boo#971737).
- commit a6b682e
-------------------------------------------------------------------
Thu Mar 17 11:37:09 CET 2016 - tiwai@suse.de
- ALSA: hda - Fix unexpected resume through regmap code path
(bsc#969383).
- commit 56796b8
-------------------------------------------------------------------
Wed Mar 16 18:25:04 CET 2016 - tiwai@suse.de
- Disable relax relocations for x86 loader (bsc#970239).
- commit a92e8a7
-------------------------------------------------------------------
Wed Mar 16 15:05:03 CET 2016 - tiwai@suse.de
- ALSA: usb-audio: Add sanity checks for endpoint accesses
(CVE-2016-2184,bsc#971125).
- ALSA: usb-audio: Fix NULL dereference in
create_fixed_stream_quirk() (CVE-2016-2184,bsc#971125).
- commit 8336511
-------------------------------------------------------------------
Mon Mar 14 06:14:55 CET 2016 - jeffm@suse.com
- Update to 4.5-final.
- commit 3c4edc5
-------------------------------------------------------------------
Thu Mar 10 08:24:48 CET 2016 - jslaby@suse.cz
- Linux 4.4.5.
- Refresh patches.suse/stack-unwind.
- commit 09dee88
-------------------------------------------------------------------
Wed Mar 9 17:57:41 CET 2016 - jdelvare@suse.de
- Update s390x config files: Bump NR_CPUS to 256
It was set to 256 in the SLES kernel 2 years ago already.
- commit 43cf630
-------------------------------------------------------------------
Tue Mar 8 19:47:06 CET 2016 - afaerber@suse.de
- config: armv7hl: Enable DRM_ROCKCHIP
Firefly-RK3288 is among the boards that this will benefit.
- commit 2a3d0e4
-------------------------------------------------------------------
Mon Mar 7 14:39:01 CET 2016 - jeffm@suse.com
- Update to 4.5-rc7.
- commit 924f2b7
-------------------------------------------------------------------
Mon Mar 7 14:01:02 CET 2016 - jdelvare@suse.de
- Update config files: Modularize NF_REJECT_IPV4/V6
There is no reason why these helper modules should be built-in when
the rest of netfilter is built as modules.
- commit c81fe72
-------------------------------------------------------------------
Fri Mar 4 09:13:43 CET 2016 - jslaby@suse.cz
- Update config files. (vanillas)
- commit 0c1f87e
-------------------------------------------------------------------
Fri Mar 4 09:00:07 CET 2016 - jslaby@suse.cz
- Linux 4.4.4 (bnc#910440 bnc#968396 bnc#969064 boo#955096
boo#961263 boo#961887 boo#967938 bsc#962535 bsc#966137).
- Delete
patches.drivers/0001-bcache-Add-a-cond_resched-call-to-gc.patch.
- Delete
patches.drivers/0002-bcache-BUG-clear-BCACHE_DEV_UNLINK_DONE-flag-when-at.patch.
- Delete
patches.drivers/0003-bcache-fix-a-livelock-in-btree-lock.patch.
- Delete
patches.drivers/0004-bcache-unregister-reboot-notifier-when-bcache-fails-.patch.
- Delete
patches.drivers/0005-fix-a-leak-in-bch_cached_dev_run.patch.
- Delete
patches.drivers/ALSA-hda-Apply-clock-gate-workaround-to-Skylake-too.
- Delete
patches.drivers/bcache-fix-writeback-thread-incomplete-stripes-starvation.patch.
- Delete
patches.drivers/drm-i915-shut-up-gen8-SDE-irq-dmesg-noise.
- Delete
patches.drivers/drm-radeon-Add-a-common-function-for-DFS-handling.
- Delete
patches.drivers/drm-radeon-Don-t-hang-in-radeon_flip_work_func-on-di.
- Delete
patches.drivers/drm-radeon-Fix-off-by-one-errors-in-radeon_vm_bo_set.
- Delete
patches.drivers/drm-radeon-Fix-slow-audio-over-DP-on-DCE8.
- Delete patches.drivers/drm-radeon-call-hpd_irq_event-on-resume.
- Delete
patches.drivers/drm-radeon-cleaned-up-VCO-output-settings-for-DP-aud.
- Delete
patches.drivers/drm-radeon-fix-DP-audio-support-for-APU-with-DCE4.1-.
- Delete
patches.drivers/drm-radeon-hold-reference-to-fences-in-radeon_sa_bo_.
- Delete
patches.drivers/drm-radeon-pm-adjust-display-configuration-after-pow.
- Delete
patches.drivers/drm-radeon-properly-byte-swap-vce-firmware-setup.
- Delete
patches.drivers/drm-radeon-use-post-decrement-in-error-handling.
- Delete
patches.fixes/drm-Fix-drm_vblank_pre-post_modeset-regression-from.
- Delete
patches.fixes/drm-Fix-treatment-of-drm_vblank_offdelay-in-drm_vbla.
- Delete
patches.fixes/drm-No-Op-redundant-calls-to-drm_vblank_off-v2.
- Delete
patches.fixes/drm-Prevent-vblank-counter-bumps-1-with-active-vblan.
- Delete
patches.fixes/drm-nouveau-display-Enable-vblank-irqs-after-display.
- Delete
patches.fixes/genirq-Validate-action-before-dereferencing-it-in-ha.patch.
- Delete
patches.fixes/sd-Optimal-I-O-size-is-in-bytes-not-sectors.
- Delete
patches.fixes/tcp-do-not-drop-syn_recv-on-all-icmp-reports.patch.
- Update config files.
- commit b719bbe
-------------------------------------------------------------------
Fri Mar 4 08:54:21 CET 2016 - jslaby@suse.cz
- Refresh
patches.drivers/0001-bcache-Add-a-cond_resched-call-to-gc.patch.
- Refresh
patches.drivers/0002-bcache-BUG-clear-BCACHE_DEV_UNLINK_DONE-flag-when-at.patch.
- Refresh
patches.drivers/0003-bcache-fix-a-livelock-in-btree-lock.patch.
- Refresh
patches.drivers/0004-bcache-unregister-reboot-notifier-when-bcache-fails-.patch.
- Refresh
patches.drivers/0005-fix-a-leak-in-bch_cached_dev_run.patch.
Update upstream status.
- commit 859059c
-------------------------------------------------------------------
Thu Mar 3 15:35:27 CET 2016 - dsterba@suse.com
- Add build dependency for objtool
objtool requires libelf development headers.
- commit 16f65f2
-------------------------------------------------------------------
Thu Mar 3 09:18:25 CET 2016 - ohering@suse.de
- Refresh patches.suse/xen-pv-devmem_is_allowed.patch.
- commit a55dde2
-------------------------------------------------------------------
Wed Mar 2 15:31:03 CET 2016 - ohering@suse.de
- Disable access to lowmem in a Xen PV guest (bnc#964342).
- commit e7a0da2
-------------------------------------------------------------------
Wed Mar 2 10:18:57 CET 2016 - jslaby@suse.cz
- tcp: do not drop syn_recv on all icmp reports (bnc#969064).
- commit 1ed9fdd
-------------------------------------------------------------------
Wed Mar 2 10:11:15 CET 2016 - tiwai@suse.de
- radeon: r100: Silence 'may be used uninitialized' warnings
(boo#955096,boo#967938).
- drm/radeon/pm: adjust display configuration after powerstate
(boo#955096,boo#967938).
- drm/radeon: Don't hang in radeon_flip_work_func on disabled
crtc. (v2) (boo#955096,boo#967938).
- drm/radeon/pm: Handle failure of drm_vblank_get
(boo#955096,boo#967938).
- drm/radeon: use post-decrement in error handling
(boo#955096,boo#967938).
- drm/radeon: hold reference to fences in radeon_sa_bo_new
(boo#955096,boo#967938).
- drm/radeon: fix DP audio support for APU with DCE4.1 display
engine (boo#955096,boo#967938).
- drm/radeon: Add a common function for DFS handling
(boo#955096,boo#967938).
- drm/radeon: cleaned up VCO output settings for DP audio
(boo#955096,boo#967938).
- drm/radeon: only init fbdev if we have connectors
(boo#955096,boo#967938).
- drm/radeon: Ensure radeon bo is unreserved in
radeon_gem_va_ioctl (boo#955096,boo#967938).
- drm/radeon: properly byte swap vce firmware setup
(boo#955096,boo#967938).
- drm/radeon: Fix off-by-one errors in radeon_vm_bo_set_addr
(boo#955096,boo#967938).
- drm/radeon: fix dp link rate selection (v2)
(boo#955096,boo#967938).
- drm/radeon: only increment sync_seq when a fence is really
emitted (boo#955096,boo#967938).
- drm/radeon: Fix "slow" audio over DP on DCE8+
(boo#955096,boo#967938).
- drm/radeon: Use unlocked gem unreferencing
(boo#955096,boo#967938).
- drm/radeon: call hpd_irq_event on resume
(boo#955096,boo#967938).
- commit 803bd2e
-------------------------------------------------------------------
Tue Mar 1 19:14:25 CET 2016 - dmueller@suse.com
- config: ARM64: Remove hardcoded console setting
Proper way would be to derive it from the ACPI or
Device tree chosen output.
- commit ad7dbb8
-------------------------------------------------------------------
Tue Mar 1 11:20:31 CET 2016 - mmarek@suse.com
- rpm/kernel-module-subpackage: Fix obsoleting dropped flavors (bsc#968253)
- commit 5295c19
-------------------------------------------------------------------
Mon Feb 29 17:27:00 CET 2016 - mmarek@suse.com
- rpm/kernel-binary.spec.in: Obsolete xen-kmp (fate#315712, bsc#968253)
- commit 0e7c4d5
-------------------------------------------------------------------
Mon Feb 29 17:26:46 CET 2016 - mmarek@suse.com
- Copy packaging changes from master to the packaging branch
- commit 0a2490d
-------------------------------------------------------------------
Mon Feb 29 01:34:11 CET 2016 - jeffm@suse.com
- Update to 4.5-rc6.
- commit b239884
-------------------------------------------------------------------
Fri Feb 26 10:54:10 CET 2016 - jslaby@suse.cz
- genirq: Validate action before dereferencing it in
handle_irq_event_percpu() (bnc#968396).
- commit 171b8f1
-------------------------------------------------------------------
Fri Feb 26 08:35:54 CET 2016 - jslaby@suse.cz
- Linux 4.4.3 (boo#962250 bsc#960910).
- Delete
patches.fixes/Revert-xfs-clear-PF_NOFREEZE-for-xfsaild-kthread.
- Delete
patches.suse/btrfs-fix-fitrim-discarding-device-area-reserved-for.patch.
- commit 3ae1dff
-------------------------------------------------------------------
Wed Feb 24 18:29:02 CET 2016 - dsterba@suse.com
- btrfs: remove error message from search ioctl for nonexistent tree.
- commit c2c16d5
-------------------------------------------------------------------
Wed Feb 24 17:08:52 CET 2016 - tiwai@suse.de
- drm/nouveau/display: Enable vblank irqs after display engine
is on again (bsc#962535).
- drm: Fix treatment of drm_vblank_offdelay in drm_vblank_on()
(v2) (bsc#962535).
- drm: Fix drm_vblank_pre/post_modeset regression from Linux 4.4
(bsc#962535).
- drm: Prevent vblank counter bumps > 1 with active vblank
clients. (v2) (bsc#962535).
- drm: No-Op redundant calls to drm_vblank_off() (v2)
(bsc#962535).
- commit 46e3b92
-------------------------------------------------------------------
Tue Feb 23 17:54:18 CET 2016 - guillaume.gardet@free.fr
- config: armv7hl: Enable CONFIG_DRM_ETNAVIV
Enable CONFIG_DRM_ETNAVIV for armv7 (default and vanilla) to get graphics
acceleration on top of the Vivante GPU IP cores used in different SoCs,
such as the Freescale i.MX6.
- commit 13c5905
-------------------------------------------------------------------
Tue Feb 23 15:49:39 CET 2016 - mmarek@suse.com
- rpm/kernel-binary.spec.in: Sync the main and -base package dependencies
(bsc#965830#c51).
- commit bf655ed
-------------------------------------------------------------------
Tue Feb 23 11:30:49 CET 2016 - tiwai@suse.de
- ALSA: hda - Apply clock gate workaround to Skylake, too
(bsc#966137).
- commit c601f8d
-------------------------------------------------------------------
Mon Feb 22 23:41:16 CET 2016 - jeffm@suse.com
- Update to v4.5-rc5.
- commit 7733b9a
-------------------------------------------------------------------
Mon Feb 22 23:36:00 CET 2016 - jeffm@suse.com
- config: complete disabling THERMAL from s390
Commit fd5ffd694f9 (Update s390x config files: Disable THERMAL)
left some stray config options around. Clean them up.
- commit 5cd1d35
-------------------------------------------------------------------
Mon Feb 22 15:46:49 CET 2016 - jdelvare@suse.de
- Update config files: Set CRYPTO_CHACHA20POLY1305=m
We support every other crypto algorithm so why not this one.
- commit 68344d0
-------------------------------------------------------------------
Mon Feb 22 09:08:40 CET 2016 - jdelvare@suse.de
- Update config files: Disable SERIAL_8250_RT288X
This option is only useful on MIPS.
- commit a8e3297
-------------------------------------------------------------------
Sun Feb 21 21:44:07 CET 2016 - dmueller@suse.com
- config: armv6hl: Disable CMA and DEBUGFS settings
CMA allocates 16MB of reserved memory on boot, which takes
away a very scarce resource for unpacking the kiwi initrd
and provides little value (is also disabled on other x86*)
- commit 9f2a122
-------------------------------------------------------------------
Sat Feb 20 20:31:54 CET 2016 - jdelvare@suse.de
- Update s390x config files: Disable THERMAL
The THERMAL subsystem serves no purpose on S/390, disable it. It was
already disabled in the SLES kernel long ago.
- commit fd5ffd6
-------------------------------------------------------------------
Thu Feb 18 14:06:09 CET 2016 - dsterba@suse.com
- Fix lint warnings: E: summary-ended-with-dot
kernel-source-vanilla.noarch: E: summary-ended-with-dot (Badness: 89) C
Vanilla Linux kernel sources with minor build fixes.
Summary ends with a dot.
- commit 9050a39
-------------------------------------------------------------------
Thu Feb 18 13:48:14 CET 2016 - jslaby@suse.cz
- floppy: fix lock_fdc() signal handling (bnc#966880).
- commit f12d966
-------------------------------------------------------------------
Thu Feb 18 13:45:27 CET 2016 - jslaby@suse.cz
- floppy: refactor open() flags handling (bnc#966880).
- commit 70a427d
-------------------------------------------------------------------
Thu Feb 18 10:31:57 CET 2016 - jslaby@suse.cz
- Update patches.kernel.org/patch-4.4.1-2 (CVE-2016-0723
CVE-2016-2384 bnc#961500 bnc#966883 boo#954532 bsc#966693).
- commit 5c471bf
-------------------------------------------------------------------
Thu Feb 18 08:56:03 CET 2016 - jslaby@suse.cz
- Linux 4.4.2 (CVE-2016-0723 CVE-2016-2384 bnc#961500 boo#954532
bsc#966693).
- Delete
patches.drivers/ALSA-usb-audio-avoid-freeing-umidi-object-twice.
- Delete
patches.fixes/0001-tty-Fix-unsafe-ldisc-reference-via-ioctl-TIOCGETD.patch.
- Delete
patches.fixes/0002-n_tty-Fix-unsafe-reference-to-other-ldisc.patch.
- Delete
patches.fixes/HID-multitouch-fix-input-mode-switching-on-some-Elan.
- commit 19ca782
-------------------------------------------------------------------
Wed Feb 17 19:00:58 CET 2016 - mbrugger@suse.com
- series.conf: mark xgbe-a0 ethernet driver as needs_update
- commit 9efcaae
-------------------------------------------------------------------
Wed Feb 17 11:37:05 CET 2016 - mbrugger@suse.com
- Update config files for arm64.
Enable ACPI.
- commit fa0917c
-------------------------------------------------------------------
Tue Feb 16 15:09:04 CET 2016 - jslaby@suse.cz
- Refresh patches.suse/stack-unwind.patch.
Remove text_base parameter from read_pointer as it is always 0.
- commit b3ba789
-------------------------------------------------------------------
Tue Feb 16 13:56:18 CET 2016 - mmarek@suse.com
- rpm/kernel-binary.spec.in: Set CONFIG_MODULE_SIG_KEY only if a cert is present
This allows using the unmodified .config from the kernel-source tree in
the expanded tree.
- commit 5fa6ddd
-------------------------------------------------------------------
Mon Feb 15 15:10:59 CET 2016 - jdelvare@suse.de
- Update x86 config files: Enable Intel RAPL
This driver is useful when power caping is needed. It was enabled in
the SLE kernel 2 years ago.
- commit 5c509fc
-------------------------------------------------------------------
Mon Feb 15 12:11:55 CET 2016 - jdelvare@suse.de
- Update config files: Disable MFD_TPS65218
The TPS65218 is a power management IC for 32-bit ARM systems. Its
driver serves no purpose on other architectures. All sub-drivers were
already disabled anyway.
- commit 2c2300d
-------------------------------------------------------------------
Mon Feb 15 12:03:27 CET 2016 - tiwai@suse.de
- ALSA: usb-audio: avoid freeing umidi object twice
(CVE-2016-2384,bsc#966693).
- commit 6398c2d
-------------------------------------------------------------------
Mon Feb 15 04:19:07 CET 2016 - jeffm@suse.com
- Update to 4.5-rc4.
- commit 4fd18f0
-------------------------------------------------------------------
Thu Feb 11 21:13:37 CET 2016 - mmarek@suse.com
- rpm/kernel-binary.spec.in: Use correct variable to point to the keyring
- commit f026a54
-------------------------------------------------------------------
Thu Feb 11 13:59:29 CET 2016 - jdelvare@suse.de
- Update config/armv7hl: Clean up TS-4800 support
The Technologic Systems TS-4800 is an i.MX515 board. The lpae flavor
doesn't support this platform so it shouldn't include any TS-4800
driver. The default and vanilla flavors do support it. If we want
them to support the board properly then all its drivers should be
enabled.
- commit 59e9c51
-------------------------------------------------------------------
Thu Feb 11 09:46:23 CET 2016 - jslaby@suse.cz
- rpm/kernel-obs-build.spec.in: do not limit TasksMax
We run with build as PID 1 (boo#965564).
- commit 39b708b
-------------------------------------------------------------------
Wed Feb 10 10:19:40 CET 2016 - mmarek@suse.com
- rpm/kernel-binary.spec.in: Adapt certificate handling for changes in v4.3
- commit 589be33
-------------------------------------------------------------------
Tue Feb 9 15:09:53 CET 2016 - jdelvare@suse.de
- Update config files: disable MFD_SYSCON on non-arm
Disable MFD_SYSCON, GPIO_SYSCON and LEDS_SYSCON in non-arm
configurations. SYSCON is an arm thing, it was enabled accidentally
in other configurations because STMMAC_PLATFORM and
TOUCHSCREEN_TS4800, which were once enabled by accident, select it.
As REGMAP_MMIO was also only selected by MFD_SYSCON, we can disable
it too.
- commit 7d6958a
-------------------------------------------------------------------
Tue Feb 9 15:09:02 CET 2016 - jdelvare@suse.de
- Update config files: disable TS-4800 drivers on non-arm
The TS-4800 is an arm board, so its drivers are useless on i386 and
ppc64. Disable TOUCHSCREEN_TS4800 on these architectures.
- commit e2289c8
-------------------------------------------------------------------
Tue Feb 9 10:23:14 CET 2016 - jslaby@suse.cz
- Disable KASAN for stack unwinder code.
- commit 40617c9
-------------------------------------------------------------------
Tue Feb 9 10:22:57 CET 2016 - dmueller@suse.com
- config: reenable Exynos4 support for default kernel
needed for odroid
- commit ae765f1
-------------------------------------------------------------------
Tue Feb 9 10:17:33 CET 2016 - jslaby@suse.cz
- DWARF2 EH-frame based stack unwinding.
- Delete patches.suse/stack-unwind.
Add .patch suffix, so that syntax highlighting works.
- commit 741781b
-------------------------------------------------------------------
Mon Feb 8 23:09:47 CET 2016 - dmueller@suse.com
- Config: ARMv7: Disable Exynos 4 related drivers
We don't have Exynos 4 support enabled, so there
is no point in building the drivers for it.
- commit 0d649a0
-------------------------------------------------------------------
Mon Feb 8 15:49:10 CET 2016 - jeffm@suse.com
- Update to 4.5-rc3.
- commit b0ea7eb
-------------------------------------------------------------------
Mon Feb 8 15:36:32 CET 2016 - jdelvare@suse.de
- Update s390x config files: CONFIG_ENCLOSURE_SERVICES
Per bsc#884701, CONFIG_ENCLOSURE_SERVICES isn't needed on S/390. It
was already disabled in SLE, so disable it in openSUSE too.
- commit 0017810
-------------------------------------------------------------------
Mon Feb 8 15:33:09 CET 2016 - jeffm@suse.com
- Update to 4.5-rc2.
- Eliminated 2 patches.
- commit 52dbbed
-------------------------------------------------------------------
Mon Feb 8 13:02:34 CET 2016 - dvaleev@suse.com
- Delete config/ppc/default.
- Delete config/ppc/vanilla.
Drop building for ppc architecture. We use 64bit kernel nowadays.
- commit 54461b6
-------------------------------------------------------------------
Thu Feb 4 23:52:56 CET 2016 - dmueller@suse.com
- config: ARM64: disable CONFIG_DDR again
Was accidentally reeanbled in the 4.5-rc1 bump
- commit c76df01
-------------------------------------------------------------------
Thu Feb 4 19:13:56 CET 2016 - afaerber@suse.de
- config: arm64: Enable Tegra 210 and SMMU
The new Tegra210 option did not get enabled during the 4.5-rc1 update.
Also enable the Tegra IOMMU for 132 and 210.
- commit d6d686e
-------------------------------------------------------------------
Tue Feb 2 17:31:39 CET 2016 - tiwai@suse.de
- Revert "xfs: clear PF_NOFREEZE for xfsaild kthread"
(boo#962250).
- commit ddc5d70
-------------------------------------------------------------------
Tue Feb 2 09:39:07 CET 2016 - jdelvare@suse.de
- Update config files: Disable CONFIG_DDR
CONFIG_DDR is selected automatically by drivers which need it. This
piece of helper code is useless in the absence of any such driver.
- commit 1769308
-------------------------------------------------------------------
Mon Feb 1 23:16:05 CET 2016 - dmueller@suse.com
- Disable CMOS RTC
This Motorola compatible RTC doesn't exist on ARMv7, and triggers
an non-root triggerable immediate panic on the system when being
accessed, so we better don't include it.
- commit 2b16688
-------------------------------------------------------------------
Mon Feb 1 16:44:17 CET 2016 - jslaby@suse.cz
- drm/i915: Pin the ifbdev for the info->system_base GGTT mmapping
(bnc#962866).
- drm/i915: Fix failure paths around initial fbdev allocation
(bnc#962866).
- drm/i915: Fix double unref in intelfb_alloc failure path
(bnc#962866).
- commit 283b562
-------------------------------------------------------------------
Mon Feb 1 09:26:44 CET 2016 - jslaby@suse.cz
- Refresh
patches.fixes/0001-tty-Fix-unsafe-ldisc-reference-via-ioctl-TIOCGETD.patch.
- Refresh
patches.fixes/0002-n_tty-Fix-unsafe-reference-to-other-ldisc.patch.
Upstream status.
- commit ce7756a
-------------------------------------------------------------------
Mon Feb 1 09:15:22 CET 2016 - jslaby@suse.cz
- Linux 4.4.1 (boo#960710 bnc#962075 CVE-2016-0728).
- Delete
patches.drivers/ALSA-hda-Flush-the-pending-probe-work-at-remove.
- Delete patches.fixes/keys-fix-leak.patch.
- commit b969f5d
-------------------------------------------------------------------
Sun Jan 31 22:31:35 CET 2016 - tiwai@suse.de
- sd: Optimal I/O size is in bytes, not sectors (boo#961263).
- commit 2198765
-------------------------------------------------------------------
Sun Jan 31 17:17:51 CET 2016 - dmueller@suse.com
- config: Update armv6hl config for 4.5-rc1
- Enable BCM2835 related options
- Rest disabled if arch specific
- Generic follows x86_64
- commit 185883e
-------------------------------------------------------------------
Sun Jan 31 17:10:48 CET 2016 - dmueller@suse.com
- config: armv7hl: Enable VDSO
VDSO provides, starting with glibc 2.22, a lower latency implementation
for time related syscalls.
- commit 42096c4
-------------------------------------------------------------------
Sun Jan 31 17:07:36 CET 2016 - dmueller@suse.com
- config: Update armv7hl config to 4.5-rc1
- Disable Mobile ARCH
- Enable BCM2835/BCM2836 options (RPI 1 B/2 B)
- set voltage regulators to =m
- Rest follows x86_64 update, see there for details
- commit afef73d
-------------------------------------------------------------------
Sun Jan 31 16:22:22 CET 2016 - dmueller@suse.com
- config: Update ARM64 config to 4.5-rc1
- Enabled support for Qualcom SoC
- Rest follows update for x86_64
- commit 3a8952a
-------------------------------------------------------------------
Thu Jan 28 09:15:06 CET 2016 - jslaby@suse.cz
- drm/radeon: Update radeon_get_vblank_counter_kms() (bnc#962535).
- commit 9f68b90
-------------------------------------------------------------------
Wed Jan 27 17:28:58 CET 2016 - jeffm@suse.com
- Revert "Merge remote-tracking branch 'origin/users/tiwai/master/for-next'"
This reverts commit b27160caadedcda2dc864615e51461c7db19bba1, reversing
changes made to e1ac7b484beda5dfd27b84365f83a308de7c7a9c.
- commit 36aac98
-------------------------------------------------------------------
Wed Jan 27 09:33:55 CET 2016 - bp@suse.de
- Update config files.
Disable CONFIG_DEBUG_WX as stuff needs to be sorted out upstream first.
- commit 09b6275
-------------------------------------------------------------------
Tue Jan 26 18:36:00 CET 2016 - jeffm@suse.com
- Update to 4.5-rc1
- Eliminated 15 patches.
- ARM configs need updating.
- Config changes:
- Generic changes:
- ARCH_MMAP_RND_BITS=<default>
- MANDATORY_FILE_LOCKING=n (removes "dead" code, can re-enable if needed)
- WQ_WATCHDOG=y
- NETDEV_NOTIFIER_ERROR_INJECT=m
- UBSAN=n (performance penalty)
- IO_STRICT_DEVMEM=y
- VFIO_NOIOMMU=n
- NTB_PERF=m
- x86:
- VMD=m
- DRM_AMD_POWERPLAY=y
- CRYPTO_DEV_QAT_C3XXX=m
- CRYPTO_DEV_QAT_C62X=m
- CRYPTO_DEV_QAT_C3XXXVF=m
- CRYPTO_DEV_QAT_C62XVF=m
- QUEUED_LOCK_STAT=n
- INTEL_TELEMETRY=m
- INTEL_HID_EVENT=m
- INTEL_PUNIT_IPC=m
- NTB_AMD=m
- ASUS_WIRELESS=m
- s390x:
- SCLP_OFB=y
- ppc64:
- IBMVNIC=m
- Networking:
- INET_DIAG_DESTROY=y (built as part of inet_diag.ko)
- NF_DUP_NETDEV=m
- NFT_FWD_NETDEV=m
- 6LOWPAN_DEBUGFS=n
- 6LOWPAN_GHC_EXT_HDR_HOP=m
- 6LOWPAN_GHC_UDP=m
- 6LOWPAN_GHC_ICMPV6=m
- 6LOWPAN_GHC_EXT_HDR_DEST=m
- 6LOWPAN_GHC_EXT_HDR_FRAG=m
- 6LOWPAN_GHC_EXT_HDR_ROUTE=m
- ATH9K_HWRNG=y
- GENEVE=y
- NFP_NETVF=m
- IEEE802154_ADF7242=m
- Storage:
- BLK_DEV_NVME_SCSI=n (dangerous)
- SCSI_HISI_SAS=m
- DM_VERITY_FEC=y
- Misc platform-independent drivers:
- NFC_ST21NFCA_I2C=m
- NFC_ST95HF=m
- MTD_MT81xx_NOR=m
- MLXSW_CORE_HWMON=y
- TOUCHSCREEN_EGALAX_SERIAL=m
- SPI_LOOPBACK_TEST=m
- GPIO_SYSCON=m
- GPIO_104_IDI_48=m
- SENSORS_LTC3815=m
- WATCHDOG_SYSFS=y
- ZIIRAVE_WATCHDOG=m
- COMMON_CLK_CS2000_CP=m
- EXTCON_MAX3355=m
- IIO_CONFIGFS=m
- IIO_SW_TRIGGER=m
- MMA7455_I2C=m
- MMA7455_SPI=m
- MXC6255=m
- INA2XX_ADC=n (use hwmon)
- USB_SERIAL_MXUPORT11=m
- LEDS_SYSCON=y
- RTC_DRV_RX8010=m
- IAQCORE=m
- MAX30100=m
- IIO_HRTIMER_TRIGGER=m
- ARM (disabled):
- IMX_THERMAL=n
- TS4800_WATCHDOG=n
- TS4800_IRQ=n
- DRM_PANEL_PANASONIC_VVX10F034N00=n
- DRM_PANEL_SHARP_LS043T1LE01=n
- TI_ADS8688=n
- PHY_HI6220_USB=n
- WILC1000_SDIO=n
- WILC1000_SPI=n
- commit f1286ba
-------------------------------------------------------------------
Tue Jan 26 17:30:09 CET 2016 - jeffm@suse.com
- config: disable POWER_RESET on non-ARM platforms.
- commit 145928b
-------------------------------------------------------------------
Tue Jan 26 13:49:24 CET 2016 - bp@suse.de
- Update config files.a
Disable CONFIG_DEBUG_WX as stuff needs to be sorted out upstream first.
- commit c7d52bd
-------------------------------------------------------------------
Tue Jan 26 12:46:26 CET 2016 - jslaby@suse.cz
- n_tty: Fix unsafe reference to "other" ldisc (bnc#961500
CVE-2016-0723).
- tty: Fix unsafe ldisc reference via ioctl(TIOCGETD) (bnc#961500
CVE-2016-0723).
- n_tty: Fix unsafe reference to "other" ldisc (bnc#961500
CVE-2016-0723).
- tty: Fix unsafe ldisc reference via ioctl(TIOCGETD) (bnc#961500
CVE-2016-0723).
- commit 4ca6fa4
-------------------------------------------------------------------
Tue Jan 26 10:39:45 CET 2016 - mmarek@suse.com
- rpm/kernel-spec-macros: Do not modify the release string in PTFs (bsc#963449)
- commit dc2b096
-------------------------------------------------------------------
Tue Jan 26 07:45:55 CET 2016 - tiwai@suse.de
- Enable kconfigs for a few intel ASoC drivers (bsc#963456)
- commit 390de0f
-------------------------------------------------------------------
Mon Jan 25 17:48:33 CET 2016 - jeffm@suse.com
- Delete patches.fixes/tulip-quad-NIC-ifdown.
The original bug that this patch fixed was addressed in 2004, in v2.6.10
(6379dd57 of linux-2.6-bk), but pci_disable_device was still required to
shut down the device.
Commit c321f7d7c87 in v3.14 added the pci_disable_device at the end of
tulip_remove_one just far enough out of context so that this patch still
applied.
- commit 05c9dcc
-------------------------------------------------------------------
Sat Jan 23 10:03:57 CET 2016 - tiwai@suse.de
- Delete obsoleted patches.fixes/ptrace-getsiginfo
This has been fixed in 2.6.26 by e16b278.
- commit 250ec53
-------------------------------------------------------------------
Fri Jan 22 15:20:00 CET 2016 - mmarek@suse.com
- rpm/kernel-source.spec.in: Install kernel-macros for kernel-source-vanilla
(bsc#959090)
- commit cd33617
-------------------------------------------------------------------
Fri Jan 22 14:05:22 CET 2016 - mmarek@suse.com
- rpm/kernel-binary.spec.in: Fix paths in kernel-vanilla-devel (bsc#959090).
- commit 2d2ec7f
-------------------------------------------------------------------
Fri Jan 22 13:51:22 CET 2016 - mmarek@suse.com
- rpm/kernel-binary.spec.in: Fix kernel-vanilla-devel dependency (bsc#959090)
- commit fbbc989
-------------------------------------------------------------------
Fri Jan 22 13:31:21 CET 2016 - mmarek@suse.com
- rpm/kernel-binary.spec.in: Use bzip compression to speed up build (bsc#962356)
- commit e8f8f54
-------------------------------------------------------------------
Thu Jan 21 16:30:04 CET 2016 - dvaleev@suse.com
- Add sd_mod to initrd modules
For some reason PowerVM backend can't work without sd_mod
- commit 7c2bd21
-------------------------------------------------------------------
Thu Jan 21 11:13:24 CET 2016 - mmarek@suse.com
- rpm/package-descriptions: Add kernel-zfcpdump and drop -desktop
- commit 54e8f7c
-------------------------------------------------------------------
Wed Jan 20 18:02:28 CET 2016 - tiwai@suse.de
- scsi: ignore errors from scsi_dh_add_device() (boo#962813).
- commit 1b0ab71
-------------------------------------------------------------------
Wed Jan 20 17:54:02 CET 2016 - mgorman@suse.com
- Refresh patches.suse/readahead-request-tunables.patch.
- commit 02b8b18
-------------------------------------------------------------------
Wed Jan 20 17:43:09 CET 2016 - tiwai@suse.de
- ALSA: hda - Flush the pending probe work at remove (boo#960710).
- commit 1a7f7c2
-------------------------------------------------------------------
Wed Jan 20 16:45:52 CET 2016 - mmarek@suse.com
- rpm/old-flavors: Obsolete kernel-xen <= 4.4, to match 4.3.anything
- commit cd04346
-------------------------------------------------------------------
Wed Jan 20 15:36:56 CET 2016 - mgorman@suse.com
- mm: readahead: Increase default readahead window (VM Performance, bnc#548529).
- Delete
patches.fixes/cpufreq_ondemand_performance_optimise_default_settings.patch.
patches.fixes/mm-Fix-assertion-mapping-nrpages-0-in-end_writeback.patch.
- commit 42f90c8
-------------------------------------------------------------------
Wed Jan 20 10:14:52 CET 2016 - tiwai@suse.de
- HID: multitouch: fix input mode switching on some Elan panels
(boo#954532).
- commit 71b733e
-------------------------------------------------------------------
Wed Jan 20 10:06:50 CET 2016 - tiwai@suse.de
- Enable CONFIG_PINCTRL_CHERRYVIEW and _BROXTON (boo#954532)
Needed for recent tablets/laptops.
CONFIG_PINCTRL_BAYTRAIL is still disabled as it can't be built as a
module.
- commit d33a340
-------------------------------------------------------------------
Wed Jan 20 05:00:56 CET 2016 - jlee@suse.com
- patches.fixes/keys-fix-leak.patch: (bnc#962075, CVE-2016-0728).
- commit e2007ee
-------------------------------------------------------------------
Tue Jan 19 15:05:36 CET 2016 - jslaby@suse.cz
- hwrng: core - sleep interruptible in read (bnc#962597).
- commit d407b31
-------------------------------------------------------------------
Fri Jan 15 04:50:29 CET 2016 - neilb@suse.com
- Delete
patches.fixes/0001-NFSv4-do-not-accept-an-incompatible-delegation.patch.
This was fixed in 4.3 by
Commit: 24311f884189 ("NFSv4: Recovery of recalled read delegations is broken")
- commit c67a4b2
-------------------------------------------------------------------
Thu Jan 14 11:52:15 CET 2016 - tiwai@suse.de
- drm/i915: shut up gen8+ SDE irq dmesg noise (boo#961887).
- commit ef6f4af
-------------------------------------------------------------------
Thu Jan 14 11:36:40 CET 2016 - mbrugger@suse.com
- Delete obsolete patches
- Delete
patches.arch/arm64-gpex-0001-device-core-Introduce-per-device-MSI-domain-pointer.patch.
- Delete
patches.arch/arm64-gpex-0002-PCI-MSI-add-hooks-to-populate-the-msi_domain-field.patch.
- Delete
patches.arch/arm64-gpex-0003-PCI-MSI-of-add-support-for-OF-provided-msi_domain.patch.
- Delete
patches.arch/arm64-gpex-0004-PCI-MSI-Let-pci_msi_get_domain-use-struct-device-s-m.patch.
- Delete
patches.arch/arm64-gpex-0005-irqchip-GICv2m-Get-rid-of-struct-msi_controller.patch.
- Delete
patches.arch/arm64-gpex-0006-irqchip-gicv3-its-Get-rid-of-struct-msi_controller.patch.
- Delete
patches.arch/arm64-gpex-0007-PCI-MSI-Drop-domain-field-from-msi_controller.patch.
- Delete
patches.arch/arm64-gpex-0008-PCI-MSI-of-Allow-msi_domain-lookup-using-the-PHB-nod.patch.
- commit 370a5bb
-------------------------------------------------------------------
Wed Jan 13 16:39:43 CET 2016 - jeffm@suse.com
- Delete patches.fixes/nouveau-fix-race-with-fence-signaling.
This patch was obsoleted by protecting ->channel with RCU.
- commit a704b3b
-------------------------------------------------------------------
Wed Jan 13 16:26:12 CET 2016 - jeffm@suse.com
- Delete patches.fixes/dm-release-map_lock-before-set_disk_ro.
The drivers/md/dm.c:__bind has changes significantly and this patch
is no longer relevant.
- commit 75554c0
-------------------------------------------------------------------
Tue Jan 12 13:31:14 CET 2016 - mmarek@suse.com
- rpm/kernel-binary.spec.in: Fix build if no UEFI certs are installed
- commit ef1b7d3
-------------------------------------------------------------------
Tue Jan 12 13:10:15 CET 2016 - mmarek@suse.com
- rpm/kernel-binary.spec.in: Install libopenssl-devel for newer sign-file
- commit fbdf0b8
-------------------------------------------------------------------
Mon Jan 11 18:10:59 CET 2016 - mmarek@suse.cz
- series.conf: Whitespace cleanup
- commit 4a33d40
-------------------------------------------------------------------
Mon Jan 11 16:04:33 CET 2016 - mmarek@suse.cz
- rpm/constraints.in: Bump disk space requirements up a bit
Require 10GB on s390x, 20GB elsewhere.
- commit 558b7b3
-------------------------------------------------------------------
Mon Jan 11 15:43:45 CET 2016 - jeffm@suse.com
- Update to 4.4-final.
- commit f1e5f1f
-------------------------------------------------------------------
Fri Jan 8 17:37:28 CET 2016 - fdmanana@suse.com
- Btrfs: fix fitrim discarding device area reserved for boot
loader's use (bsc#960910).
- commit 238d0b8
-------------------------------------------------------------------
Fri Jan 8 16:10:24 CET 2016 - jbeulich@suse.com
- Refresh patches.suse/supported-flag (fix modpost command line
option spec).
- commit 2566c29
-------------------------------------------------------------------
Thu Jan 7 22:47:46 CET 2016 - tiwai@suse.de
- ethernet/atheros/alx: sanitize buffer sizing and padding
(boo#952621).
- commit f8f6570
-------------------------------------------------------------------
Thu Jan 7 10:37:01 CET 2016 - dchang@suse.com
- bnx2x: Fix VLANs null-pointer for 57710, 57711 (boo#960923).
- commit a1b48ad
-------------------------------------------------------------------
Mon Jan 4 14:34:02 CET 2016 - jeffm@suse.com
- Update to 4.4-rc8.
- commit e628e30
-------------------------------------------------------------------
Mon Jan 4 13:38:39 CET 2016 - dvaleev@suse.com
- Driver for IBM System i/p VNIC protocol.
- Update config files: CONFIG_IBMVNIC=m
- commit eae9134
-------------------------------------------------------------------
Mon Jan 4 13:35:21 CET 2016 - dvaleev@suse.com
- Driver for IBM System i/p VNIC protocol.
- Update config files CONFIG_VNIC=m
- commit 85261f3
-------------------------------------------------------------------
Mon Jan 4 06:34:03 CET 2016 - jlee@suse.com
- KEYS: Fix race between read and revoke (bnc#958951,
CVE-2015-7550).
- commit 1c9407b
-------------------------------------------------------------------
Fri Jan 1 07:32:36 CET 2016 - afaerber@suse.de
- config: armv7hl: Enable more RK3288 options for lpae
Namely MMC and IO domains, already enabled for default/vanilla.
- commit 49467f0
-------------------------------------------------------------------
Wed Dec 30 10:32:09 CET 2015 - jlee@suse.com
- KEYS: Fix handling of stored error in a negatively instantiated
user key (bnc#958463, CVE-2015-8539OD).
- commit 008195a
-------------------------------------------------------------------
Mon Dec 28 16:58:51 CET 2015 - jeffm@suse.com
- ovl: fix permission checking for setattr (CVE-2015-8660
bsc#960281).
- commit 77a3e32
-------------------------------------------------------------------
Mon Dec 28 16:30:30 CET 2015 - jeffm@suse.com
- Update to 4.4-rc7.
- commit 276c9f4
-------------------------------------------------------------------
Tue Dec 22 15:41:29 CET 2015 - jeffm@suse.com
- Update to 4.4-rc6.
- commit f4ac202
-------------------------------------------------------------------
Tue Dec 22 12:19:48 CET 2015 - afaerber@suse.de
- config: armv7hl: Enable EXTCON (boo#959818)
CONFIG_PHY_SUN4I_USB could not be enabled, breaking USB on several
popular ARM boards such as Cubietruck.
- commit 10b7cff
-------------------------------------------------------------------
Wed Dec 16 09:03:35 CET 2015 - tiwai@suse.de
- drm/i915: Do a better job at disabling primary plane in the
noatomic case (bnc#954137).
- commit db72752
-------------------------------------------------------------------
Tue Dec 15 16:46:42 CET 2015 - tiwai@suse.de
- ALSA: hda - Add a fixup for Thinkpad X1 Carbon 2nd (bsc#958439).
- ALSA: hda - Set codec to D3 at reboot/shutdown on Thinkpads
(bsc#958439).
- ALSA: hda - Apply click noise workaround for Thinkpads
generically (bsc#958439).
- commit 7b4772e
-------------------------------------------------------------------
Tue Dec 15 09:45:24 CET 2015 - mmarek@suse.com
- rpm/compute-PATCHVERSION.sh: Skip stale directories in the package dir
- commit 014bd26
-------------------------------------------------------------------
Tue Dec 15 09:27:40 CET 2015 - jslaby@suse.cz
- Linux 4.3.3.
- commit 0baa1c7
-------------------------------------------------------------------
Tue Dec 15 02:41:46 CET 2015 - jeffm@suse.com
- Update to 4.4-rc5.
- commit da8b87d
-------------------------------------------------------------------
Sun Dec 13 15:37:27 CET 2015 - afaerber@suse.de
- config: armv7hl: Enable ACT8865 for lpae, too
It is needed for the Firefly-RK3288 board.
(cherry picked from commit a9615824052d71ec99a224fb9f6e91795edc5d7a)
- config: armv7hl: Enable ACT8865 for lpae, too
It is needed for the Firefly-RK3288 board.
- commit 4ab0c5d
-------------------------------------------------------------------
Fri Dec 11 09:22:04 CET 2015 - jslaby@suse.cz
- Linux 4.3.2 (bsc#954414).
- Delete
patches.fixes/0001-X.509-Fix-the-time-validation-ver-2.patch.
- commit 2aebb11
-------------------------------------------------------------------
Wed Dec 9 21:21:19 CET 2015 - jslaby@suse.cz
- Linux 4.3.1.
- commit 0e3e409
-------------------------------------------------------------------
Wed Dec 9 16:51:24 CET 2015 - tiwai@suse.de
- ALSA: hda - Fix noise problems on Thinkpad T440s (boo#958504).
- commit 6945bb0
-------------------------------------------------------------------
Mon Dec 7 17:12:41 CET 2015 - jeffm@suse.com
- Update to 4.4-rc4.
- Eliminated 5 patches.
- commit 923c13b
-------------------------------------------------------------------
Mon Dec 7 11:24:31 CET 2015 - jslaby@suse.cz
- Refresh patches.suse/stack-unwind.
Use the fix from master.
- commit d3f999c
-------------------------------------------------------------------
Mon Dec 7 11:19:25 CET 2015 - jslaby@suse.cz
- Refresh patches.suse/stack-unwind.
- Fix these warnings (since 4.4 as of 0ab32b6f1):
kernel/unwind.c:672: warning: passing argument 2 of probe_kernel_read makes pointer from integer without a cast
- commit 6bcd0e3
-------------------------------------------------------------------
Sun Dec 6 20:08:55 CET 2015 - jslaby@suse.cz
- Refresh patches.suse/stack-unwind.
Add missing put_cpu() in case dwarf unwinder succeeds (bnc#943107)
- commit 68ab1c1
-------------------------------------------------------------------
Tue Dec 1 17:53:47 CET 2015 - mbrugger@suse.com
- Delete
patches.arch/arm64-gpex-0009-pci-Enable-pci-host-generic-support-for-ARM64.patch.
- commit 7985c89
-------------------------------------------------------------------
Tue Dec 1 17:52:43 CET 2015 - mbrugger@suse.com
- Delete
patches.arch/arm64-0005-arm64-dts-Add-X-Gene-reboot-driver-dts-node.patch.
- commit 435b78e
-------------------------------------------------------------------
Mon Nov 30 18:07:00 CET 2015 - jeffm@suse.com
- config: sync SWIOTLB config change to ppc/vanilla
- commit 3d7391b
-------------------------------------------------------------------
Mon Nov 30 16:57:48 CET 2015 - duwe@suse.de
- On ppc, SWIOTLB is just an alias for DMA bounce buffers
(see Kconfig help:
"Support for IO bounce buffering for systems without an IOMMU..." )
The systems we support all have proper IOMMUs and thus don't need
it.
- commit d43936a
-------------------------------------------------------------------
Mon Nov 30 16:11:11 CET 2015 - jeffm@suse.com
- Update to 4.4-rc3.
- Eliminated 2 patches.
- commit 916e346
-------------------------------------------------------------------
Mon Nov 30 16:04:40 CET 2015 - dvaleev@suse.com
- Add RHEL to kernel-obs-build
- commit a010d5e
-------------------------------------------------------------------
Mon Nov 30 15:01:56 CET 2015 - mmarek@suse.com
- Enable Xen in x86 vanilla configs
Align the vanilla configs with i386/pae and x86_64/default,
respectively.
- commit defd50c
-------------------------------------------------------------------
Mon Nov 30 14:58:28 CET 2015 - mmarek@suse.com
- Enable pae in i386/vanilla
We use kernel-pae in majority of 32bit installs, so it makes sense to
sync i386/vanilla with this flavor.
- commit 3557f7c
-------------------------------------------------------------------
Mon Nov 30 14:15:39 CET 2015 - mmarek@suse.com
- Drop SUSE_KERNEL and SPLIT_PACKAGE patches from vanilla (bsc#957159)
- commit fa79c63
-------------------------------------------------------------------
Mon Nov 30 13:33:34 CET 2015 - tiwai@suse.de
- thinkpad_acpi: Don't yell on unsupported brightness interfaces
(boo#957152).
- commit 0e6e680
-------------------------------------------------------------------
Fri Nov 27 20:32:44 CET 2015 - tiwai@suse.de
- drm/i915: Don't override output type for DDI HDMI (boo#955190).
- drm/i915: Don't compare has_drrs strictly in pipe config
(bsc#956397).
- drm/i915: Don't override output type for DDI HDMI (boo#955190).
- drm/i915: Don't compare has_drrs strictly in pipe config
(bsc#956397).
- commit 7c0fedd
-------------------------------------------------------------------
Thu Nov 26 10:44:19 CET 2015 - mkubecek@suse.cz
- Delete patches.fixes/bridge-module-get-put.patch.
As discussed in
http://lists.opensuse.org/opensuse-kernel/2015-11/msg00046.html
- commit 91e30a7
-------------------------------------------------------------------
Thu Nov 26 09:46:39 CET 2015 - mkubecek@suse.cz
- Delete patches.fixes/bridge-module-get-put.patch.
As discussed in
http://lists.opensuse.org/opensuse-kernel/2015-11/msg00046.html
- commit 856bef8
-------------------------------------------------------------------
Mon Nov 23 20:32:47 CET 2015 - jeffm@suse.com
- config: refresh ARM configs for 4.4-rc2.
- commit 7eabae5
-------------------------------------------------------------------
Mon Nov 23 20:30:57 CET 2015 - jeffm@suse.com
- Update to 4.4-rc2.
- Eliminated 1 patch.
- commit dea70ff
-------------------------------------------------------------------
Sun Nov 22 02:15:47 CET 2015 - afaerber@suse.de
- config: arm64: Update configs for 4.4-rc1
Align vanilla and default while at it.
- commit f5e67d3
-------------------------------------------------------------------
Sun Nov 22 01:30:12 CET 2015 - afaerber@suse.de
- config: armv6hl: Update configs for 4.4-rc1
Align vanilla and default while at it.
- commit 11c6acd
-------------------------------------------------------------------
Sun Nov 22 01:06:18 CET 2015 - afaerber@suse.de
- config: armv7hl: Update configs for 4.4-rc1
Disable FW_LOADER_USER_HELPER_FALLBACK for vanilla (boo#944661, boo#951260).
- commit d412cf8
-------------------------------------------------------------------
Fri Nov 20 21:04:23 CET 2015 - mmarek@suse.com
- Enable pvops Xen for x86_64/vanilla as well
i386/vanilla is left as is for now, because it matches the non-pae
-default kernel which does not support Xen either.
- commit 6a48ac7
-------------------------------------------------------------------
Thu Nov 19 21:52:18 CET 2015 - jeffm@suse.com
- serial: export fsl8250_handle_irq.
- commit 42fd13e
-------------------------------------------------------------------
Thu Nov 19 09:12:16 CET 2015 - mmarek@suse.com
- xen/events: Always allocate legacy interrupts on PV guests.
- commit b63d753
-------------------------------------------------------------------
Thu Nov 19 07:41:19 CET 2015 - tiwai@suse.de
- ethernet/atheros/alx: add Killer E2400 device ID (boo#955363).
- commit aa7197b
-------------------------------------------------------------------
Wed Nov 18 23:10:23 CET 2015 - mmarek@suse.cz
- staging: lustre: remove IOC_LIBCFS_PING_TEST ioctl.
- commit 6772624
-------------------------------------------------------------------
Wed Nov 18 22:40:44 CET 2015 - mmarek@suse.cz
- XEN: Use the PVOPS kernel (fate#315712)
Merge the -pv configs into -default (-pae on i386) and -debug and drop
the -xen flavor and the associated patches.xen series. For a
transitional period, the -xen flavor will be maintained in a separate
branch as a reference.
- commit ff1dcd9
-------------------------------------------------------------------
Wed Nov 18 22:21:27 CET 2015 - mmarek@suse.cz
- Refresh config files.
- commit f8f53b4
-------------------------------------------------------------------
Wed Nov 18 17:55:24 CET 2015 - jeffm@suse.com
- btrfs: qgroup: fix quota disable during rescan.
- commit 85158a1
-------------------------------------------------------------------
Tue Nov 17 10:14:21 CET 2015 - jlee@suse.com
- X.509: Fix the time validation [ver #2] (bsc#954414).
- commit 6b3b033
-------------------------------------------------------------------
Mon Nov 16 20:26:54 CET 2015 - jeffm@suse.com
- Update to 4.4-rc1.
- Xen is disabled.
- ARM configs need updating.
- 7 patches eliminated.
- Config changes:
- Networking:
- NETFILTER_NETLINK_GLUE_CT=y
- renamed from NETFILTER_NETLINK_QUEUE_CT
- IEEE802154_NL802154_EXPERIMENTAL=n
- still in development, assumed the option
will be renamed when it's finalized
- NET_L3_MASTER_DEV=y (library, no code execution by itself)
- NFC_FDP=m
- NFC_FDP_I2C=m
- NFC_MRVL_I2C=m
- BNXT=m
- BNXT_SRIOV=y
- MLXSW_SPECTRUM=m
- QED=m
- QEDE=m
- RTL8XXXU=m
- RTL8XXXU_UNTESTED=y
- WILC1000_DRIVER=n (no module option)
- Storage:
- NVM=y
- NVM_DEBUG=n
- NVM_GENNVM=m
- NVM_RRPC=m
- Input/Serial:
- TOUCHSCREEN_FT6236=m
- TOUCHSCREEN_TSC2004=m
- TOUCHSCREEN_ROHM_BU21023=m
- INPUT_DA9063_ONKEY=m
- USERIO=m
- SERIAL_8250_RT288X=y
- SERIAL_8250_MID=m
- HID_CORSAIR=m
- HID_GFRM=m
- Misc:
- PINCTRL_BROXTON=n
- GPIO_AMDPT=m
- GPIO_104_IDIO_16=m
- GPIO_IT87=m
- BATTERY_BQ27XXX=m
- BATTERY_BQ27XXX_I2C=y
- BATTERY_BQ27XXX_PLATFORM=y
- Video:
- VIDEO_VIVID_MAX_DEVS=64 (default)
- FB_IBM_GXT4500=m
- Audio:
- SND_PCM_TIMER=y
- SND_FIREWIRE_DIGI00X=m
- SND_FIREWIRE_TASCAM=m
- Sensors:
- MXC4005=m
- HI8435=m
- VZ89X=m
- HDC100X=m
- HTU21=m
- APDS9960=m
- US5182D=m
- MCP4531=m
- MS5637=m
- LIDAR_LITE_V2=m
- TSYS01=m
- TSYS02D=m
- SENSORS_MAX31790=m
- Misc:
- FPGA=m
- FPGA_MGR_ZYNQ_FPGA=n
- UBIFS_ATIME_SUPPORT=y
- VFIO_NOIOMMU=n
- COMMON_CLK_SI514=m
- RTC_DRV_RV8803=m
- Tracing:
- STM=m
- STM_DUMMY=m
- STM_SOURCE_CONSOLE=m
- TRACING_EVENTS_GPIO=y
- Debugging:
- TEST_PRINTF=n
- SECTION_MISMATCH_WARN_ONLY=y (current behavior)
- ACPI_DEBUGGER=n
- EFI:
- EFI_FAKE_MEMMAP=n
- EFI_PGT_DUMP=n
- DEBUG_WX=y
- Crypto:
- CRYPTO_KEYWRAP=m
- PWM_PCA9685=m
- x86:
- MIC_COSM=m
- UNISYS_VISORINPUT=m
- UNISYS_VISORHBA=m
- LEGACY_VSYSCALL_EMULATE=emulate
- INTEL_TH=m
- INTEL_TH_PCI=m
- INTEL_TH_GTH=m
- INTEL_TH_STH=m
- INTEL_TH_MSU=m
- INTEL_TH_PTI=m
- INTEL_TH_DEBUG=n
- INTEL_IOMMU_SVM=y
- INTEL_IDMA64=m
- TOSHIBA_WMI=m
- ARM:
- AHCI_QORIQ=n
- BCM7038_WDT=n
- SSB_HOST_SOC=n
- MFD_ATMEL_FLEXCOM=n
- commit ac3c385
-------------------------------------------------------------------
Sun Nov 15 11:45:43 CET 2015 - jslaby@suse.cz
- Update config files.
Enable CRASHER on s390x to be the same as in all other configs.
- commit 71162f2
-------------------------------------------------------------------
Sun Nov 15 11:40:59 CET 2015 - jslaby@suse.cz
- Rename patches.suse/crasher-26.diff to patches.suse/crasher.patch
It is no longer anything for 2.6 :).
- commit d407cb2
-------------------------------------------------------------------
Sat Nov 14 23:36:45 CET 2015 - jslaby@suse.cz
- Refresh patches.suse/crasher.patch
See the patch to see what the update consists of.
- commit 57989db
-------------------------------------------------------------------
Thu Nov 12 18:25:54 CET 2015 - tiwai@suse.de
- Clear CONFIG_FW_LOADER_USER_HELPER_FALLBACK in vanilla configs (boo#944661)
armv7hl/vanilla still contains it because of boo#951260
- commit 18c4504
-------------------------------------------------------------------
Wed Nov 11 13:01:29 CET 2015 - dvaleev@suse.com
- Update config files (bsc#951533).
Set CONFIG_SPARSEMEM_VMEMMAP=y for Power
- commit 5a67c8d
-------------------------------------------------------------------
Wed Nov 11 12:55:59 CET 2015 - dvaleev@suse.com
- Update config files (bsc#951533).
Set CONFIG_SPARSEMEM_VMEMMAP=y for Power
- commit fd42e9a
-------------------------------------------------------------------
Wed Nov 11 12:33:59 CET 2015 - tiwai@suse.de
- Refresh tags in patches.drivers/ALSA-hda-Disable-64bit-address-for-Creative-HDA-cont.
- commit 9005e93
-------------------------------------------------------------------
Wed Nov 11 12:33:32 CET 2015 - tiwai@suse.de
- ALSA: hda - Fix lost 4k BDL boundary workaround (bnc#814440).
- commit 05bd5d3
-------------------------------------------------------------------
Tue Nov 10 11:19:14 CET 2015 - jbeulich@suse.com
- Refresh Xen patches:
- Several fixes for Dom0 use of PV flavor.
- Interrupt setup fix for Xen flavor.
- supported.conf: blktap.ko is unsupported.
- commit 52f9791
-------------------------------------------------------------------
Fri Nov 6 21:52:16 CET 2015 - jeffm@suse.com
- patches.apparmor/apparmor-allow-sys_cap_resource-to-be-sufficient-to-prlimit-another-task: fix incomplete backport
- commit 1e85ded
-------------------------------------------------------------------
Fri Nov 6 21:33:46 CET 2015 - jeffm@suse.com
- apparmor: allow SYS_CAP_RESOURCE to be sufficient to prlimit
another task (bsc#921949).
- commit d7c44a5
-------------------------------------------------------------------
Fri Nov 6 20:39:54 CET 2015 - tiwai@suse.de
- Input: elantech - add Fujitsu Lifebook U745 to force crc_enabled
(boo#883192).
- commit 8e60887
-------------------------------------------------------------------
Tue Nov 3 18:42:12 CET 2015 - jbeulich@suse.com
- Update Xen patches to 4.3-final and c/s 1298.
- Update Xen config files.
- commit 2b74ad8
-------------------------------------------------------------------
Tue Nov 3 16:20:18 CET 2015 - schwab@suse.de
- group-source-files: mark module.lds as devel file
ld: cannot open linker script file /usr/src/linux-4.2.5-1/arch/arm/kernel/module.lds: No such file or directory
- commit ec6d351
-------------------------------------------------------------------
Mon Nov 2 16:34:24 CET 2015 - jslaby@suse.cz
- rpm/kernel-binary.spec.in: sync with master
- commit 7b374a4
-------------------------------------------------------------------
Mon Nov 2 15:00:23 CET 2015 - jeffm@suse.com
- Update to 4.3-final.
- 1 patch eliminated.
- commit e20b856
-------------------------------------------------------------------
Wed Oct 28 16:41:18 CET 2015 - jslaby@suse.cz
- rpm/kernel-binary.spec.in: really pass down %{?_smp_mflags}
- commit 273a7fb
-------------------------------------------------------------------
Wed Oct 28 08:49:09 CET 2015 - tiwai@suse.de
- ALSA: hda - Disable 64bit address for Creative HDA controllers
(bnc#814440).
- commit 1b5a73d
-------------------------------------------------------------------
Tue Oct 27 09:11:49 CET 2015 - jslaby@suse.cz
- Linux 4.2.5.
- commit 27d2719
-------------------------------------------------------------------
Mon Oct 26 17:15:43 CET 2015 - mmarek@suse.com
- rpm/kernel-binary.spec.in: Use parallel make in all invocations
Also, remove the lengthy comment, since we are using a standard rpm
macro now.
- commit 4d6ba88
-------------------------------------------------------------------
Mon Oct 26 17:13:43 CET 2015 - mmarek@suse.com
- rpm/kernel-binary.spec.in: Delete one more DEBUG_SECTION_MISMATCH assignment
- commit fce45dc
-------------------------------------------------------------------
Mon Oct 26 11:26:34 CET 2015 - mmarek@suse.com
- rpm/kernel-binary.spec.in: Do not explicitly set DEBUG_SECTION_MISMATCH
CONFIG_DEBUG_SECTION_MISMATCH is a selectable Kconfig option since
2.6.39 and is enabled in our configs.
- commit 15aa4f8
-------------------------------------------------------------------
Sat Oct 24 20:59:13 CEST 2015 - stefan.bruens@rwth-aachen.de
- config: arm: Enable ADS7846 touchscreen driver
This SPI connected chip is common on RPi TFT displays.
(cherry picked from commit 71386ea914fddea7d598c24a38a312ba210a51ae)
- config: arm: Enable ADS7846 touchscreen driver
This SPI connected chip is common on RPi TFT displays.
- commit 48484ee
-------------------------------------------------------------------
Sat Oct 24 18:00:29 CEST 2015 - jslaby@suse.cz
- Refresh patches.xen/xen3-fixup-xen.
Use the origin/master approach.
- commit 6ae5577
-------------------------------------------------------------------
Sat Oct 24 17:42:27 CEST 2015 - jslaby@suse.cz
- Linux 4.2.4 (bsc#943982).
- Refresh patches.xen/xen3-fixup-xen.
- Delete
patches.drivers/ALSA-hda-Disable-power_save_node-for-Thinkpads.
- Delete
patches.fixes/leds-lp55xx-Correct-Kconfig-dependency-for-f-w-user-.
- commit 6239f7d
-------------------------------------------------------------------
Fri Oct 23 08:39:30 CEST 2015 - tiwai@suse.de
- [media] media/vivid-osd: fix info leak in ioctl (CVE-2015-7884,
bnc#951626).
- staging/dgnc: fix info leak in ioctl (CVE-2015-7885,
boo#951627).
- [media] media/vivid-osd: fix info leak in ioctl (CVE-2015-7884,
bnc#951626).
- commit f554650
-------------------------------------------------------------------
Thu Oct 22 14:54:12 CEST 2015 - guillaume.gardet@free.fr
- config: arm: Enable CONFIG_PPS_CLIENT_GPIO
Set CONFIG_PPS_CLIENT_GPIO=m for all ARM configs to be able to use GPS
with PPS line connected to a GPIO, as a high-precision time reference.
- commit 29faced
-------------------------------------------------------------------
Thu Oct 22 08:34:29 CEST 2015 - jbeulich@suse.com
- supported.conf: Add pv-ops Xen drivers, 8250-base, and xhci-pci.
- commit ff3f596
-------------------------------------------------------------------
Wed Oct 21 15:55:58 CEST 2015 - guillaume.gardet@free.fr
- config: arm: Enable CONFIG_PPS_CLIENT_GPIO
Set CONFIG_PPS_CLIENT_GPIO=m for all ARM configs to be able to use GPS
with PPS line connected to a GPIO, as a high-precision time reference.
- commit f01eb6a
-------------------------------------------------------------------
Tue Oct 20 20:38:15 CEST 2015 - tiwai@suse.de
- Disable leftover CONFIG_FW_LOADER_USER_HELPER_FALLBACK in armv6hl/* (boo#951260)
- commit 60f5033
-------------------------------------------------------------------
Tue Oct 20 20:37:10 CEST 2015 - tiwai@suse.de
- drm/sti: Remove select of CONFIG_FW_LOADER_USER_HELPER_FALLBACK
(boo#951260).
- commit e9cf2df
-------------------------------------------------------------------
Tue Oct 20 20:33:33 CEST 2015 - tiwai@suse.de
- Disable leftover CONFIG_FW_LOADER_USER_HELPER_FALLBACK in armv7hl/* (boo#951260)
- commit 007f6ba
-------------------------------------------------------------------
Tue Oct 20 20:32:31 CEST 2015 - tiwai@suse.de
- [media] c8sectpfe: Remove select on
CONFIG_FW_LOADER_USER_HELPER_FALLBACK (boo#951260).
- drm/sti: Remove select of CONFIG_FW_LOADER_USER_HELPER_FALLBACK
(boo#951260).
- commit 23d5c78
-------------------------------------------------------------------
Tue Oct 20 17:19:55 CEST 2015 - tiwai@suse.de
- Disable CONFIG_RT_GROUP_SCHED (boo#950955)
Even people originally demanded suggest to drop it now...
- commit 58efde7
-------------------------------------------------------------------
Tue Oct 20 17:16:39 CEST 2015 - tiwai@suse.de
- Disable CONFIG_RT_GROUP_SCHED (boo#950955)
Even people originally demanded suggest to drop it now...
- commit c229932
-------------------------------------------------------------------
Tue Oct 20 16:44:25 CEST 2015 - tiwai@suse.de
- ALSA: hda - Fix deadlock at error in building PCM (bsc#948758).
- commit e5082ca
-------------------------------------------------------------------
Mon Oct 19 19:25:24 CEST 2015 - jeffm@suse.com
- config: Re-enable DEBUG_INFO on ec2 flavors.
It was disabled in commit a104accda85, but is enabled explicitly in the
spec file. The options that depend on it must be in the config file for
the build to succeed.
- commit 4415ce9
-------------------------------------------------------------------
Mon Oct 19 19:00:18 CEST 2015 - jeffm@suse.com
- Update to 4.3-rc6.
- commit d6b2a93
-------------------------------------------------------------------
Fri Oct 16 16:34:28 CEST 2015 - mmarek@suse.com
- Delete unused rpm/config-subst.
- commit 01841f4
-------------------------------------------------------------------
Fri Oct 16 16:01:01 CEST 2015 - mmarek@suse.com
- rpm/kernel-source.rpmlintrc: Update the filters
- commit e26b4cc
-------------------------------------------------------------------
Fri Oct 16 15:23:51 CEST 2015 - guillaume.gardet@free.fr
- config: armv6hl: Update and re-enable default and vanilla configs
Update and re-enable default and vanilla configs.
[AF: Made FW_LOADER_USER_HELPER_FALLBACK consistent]
- commit 549889b
-------------------------------------------------------------------
Fri Oct 16 10:32:06 CEST 2015 - mmarek@suse.com
- rpm/kernel-binary.spec.in: Use upstream script to support config.addon
- commit e8739f8
-------------------------------------------------------------------
Fri Oct 16 10:28:57 CEST 2015 - mmarek@suse.com
- rpm/kernel-binary.spec.in: Drop the %build_src_dir macro
It is the parent directory of the O= directory.
- commit 2ed0dbe
-------------------------------------------------------------------
Thu Oct 15 16:47:21 CEST 2015 - tiwai@suse.de
- rtlwifi: rtl8821ae: Fix system lockups on boot (boo#944978).
- commit 9c478b9
-------------------------------------------------------------------
Thu Oct 15 16:28:49 CEST 2015 - jbeulich@suse.com
- Update Xen patches to 4.3-rc5 and c/s 1293.
- netback: coalesce (guest) RX SKBs as needed (bsc#919154).
- config.conf: Re-enable Xen and PV configs.
- Update config files.
- commit a104acc
-------------------------------------------------------------------
Wed Oct 14 21:30:18 CEST 2015 - matwey.kornilov@gmail.com
- config: armv7hl: Enable new OMAP serial driver
- commit 54af7c7
-------------------------------------------------------------------
Mon Oct 12 16:01:33 CEST 2015 - jeffm@suse.com
- Update to 4.3-rc5.
- commit 36c0ed4
-------------------------------------------------------------------
Mon Oct 12 12:01:32 CEST 2015 - mmarek@suse.com
- rpm/kernel-obs-build.spec.in: Add virtio_rng to the initrd
This allows to feed some randomness to the OBS workers.
- commit fbb0589
-------------------------------------------------------------------
Mon Oct 5 13:40:15 CEST 2015 - dmueller@suse.com
- Update config files.
Disable CONFIG_LEDS_BCM6328 and CONFIG_LEDS_BCM6358, as those
are MIPS and unlikely to exist on an ARM board.
- commit efc53bd
-------------------------------------------------------------------
Mon Oct 5 05:22:22 CEST 2015 - jeffm@suse.com
- Update to 4.3-rc4.
- commit 48d7e75
-------------------------------------------------------------------
Sun Oct 4 21:19:16 CEST 2015 - matwey.kornilov@gmail.com
- config: armv7hl: Sync vanilla with default
Let vanilla follow changes made in default.
- commit 00ad273
-------------------------------------------------------------------
Sun Oct 4 20:06:44 CEST 2015 - afaerber@suse.de
- config: armv7hl: Disable all msm8916/apq8016 options
They are arm64 SoCs, so not needed for armv7hl.
- commit b333226
-------------------------------------------------------------------
Sun Oct 4 18:09:55 CEST 2015 - matwey.kornilov@gmail.com
- config: armv7hl: Enable preemption
This patch is to follow 1fcdac3f3d277ffea3462af635a3dea6b854a09a.
[AF/MK: Enable preemption for vanilla config as well]
- commit d795f96
-------------------------------------------------------------------
Sat Oct 3 19:37:16 CEST 2015 - jslaby@suse.cz
- Linux 4.2.3.
- commit f17d4c9
-------------------------------------------------------------------
Sat Oct 3 18:13:57 CEST 2015 - afaerber@suse.de
- config: armv7hl: Enable missing STMMAC Ethernet options
This attempts to fix regressions from 4.1 like built-in Ethernet on
Cubietruck not working.
(cherry picked from commit 68608454650632c84ab3c4c67a923ba834be6c59)
- config: armv7hl: Enable missing STMMAC Ethernet options
This attempts to fix regressions from 4.1 like built-in Ethernet on
Cubietruck not working.
- commit 2a10792
-------------------------------------------------------------------
Fri Oct 2 17:09:31 CEST 2015 - mmarek@suse.com
- Obsolete kernel-desktop also in Tumbleweed
- commit 7a85c9f
-------------------------------------------------------------------
Fri Oct 2 10:44:35 CEST 2015 - tiwai@suse.de
- apparmor: temporary work around for bug while unloading policy
(boo#941867).
- commit fee03a3
-------------------------------------------------------------------
Wed Sep 30 02:34:37 CEST 2015 - jlee@suse.com
- Update config files. (bsc#947816)
Put # CONFIG_KEXEC_VERIFY_SIG is not set (bsc#947816)
- commit ab4942d
-------------------------------------------------------------------
Wed Sep 30 02:16:01 CEST 2015 - jlee@suse.com
- Update config files. (bsc#947816)
Put # CONFIG_KEXEC_VERIFY_SIG is not set (bsc#947816)
- commit 0dc8788
-------------------------------------------------------------------
Wed Sep 30 01:37:00 CEST 2015 - jeffm@suse.com
- Update to 4.3-rc3.
- Eliminated 1 patch.
- commit 66bc908
-------------------------------------------------------------------
Tue Sep 29 20:51:15 CEST 2015 - jslaby@suse.cz
- Update config files.
(vanilla)
- commit b3ecf72
-------------------------------------------------------------------
Tue Sep 29 20:29:23 CEST 2015 - jslaby@suse.cz
- Linux 4.2.2 (bnc#934531).
- Delete
patches.fixes/mmc-sdhci-fix-dma-memory-leak-in-sdhci_pre_req.patch.
- Delete
patches.fixes/workqueue-Make-flush_workqueue-available-again-to-no.patch.
- Update config files.
- commit 5256b5c
-------------------------------------------------------------------
Fri Sep 25 22:51:28 CEST 2015 - mmarek@suse.cz
- Delete patches.suse/kconfig-automate-kernel-desktop.
No longer needed.
- commit 74bcdcc
-------------------------------------------------------------------
Fri Sep 25 22:42:00 CEST 2015 - mmarek@suse.cz
- Merge kernel-desktop back into kernel-default/kernel-pae (fate#319416)
- commit ad49492
-------------------------------------------------------------------
Fri Sep 25 10:21:54 CEST 2015 - tiwai@suse.de
- ALSA: hda - Disable power_save_node for Thinkpads (bsc#943982).
- commit 6996a42
-------------------------------------------------------------------
Wed Sep 23 14:41:58 CEST 2015 - dmueller@suse.com
- Backport of https://lkml.org/lkml/2015/9/11/507
Fixes a build issue with aarch64, see
http://www.spinics.net/lists/arm-kernel/msg446809.html.
- Update config files.
- commit 2947252
-------------------------------------------------------------------
Wed Sep 23 13:49:33 CEST 2015 - dmueller@suse.com
- Delete
patches.arch/arm64-0007-arm64-Do-not-call-enable-PCI-resources-when-specify-.patch.
- commit a608d6a
-------------------------------------------------------------------
Wed Sep 23 13:47:42 CEST 2015 - jdelvare@suse.de
- Update x86 config files: CONFIG_SURFACE_PRO3_BUTTON=m
This is an x86 driver, no reason to not enable it.
- commit c28e82c
-------------------------------------------------------------------
Wed Sep 23 13:32:40 CEST 2015 - jeffm@suse.com
- config: re-enabled EXT4_USE_FOR_EXT2
- commit 681c071
-------------------------------------------------------------------
Tue Sep 22 21:52:03 CEST 2015 - jslaby@suse.cz
- Refresh patches.xen/xen3-stack-unwind.
XEN needs a fix for stack unwinder too.
- commit 9c7cacf
-------------------------------------------------------------------
Tue Sep 22 16:49:30 CEST 2015 - jslaby@suse.cz
- Update config files.
- Refresh patches.suse/stack-unwind.
- Refresh patches.xen/xen3-patch-2.6.27.
Reenable dwarf stack unwinder for C. Assembler is not dwarf-friendly
annotated (removed in upstream and neither
patches.arch/x86_64-unwind-annotations is enabled (yet)), so dwarf
unwinder does not work there (yet).
This should be fixed by Josh Poimbeouf's stacktool later. But until
then, dwarf-unwind at least C and use frame pointers for asm.
I.e. no more question marks for most cases:
[<ffffffff81008415>] try_stack_unwind+0x175/0x190
[<ffffffff81007293>] dump_trace+0x93/0x3a0
[<ffffffff8100847b>] show_trace_log_lvl+0x4b/0x60
[<ffffffff810076ac>] show_stack_log_lvl+0x10c/0x180
[<ffffffff81008515>] show_stack+0x25/0x50
[<ffffffff81364619>] dump_stack+0x4b/0x72
[<ffffffffa01a302e>] init1+0xe/0x20 [aaa]
[<ffffffff810002f3>] do_one_initcall+0xb3/0x200
[<ffffffff8116e4da>] do_init_module+0x5f/0x1f6
[<ffffffff810ee87e>] load_module+0x15fe/0x1b60
[<ffffffff810eefe8>] SYSC_finit_module+0x98/0xc0
[<ffffffff810ef02e>] SyS_finit_module+0xe/0x10
[<ffffffff816b07b6>] entry_SYSCALL_64_fastpath+0x16/0x75
DWARF2 unwinder stuck at entry_SYSCALL_64_fastpath+0x16/0x75
Leftover inexact backtrace:
<nothing>
Instead of the funny:
[<ffffffff81364619>] ? dump_stack+0x4b/0x72
[<ffffffffa022a02e>] ? init1+0xe/0x20 [aaa]
[<ffffffff810002f3>] ? do_one_initcall+0xb3/0x200
[<ffffffff8116e4a2>] ? do_init_module+0x27/0x1f6
[<ffffffff8116e4da>] ? do_init_module+0x5f/0x1f6
[<ffffffff810ee87e>] ? load_module+0x15fe/0x1b60
[<ffffffff810eaa40>] ? __symbol_put+0x50/0x50
[<ffffffff811e9501>] ? kernel_read+0x41/0x60
[<ffffffff810eefe8>] ? SYSC_finit_module+0x98/0xc0
[<ffffffff810ef02e>] ? SyS_finit_module+0xe/0x10
[<ffffffff816b07b6>] ? entry_SYSCALL_64_fastpath+0x16/0x75
where __symbol_put and do_init_module+0x27 should not be there at all.
- Update config files.
- Refresh patches.suse/stack-unwind.
Reenable dwarf stack unwinder for C. Assembler is not dwarf-friendly
annotated (removed in upstream and neither
patches.arch/x86_64-unwind-annotations is enabled (yet)), so dwarf
unwinder does not work there (yet).
This should be fixed by Josh Poimbeouf's stacktool later. But until
then, dwarf-unwind at least C and use frame pointers for asm.
I.e. no more question marks for most cases:
[<ffffffff81008415>] try_stack_unwind+0x175/0x190
[<ffffffff81007293>] dump_trace+0x93/0x3a0
[<ffffffff8100847b>] show_trace_log_lvl+0x4b/0x60
[<ffffffff810076ac>] show_stack_log_lvl+0x10c/0x180
[<ffffffff81008515>] show_stack+0x25/0x50
[<ffffffff81364619>] dump_stack+0x4b/0x72
[<ffffffffa01a302e>] init1+0xe/0x20 [aaa]
[<ffffffff810002f3>] do_one_initcall+0xb3/0x200
[<ffffffff8116e4da>] do_init_module+0x5f/0x1f6
[<ffffffff810ee87e>] load_module+0x15fe/0x1b60
[<ffffffff810eefe8>] SYSC_finit_module+0x98/0xc0
[<ffffffff810ef02e>] SyS_finit_module+0xe/0x10
[<ffffffff816b07b6>] entry_SYSCALL_64_fastpath+0x16/0x75
DWARF2 unwinder stuck at entry_SYSCALL_64_fastpath+0x16/0x75
Leftover inexact backtrace:
<nothing>
Instead of the funny:
[<ffffffff81364619>] ? dump_stack+0x4b/0x72
[<ffffffffa022a02e>] ? init1+0xe/0x20 [aaa]
[<ffffffff810002f3>] ? do_one_initcall+0xb3/0x200
[<ffffffff8116e4a2>] ? do_init_module+0x27/0x1f6
[<ffffffff8116e4da>] ? do_init_module+0x5f/0x1f6
[<ffffffff810ee87e>] ? load_module+0x15fe/0x1b60
[<ffffffff810eaa40>] ? __symbol_put+0x50/0x50
[<ffffffff811e9501>] ? kernel_read+0x41/0x60
[<ffffffff810eefe8>] ? SYSC_finit_module+0x98/0xc0
[<ffffffff810ef02e>] ? SyS_finit_module+0xe/0x10
[<ffffffff816b07b6>] ? entry_SYSCALL_64_fastpath+0x16/0x75
where __symbol_put and do_init_module+0x27 should not be there at all.
- commit 68d392a
-------------------------------------------------------------------
Tue Sep 22 09:24:12 CEST 2015 - jslaby@suse.cz
- Linux 4.2.1.
- commit 0d0e86c
-------------------------------------------------------------------
Mon Sep 21 15:20:23 CEST 2015 - jeffm@suse.com
- Update to 4.3-rc2.
- Eliminated 1 patch.
- Config changes:
- ARM (32/64-bit)
- STUB_CLK_HI6220=n
- ARM64
- ARM64_ERRATUM_843419=y
- commit 2b75354
-------------------------------------------------------------------
Sun Sep 20 20:24:47 CEST 2015 - afaerber@suse.de
- config: armv6hl: Update for 4.3-rc1
- commit f41e9a5
-------------------------------------------------------------------
Sun Sep 20 19:42:26 CEST 2015 - afaerber@suse.de
- config: arm64: Update for 4.3-rc1
- commit bd3ad83
-------------------------------------------------------------------
Thu Sep 17 16:41:12 CEST 2015 - jeffm@suse.com
- config: disable MTD_NAND_DENALI_PCI
- commit a9273ca
-------------------------------------------------------------------
Wed Sep 16 21:00:55 CEST 2015 - matwey.kornilov@gmail.com
- config: armv7hl: Reenable for 4.3
[AF: Keep STM32 serial disabled, sanitize STi, enable more Qualcomm,
MediaTek and Renesas options, disable i.MX6 for lpae, switch
MUSB to Dual Mode while at it, keep SUSE options out of vanilla]
- commit 17ed601
-------------------------------------------------------------------
Wed Sep 16 15:57:31 CEST 2015 - jeffm@suse.com
- btrfs: provide super_operations->inode_get_dev (bsc#927455).
- vfs: add super_operations->get_inode_dev (bsc#927455).
- Delete patches.suse/btrfs-use-correct-device-for-maps.patch.
- commit 6c14ee2
-------------------------------------------------------------------
Wed Sep 16 15:37:18 CEST 2015 - jeffm@suse.com
- btrfs: advertise which crc32c implementation is being used on
mount (bsc#946057).
- commit 75a78f6
-------------------------------------------------------------------
Wed Sep 16 10:16:21 CEST 2015 - jeffm@suse.com
- config: resync vanilla configs
- commit 8f87143
-------------------------------------------------------------------
Wed Sep 16 09:36:17 CEST 2015 - jeffm@suse.com
- Update to 4.3-rc1.
- Eliminated 8 patches.
- Xen needs updating.
- ARM configs need updating.
- Config changes:
- General options:
- CGROUP_PIDS=y
- USERFAULTFD=y
- MEMBARRIER=y
- EXT4_USE_FOR_EXT2=n
- TEST_STATIC_KEYS=n
- NVMEM=m
- SCSI_DH=y
- [debug] FAIL_FUTEX=y
- IDLE_PAGE_TRACKING=n
- SUSPEND_SKIP_SYNC=n
- x86 options:
- X86_LEGACY_VM86=n (dosemu vm86 support eliminaed, use a vm or emulation)
- MODIFY_LDT_SYSCALL=y
- CRYPTO_POLY1305_X86_64=m
- CRYPTO_CHACHA20_X86_64=m
- IDMA64=m
- AMD_MCE_INJ=m
- Networking:
- IPV6_ILA=m
- IP_VS_OVF=m
- NFT_DUP_IPV4=m (go back and check)
- NF_DUP_IPV4=m
- NFT_DUP_IPV6=m
- NF_DUP_IPV6=m
- MPLS_IPTUNNEL=m
- BT_HS=y
- BT_HCIUART_QCA=y
- NFC_ST_NCI_SPI=m
- NFC_S3FWRN5_I2C=m
- NET_VRF=m
- BNX2X_VXLAN=y
- MLXSW_CORE=m
- MLXSW_PCI=m
- MLXSW_SWITCHX2=m
- NET_VENDOR_SYNOPSYS=y
- SYNOPSYS_DWC_ETH_QOS=m
- AQUANTIA_PHY=m
- TERANETICS_PHY=m
- MICROCHIP_PHY=m
- USB_LAN78XX=m
- FUJITSU_ES=m
- INFINIBAND_HFI1=m
- HFI1_DEBUG_SDMA_ORDER=n
- HFI1_VERBS_31BIT_PSN=y
- ESCAN_RXQ=n
- Other:
- MTD_NAND_DENALI_PCI=m (do we support moorestown?)
- MTD_NAND_DENALI_DT=n
- TOUCHSCREEN_IMX6UL_TSC=m
- I2C_MUX_REG=m
- SENSORS_MAX20751=m
- INTEL_PCH_THERMAL=m
- MFD_DA9062=m
- MFD_INTEL_LPSS_ACPI=m
- DVB_NETUP_UNIDVB=m
- DVB_PLATFORM_DRIVERS=y
- DRM_FBDEV_EMULATION=y
- BACKLIGHT_PM8941_WLED=m
- HID_GEMBIRD=m
- STAGING_RDMA=y
- RPR0521=m
- CRYPTO_DEV_QAT_DH895xCCVF=m
- DA9062_WATCHDOG=m
- ARM:
- MDIO_OCTEON=n
- MOST=n
- SURFACE_PRO3_BUTTON=n
- USB_XHCI_PLATFORM=n
- MMC_SDHCI_OF_AT91=n
- RTC_DRV_DA9063=n
- RTC_DRV_ZYNQMP=n
- OPT3001=n
- PA12203001=n
- DRM_PANEL_SAMSUNG_LD9040=n
- DRM_PANEL_LG_LG4573=n
- DRM_PANEL_SAMSUNG_S6E8AA0=n
- DRM_NXP_PTN3460=n
- DRM_PARADE_PS8622=n
- FB_SM712=n
- SERIAL_UARTLITE=n
- I2C_EMEV2=n
- GPIO_ZX=n
- ppc64:
- CXLFLASH=m
- LEDS_POWERNV=m
- commit 0d41d58
-------------------------------------------------------------------
Mon Sep 14 17:37:27 CEST 2015 - jslaby@suse.cz
- Refresh
patches.fixes/mmc-sdhci-fix-dma-memory-leak-in-sdhci_pre_req.patch.
Fix upstream status.
- commit 9af8b5d
-------------------------------------------------------------------
Sun Sep 13 21:45:37 CEST 2015 - dmueller@suse.com
- Update config files: ARM64: Raise CPUs to 128
There are machines with more than 64 CPUs, allow them
to be used. Update vanilla config from default.
- commit de7016d
-------------------------------------------------------------------
Fri Sep 11 16:16:31 CEST 2015 - jslaby@suse.cz
- Update config files.
Fix FW fixes fallout (bnc#944661).
- commit 6a28e38
-------------------------------------------------------------------
Thu Sep 10 16:15:22 CEST 2015 - mmarek@suse.com
- rpm/kernel-spec-macros: Include the version string in the Obsoletes line
- commit 083cdb4
-------------------------------------------------------------------
Thu Sep 10 15:19:39 CEST 2015 - mmarek@suse.com
- rpm/kernel-binary.spec.in: Obsolete previous builds of the same package (boo#867595)
- commit ffb73eb
-------------------------------------------------------------------
Wed Sep 9 14:54:24 CEST 2015 - jdelvare@suse.de
- config/x86_64/ec2: Align CONFIG_STRICT_DEVMEM
CONFIG_STRICT_DEVMEM is enabled in every other kernel flavor, so
enable it for x86_64/ec2 as well.
- commit c156734
-------------------------------------------------------------------
Mon Sep 7 14:30:51 CEST 2015 - tiwai@suse.de
- leds:lp55xx: Correct Kconfig dependency for f/w user helper
(boo#944661).
- commit 589a02c
-------------------------------------------------------------------
Mon Sep 7 13:55:19 CEST 2015 - tiwai@suse.de
- Disable CONFIG_FW_LOADER_USER_HELPER_FALLBACK that was reenabled unexpectedly (boo#944661)
- commit 14a23c3
-------------------------------------------------------------------
Mon Sep 7 12:23:57 CEST 2015 - tiwai@suse.de
- Update config files: enable leftover CONFIG_FB_TFT_HX8357D=m for arm
- commit cae15fc
-------------------------------------------------------------------
Mon Sep 7 12:13:14 CEST 2015 - tiwai@suse.de
- drm/vmwgfx: Allow dropped masters render-node like access on
legacy nodes v2 (boo#934266).
- vmwgfx: Rework device initialization (boo#934266).
- commit 28dbc80
-------------------------------------------------------------------
Mon Sep 7 10:55:54 CEST 2015 - jslaby@suse.cz
- workqueue: Make flush_workqueue() available again to non
GPL modules.
- commit 82076fa
-------------------------------------------------------------------
Mon Sep 7 00:44:39 CEST 2015 - jkosina@suse.cz
- Update config files: update arm config files so that they are up-to-date
and don't break build.
- commit c1a445e
-------------------------------------------------------------------
Mon Sep 7 00:39:59 CEST 2015 - jkosina@suse.cz
- bcache: Fix writeback_thread never writing back incomplete
stripes.
- commit 395add4
-------------------------------------------------------------------
Mon Aug 31 13:17:08 CEST 2015 - jbeulich@suse.com
- Update Xen patches to 4.2-final.
- commit 7b8109d
-------------------------------------------------------------------
Mon Aug 31 11:11:55 CEST 2015 - jslaby@suse.cz
- Refresh
patches.apparmor/apparmor-compatibility-patch-for-v5-network-control.
Fix this:
patches.apparmor/apparmor-compatibility-patch-for-v5-network-control:25:
error: Timestamp after filename
by scripts/refresh_patch.sh.
- commit 2089c9a
-------------------------------------------------------------------
Mon Aug 31 10:02:14 CEST 2015 - jslaby@suse.cz
- Refresh
patches.fixes/btrfs-fix-stale-dir-entries-after-unlink-inode-eviction-and-fsync.
Fix this:
missing header for unified diff at line 107 of patch
(Patch is indented 4 spaces.)
can't find file to patch at input line 107
by commenting also the @@ sign.
- commit 8e48b46
-------------------------------------------------------------------
Mon Aug 31 05:08:05 CEST 2015 - jeffm@suse.com
- Update to 4.2-final.
- commit c617119
-------------------------------------------------------------------
Fri Aug 28 12:59:34 CEST 2015 - jslaby@suse.cz
- Refresh
patches.fixes/mmc-sdhci-fix-dma-memory-leak-in-sdhci_pre_req.patch.
Hmm, mmc_data does not have sg_count yet. Fix it.
- commit d867e86
-------------------------------------------------------------------
Fri Aug 28 11:28:58 CEST 2015 - jslaby@suse.cz
- Refresh
patches.fixes/mmc-sdhci-fix-dma-memory-leak-in-sdhci_pre_req.patch.
Forgot to refresh :/.
- commit 9b2d57c
-------------------------------------------------------------------
Fri Aug 28 11:13:33 CEST 2015 - jslaby@suse.cz
- mmc: sdhci: fix dma memory leak in sdhci_pre_req() (bnc#934531).
- commit 3cca62a
-------------------------------------------------------------------
Wed Aug 26 17:23:55 CEST 2015 - mmarek@suse.com
- rpm/constraints.in: Require 14GB worth of disk space on POWER
The builds started to fail randomly due to ENOSPC errors.
- commit 9012f40
-------------------------------------------------------------------
Mon Aug 24 18:19:43 CEST 2015 - jeffm@suse.com
- Btrfs: fix stale dir entries after unlink, inode eviction and
fsync (bsc#942925).
- Btrfs: fix stale directory entries after fsync log replay
(bsc#942925).
- Btrfs: fix stale dir entries after unlink, inode eviction and
fsync (bsc#942925).
- Btrfs: fix stale directory entries after fsync log replay
(bsc#942925).
- commit 42e403f
-------------------------------------------------------------------
Mon Aug 24 15:46:10 CEST 2015 - jbeulich@suse.com
- Update EC2 config files: Re-enable DEBUG_INFO.
- commit c02428d
-------------------------------------------------------------------
Mon Aug 24 15:12:11 CEST 2015 - jeffm@suse.com
- Update to 4.2-rc8.
- commit 46c5271
-------------------------------------------------------------------
Fri Aug 21 16:02:18 CEST 2015 - jbeulich@suse.com
- Update Xen patches to 4.2-rc7.
- config.conf: Re-enable Xen and PV configs.
- Update config files.
- commit e077731
-------------------------------------------------------------------
Wed Aug 19 18:54:25 CEST 2015 - dvaleev@suse.com
- Update config files.
Enable KSM on Power
- commit 25f2e01
-------------------------------------------------------------------
Mon Aug 17 19:16:04 CEST 2015 - zombah@gmail.com
- config: armv7hl: Disable Exynos IOMMU
Enabling DRM Exynos IOMMU is currently broken, source
commit: 6562f3bd396a ("ARM: exynos_defconfig: Disable IOMMU support").
- commit ce0123d
-------------------------------------------------------------------
Mon Aug 17 19:02:19 CEST 2015 - jeffm@suse.com
- Update to 4.2-rc7.
- commit de135e9
-------------------------------------------------------------------
Mon Aug 17 16:43:25 CEST 2015 - jslaby@suse.cz
- Linux 4.1.6.
- commit 9dbe563
-------------------------------------------------------------------
Fri Aug 14 13:41:16 CEST 2015 - ohering@suse.de
- hv_storvsc: use small sg_tablesize on x86 (bnc#937256).
- commit 91e5c2c
-------------------------------------------------------------------
Wed Aug 12 22:30:15 CEST 2015 - jeffm@suse.com
- config: enable IPV6_SIT_6RD on all flavors
The option was initially disabled because it was labeled experimental.
- commit 83fbd4e
-------------------------------------------------------------------
Tue Aug 11 11:03:50 CEST 2015 - stefan.bruens@rwth-aachen.de
- config: arm*: Enable FB_TFT
Set CONFIG_FB_TFT*=m for arm64/armv6hl/armv7hl.
There are several TFT capes/hats/... for all kinds of SBCs, enable these.
Tested on RPi B with 3.2" TFT connected over SPI.
(cherry picked from commit 204e76a92701639c1e807f12cca412a2655f7a24)
- config: arm*: Enable FB_TFT
Set CONFIG_FB_TFT*=m for arm64/armv6hl/armv7hl.
There are several TFT capes/hats/... for all kinds of SBCs, enable these.
Tested on RPi B with 3.2" TFT connected over SPI.
- commit c4d41ea
-------------------------------------------------------------------
Tue Aug 11 09:08:15 CEST 2015 - jslaby@suse.cz
- Linux 4.1.5.
- Refresh patches.xen/xen-x86-EFI.
- commit efe368a
-------------------------------------------------------------------
Mon Aug 10 03:50:31 CEST 2015 - jeffm@suse.com
- Update to 4.2-rc6.
- commit 4a2cf4a
-------------------------------------------------------------------
Mon Aug 3 20:24:35 CEST 2015 - jslaby@suse.cz
- Update
patches.suse/0001-Revert-mmc-sdhci-fix-low-memory-corruption.patch
(bnc#934531).
- Update
patches.suse/0002-Revert-mmc-sdhci-use-pipeline-mmc-requests-to-improv.patch
(bnc#934531).
- commit 3081bf0
-------------------------------------------------------------------
Mon Aug 3 20:13:19 CEST 2015 - jslaby@suse.cz
- Linux 4.1.4 (CVE-2015-1333 bnc#938645 boo#939394).
- Refresh patches.xen/pci-guestdev.
- Delete
patches.arch/arm64-Don-t-report-clear-pmds-and-puds-as-huge.patch.
- Delete
patches.drivers/ideapad_laptop-lenovo-g50-30-fix-rfkill-reports-wireless-blocked.
- Delete
patches.fixes/KEYS-ensure-we-free-the-assoc-array-edit-if-edit-is-.patch.
- commit a37e14f
-------------------------------------------------------------------
Mon Aug 3 20:11:34 CEST 2015 - jslaby@suse.cz
- Refresh
patches.fixes/KEYS-ensure-we-free-the-assoc-array-edit-if-edit-is-.patch.
Ad git commit id.
- commit 7cf8f32
-------------------------------------------------------------------
Mon Aug 3 18:59:09 CEST 2015 - afaerber@suse.de
- config: arm64: Clean up serial options
[this commit was somehow overwritten with the update to -rc6]
Change SERIAL_8250_DW from =m to =y.
Change SERIAL_SAMSUNG from =m to =y and enable SERIAL_SAMSUNG_CONSOLE=y.
Enable Qualcomm serial: SERIAL_MSM=y and SERIAL_MSM_CONSOLE=y
Enable Spreadtrum serial: SERIAL_SPRD=y and SERIAL_SPRD_CONSOLE=y
Disable Altera serial: SERIAL_ALTERA_JTAGUART and SERIAL_ALTERA_UART
We can revisit this when they have arm64 support out.
Disable Conexant serial: SERIAL_CONEXANT_DIGICOLOR (not arm64)
- config: arm64: Clean up serial options
Change SERIAL_8250_DW from =m to =y.
Change SERIAL_SAMSUNG from =m to =y and enable SERIAL_SAMSUNG_CONSOLE=y.
Enable Qualcomm serial: SERIAL_MSM=y and SERIAL_MSM_CONSOLE=y
Enable Spreadtrum serial: SERIAL_SPRD=y and SERIAL_SPRD_CONSOLE=y
Disable Altera serial: SERIAL_ALTERA_JTAGUART and SERIAL_ALTERA_UART
We can revisit this when they have arm64 support out.
Disable Conexant serial: SERIAL_CONEXANT_DIGICOLOR (not arm64)
(cherry picked from commit 26cb131d91a7ba44068f1abed841b890518ea176)
- config: arm64: Clean up serial options
Change SERIAL_8250_DW from =m to =y.
Change SERIAL_SAMSUNG from =m to =y and enable SERIAL_SAMSUNG_CONSOLE=y.
Enable Qualcomm serial: SERIAL_MSM=y and SERIAL_MSM_CONSOLE=y
Enable Spreadtrum serial: SERIAL_SPRD=y and SERIAL_SPRD_CONSOLE=y
Disable Altera serial: SERIAL_ALTERA_JTAGUART and SERIAL_ALTERA_UART
We can revisit this when they have arm64 support out.
Disable Conexant serial: SERIAL_CONEXANT_DIGICOLOR (not arm64)
- commit 7ae9442
-------------------------------------------------------------------
Mon Aug 3 17:40:07 CEST 2015 - afaerber@suse.de
- config: armv7hl: Clean up serial options
Fix SERIAL_OF_PLATFORM to be =y. This unbreaks AC100 serial.
SERIAL_STM32 is for armv7ml and thus irrelevant for armv7hl, disable it.
SERIAL_8250_INGENIC is for MIPS SoCs, so disable it for armv7hl.
Fix CONFIG_SERIAL_CONEXANT_DIGICOLOR from =m to =y and
enable CONFIG_SERIAL_CONEXANT_DIGICOLOR_CONSOLE=y,
but only for default and vanilla, as it is a Cortex-A8.
Fix SERIAL_AMBA_PL010 from =m to =y for lpae, matching default, and
enable CONFIG_SERIAL_AMBA_PL010_CONSOLE=y for lpae, too.
Disable SERIAL_ST_ASC for lpae, as STi are Cortex-A9 only.
(cherry picked from commit 5f5dbd3a9cd58bfb803b83459a564d06eb1e1a37)
[AF: Accidentally reverted in 4a2cf4a409b54e75f8ac4cd7a19fa8e8bc516aca]
- config: armv7hl: Clean up serial options
Fix SERIAL_OF_PLATFORM to be =y. This unbreaks AC100 serial and
hopefully Cubietruck as well.
Fix CONFIG_SERIAL_CONEXANT_DIGICOLOR from =m to =y and
enable CONFIG_SERIAL_CONEXANT_DIGICOLOR_CONSOLE=y,
but only for default and vanilla, as it is a Cortex-A8.
Fix SERIAL_AMBA_PL010 from =m to =y for lpae, matching default, and
enable CONFIG_SERIAL_AMBA_PL010_CONSOLE=y for lpae, too.
Disable SERIAL_ST_ASC for lpae, as STi are Cortex-A9 only.
(cherry picked from commit 5f5dbd3a9cd58bfb803b83459a564d06eb1e1a37)
- config: armv7hl: Clean up serial options
Fix SERIAL_OF_PLATFORM to be =y. This unbreaks AC100 serial and
hopefully Cubietruck as well.
SERIAL_STM32 is for armv7ml and thus irrelevant for armv7hl, disable it.
SERIAL_8250_INGENIC is for MIPS SoCs, so disable it for armv7hl.
Fix CONFIG_SERIAL_CONEXANT_DIGICOLOR from =m to =y and
enable CONFIG_SERIAL_CONEXANT_DIGICOLOR_CONSOLE=y,
but only for default and vanilla, as it is a Cortex-A8.
Fix SERIAL_AMBA_PL010 from =m to =y for lpae, matching default, and
enable CONFIG_SERIAL_AMBA_PL010_CONSOLE=y for lpae, too.
Disable SERIAL_ST_ASC for lpae, as STi are Cortex-A9 only.
- commit 373b6db
-------------------------------------------------------------------
Mon Aug 3 15:39:35 CEST 2015 - jeffm@suse.com
- Update to 4.2-rc5.
- commit 1ac4f2f
-------------------------------------------------------------------
Mon Aug 3 09:41:40 CEST 2015 - jslaby@suse.cz
- KEYS: ensure we free the assoc array edit if edit is valid
(bnc#938645 CVE-2015-1333).
- commit e755463
-------------------------------------------------------------------
Wed Jul 29 11:47:55 CEST 2015 - mkubecek@suse.cz
- config: x86_64: enable EFI_MIXED in nonvirtual configs
An x86_64 kernel needs CONFIG_EFI_MIXED to be able to access EFI
variables and services if booted under 32-bit UEFI. Some bootloaders
also need it to be able to boot 64-bit kernel under 32-bit UEFI.
(boo#935027 fate#318252)
- commit 41613e2
-------------------------------------------------------------------
Wed Jul 29 11:47:37 CEST 2015 - mkubecek@suse.cz
- config: enable NET_DROP_MONITOR=m
The drop_monitor module is useful for debugging networking issues. It
collects information where in the kernel code are packets dropped;
dropwatch utility can be used to process the data.
- commit 5e4468b
-------------------------------------------------------------------
Tue Jul 28 16:10:06 CEST 2015 - jslaby@suse.cz
- Revert "mmc: sdhci: use pipeline mmc requests to improve
performance".
- Revert "mmc: sdhci: fix low memory corruption".
- commit 5696612
-------------------------------------------------------------------
Mon Jul 27 22:52:20 CEST 2015 - dmueller@suse.com
- Update config files.
Disable Exynos 3/4 related options.
- commit ada1a44
-------------------------------------------------------------------
Mon Jul 27 21:56:23 CEST 2015 - dmueller@suse.com
- Update config files.
Disable Exynos 5 related config options.
- commit 6fd21aa
-------------------------------------------------------------------
Mon Jul 27 16:57:07 CEST 2015 - jeffm@suse.com
- config: disable HIBERNATION on ppc64* vanilla configs
- commit 2a5007c
-------------------------------------------------------------------
Mon Jul 27 16:46:56 CEST 2015 - jeffm@suse.com
- Update to 4.2-rc4.
- commit 75c1ba1
-------------------------------------------------------------------
Mon Jul 27 14:52:55 CEST 2015 - mkubecek@suse.cz
- config: x86_64: enable EFI_MIXED in nonvirtual configs
An x86_64 kernel needs CONFIG_EFI_MIXED to be able to access EFI
variables and services if booted under 32-bit UEFI. Some bootloaders
also need it to be able to boot 64-bit kernel under 32-bit UEFI.
(boo#935027 fate#318252)
- commit f807859
-------------------------------------------------------------------
Mon Jul 27 14:41:15 CEST 2015 - mkubecek@suse.cz
- config: enable NET_DROP_MONITOR=m
The drop_monitor module is useful for debugging networking issues. It
collects information where in the kernel code are packets dropped;
dropwatch utility can be used to process the data.
- commit f8a6191
-------------------------------------------------------------------
Mon Jul 27 11:55:07 CEST 2015 - dvaleev@suse.com
- Update config files.
Update config files for vanilla ppc64 and ppc64le.
Fixes boo#939502
- commit 0fd34b1
-------------------------------------------------------------------
Fri Jul 24 17:35:48 CEST 2015 - jeffm@suse.com
- ideapad_laptop: Lenovo G50-30 fix rfkill reports wireless
blocked (boo#939394).
- commit f45c09a
-------------------------------------------------------------------
Fri Jul 24 17:25:27 CEST 2015 - fdmanana@suse.com
- Btrfs: fix hang during inode eviction due to concurrent
readahead (bnc#937403).
- commit 9ec57fb
-------------------------------------------------------------------
Thu Jul 23 17:59:49 CEST 2015 - mbrugger@suse.com
- Enable PCI host controller dirver for arm64
PCI host controller is not yet supported on mainline.
We need this patch to get lspci working.
- commit 3b6a8b8
-------------------------------------------------------------------
Thu Jul 23 13:35:13 CEST 2015 - dvaleev@suse.com
- Update config files.
Disable HIBERNATION on power due to invalid code generation (bsc#938934)
- commit 2b9aa48
-------------------------------------------------------------------
Thu Jul 23 13:29:24 CEST 2015 - dvaleev@suse.com
- Update config files.
Disable HIBERNATION on power due to invalid code generation (bsc#938934)
- commit 03e948d
-------------------------------------------------------------------
Wed Jul 22 15:10:16 CEST 2015 - jeffm@suse.com
- Update to 4.2-rc3.
- commit bd67def
-------------------------------------------------------------------
Wed Jul 22 08:41:27 CEST 2015 - jslaby@suse.cz
- Linux 4.1.3.
- Refresh patches.xen/pci-guestdev.
- Refresh patches.xen/xen3-patch-2.6.25.
- Update config files.
- commit 33413c9
-------------------------------------------------------------------
Tue Jul 21 16:31:28 CEST 2015 - oneukum@suse.com
- qmi_wwan: Add support for Dell Wireless 5809e 4G Modem
(bnc#937974).
- commit 3fae52e
-------------------------------------------------------------------
Tue Jul 21 13:33:33 CEST 2015 - dmueller@suse.com
- Disable PCI host support for ARM64
This needs to be rebased on top of 4.2-rcX before they can be enabled
as they break the build right now, and for now we don't really need it.
Update config files.
- commit fc75db1
-------------------------------------------------------------------
Tue Jul 21 09:20:16 CEST 2015 - jslaby@suse.cz
- Update
patches.fixes/0001-NFSv4-do-not-accept-an-incompatible-delegation.patch
(bsc#934202).
Fix bsc #.
- commit 06dac28
-------------------------------------------------------------------
Mon Jul 20 14:44:13 CEST 2015 - dmueller@suse.com
- Update config files.
Disable Xen on ARM64 default configs, should only be enabled
on the pv flavor (which does not yet exist for ARM64)
- commit eea4145
-------------------------------------------------------------------
Mon Jul 20 14:37:17 CEST 2015 - dmueller@suse.com
- Update config files:
ARM64/default,vanilla: Disable CONFIG_XEN as that should
only be enabled in the pv flavor
- commit 7010139
-------------------------------------------------------------------
Wed Jul 15 16:42:12 CEST 2015 - jeffm@suse.com
- Update to 4.2-rc2.
- commit 288d56b
-------------------------------------------------------------------
Tue Jul 14 15:19:50 CEST 2015 - jslaby@suse.cz
- Linux 4.1.2 (bnc#907092 bnc#934531).
- Delete patches.fixes/mmc-sdhci-fix-low-memory-corruption.patch.
- Delete
patches.fixes/x86-PCI-Use-host-bridge-_CRS-info-on-Foxconn-K8M890.
- Delete
patches.fixes/x86-PCI-Use-host-bridge-_CRS-info-on-systems-with-32.
- commit 5ccacb4
-------------------------------------------------------------------
Wed Jul 8 16:23:40 CEST 2015 - dmueller@suse.com
- arm64: Don't report clear pmds and puds as huge.
- commit cac28b3
-------------------------------------------------------------------
Wed Jul 8 15:41:25 CEST 2015 - dmueller@suse.com
- AArch64: config: Update to 4.2-rc1
- config.conf:
- Enabling Hisilicon, Cavium and XGene related options
- rest is synced with x86_64
- commit 5e2f263
-------------------------------------------------------------------
Tue Jul 7 18:39:27 CEST 2015 - matwey.kornilov@gmail.com
- config: Update armv7hl config for 4.2-rc1
- commit f80d0b6
-------------------------------------------------------------------
Tue Jul 7 16:49:09 CEST 2015 - jeffm@suse.com
- config: sync CIFS_SMB2=y across all configs
Note that since Xen and ARM are disabled, these need to updated
independently.
- commit 7d11dbe
-------------------------------------------------------------------
Mon Jul 6 22:27:07 CEST 2015 - jeffm@suse.com
- Update to 4.2-rc1.
- Xen needs updating.
- ARM configs need updating.
- DWARF2 / stack unwinding need updating.
- 5 patches eliminated.
- Config changes:
- Generic:
- RCU_EXPERT=n (default)
- SCHED_OMIT_FRAME_POINTER=y (temporary)
- ACPI_REV_OVERRIDE_POSSIBLE=y (default)
- OF_UNITTEST=n (default)
- THERMAL_WRITABLE_TRIPS=n
- THERMAL_DEFAULT_GOV_STEP_WISE=y
- THERMAL_GOV_POWER_ALLOCATOR=n
- SND_PROC_FS=y
- DEFERRED_STRUCT_PAGE_INIT=y
- PROC_CHILDREN=y
- RCU_EQS_DEBUG=n
- Networking:
- GENEVE_CORE=m
- TCP_CONG_CDG=m
- NETFILTER_INGRESS=y
- NF_TABLES_NETDEV=m
- NET_CLS_FLOWER=m
- SUNRPC_XPRT_RDMA=m
- Network drivers:
- BE2NET_HWMON=y (option to existing driver)
- EZCHIP_NPS_MANAGEMENT_ENET=m
- MLX5_CORE_EN=y (option to existing driver)
- SFC_MCDI_LOGGING=y (option to existing driver)
- DP83867_PHY=m
- MT7601U=m
- IEEE802154_ATUSB=n
- PHY_PXA_28NM_HSIC=n
- PHY_PXA_28NM_USB2=n
- PHY_TUSB1210=n
- DELL_RBTN=m
- Input:
- INPUT_LEDS=y
- LEDS_AAT1290=n
- LEDS_BCM6328=n
- LEDS_BCM6358=n
- LEDS_TLC591XX=n
- LEDS_KTD2692=n
- TOUCHSCREEN_WDT87XX_I2C=m
- INPUT_DRV2665_HAPTICS=m
- SERIAL_SC16IS7XX_I2C=y
- SERIAL_SC16IS7XX_SPI=n
- Chargers:
- CHARGER_BQ24257=m
- CHARGER_BQ25890=m
- CHARGER_RT9455=m
- Sensors:
- SENSORS_TC74=m
- MAX63XX_WATCHDOG=n
- GPU:
- DRM_AMDGPU=m
- DRM_AMDGPU_CIK=n (experimental)
- DRM_AMDGPU_USERPTR=y
- DRM_VIRTIO_GPU=m
- USB_ULPI_BUS=m
- Android:
- STAGING_BOARD=n
- Accelerometers:
- STK8312=m
- STK8BA50=m
- Light sensors:
- ACPI_ALS=m
- BH1750=n
- STK3310=n
- MMC35240=n
- BMC150_MAGN=n
- Non-Transparent Bridging:
- NTB_PINGPONG=n
- NTB_TOOL=n
- NTB_TRANSPORT=m
- NTB=n (ppc)
- Other:
- F2FS_FS_ENCRYPTION=y
- Crypto:
- CRYPTO_RSA=m
- CRYPTO_CHACHA20POLY1305=m
- CRYPTO_ECHAINIV=m
- CRYPTO_POLY1305=m
- CRYPTO_CHACHA20=m
- CRYPTO_842=m
- CRYPTO_JITTERENTROPY=m
- CRYPTO_USER_API_AEAD=m
- NV-DIMM:
- ACPI_NFIT=m
- ACPI_NFIT_DEBUG=n
- ND_BLK=m
- BTT=y
- Bluetooth:
- BT_HCIBTUSB_RTL=y (default)
- NFC:
- NFC_NCI_UART=m
- NFC_MRVL_UART=m
- NFC_ST_NCI=m
- NFC_ST_NCI_I2C=m
- Storage:
- SCSI_SNIC=m
- SCSI_SNIC_DEBUG_FS=n
- DM_CACHE_SMQ=m (EXPERIMENTAL)
- MMC_MTK=m
- x86:
- X86_DEBUG_FPU=n
- PUNIT_ATOM_DEBUG=n
- UNISYS_VISORBUS=m
- UNISYS_VISORNIC=m
- NTB_INTEL=m
- SCIF_BUS=m
- SCIF=m
- INTEL_QUARK_DTS_THERMAL=m
- INTEL_PMC_IPC=m
- ppc:
- GIANFAR=n
- SERIAL_8250_INGENIC=n
- ppc64:
- OPAL_PRD=m
- MTD_POWERNV_FLASH=m
- CRYPTO_DEV_NX_COMPRESS_PSERIES=m
- CRYPTO_DEV_NX_COMPRESS_POWERNV=m
- CRYPTO_DEV_NX_COMPRESS_CRYPTO=m
- Cross-endian virtio:
- TUN_VNET_CROSS_LE=n
- VHOST_CROSS_ENDIAN_LEGACY=n
- ARM:
- SPI_ZYNQMP_GQSPI=n
- AHCI_CEVA=n (Xilinx Zynq UltraScale+ MPSoC.)
- QCOM_SPMI_TEMP_ALARM=n
- THUNDER_NIC_PF=n
- THUNDER_NIC_VF=n
- THUNDER_NIC_BGX=n
- LIQUIDIO=n
- COMMON_CLK_CDCE925=n
- UIO_PRUSS=n
- commit 771d075
-------------------------------------------------------------------
Mon Jul 6 21:24:50 CEST 2015 - jeffm@suse.com
- config: disable CONFIG_GPIO_MCP23S08 on non-ARM platforms
- commit 1c68e62
-------------------------------------------------------------------
Mon Jul 6 21:19:38 CEST 2015 - jeffm@suse.com
- config: disable MFD_SYSCON on non-ARM platforms
- commit a4a41a7
-------------------------------------------------------------------
Mon Jul 6 21:12:38 CEST 2015 - jeffm@suse.com
- config: BLK_DEV_SKD=m on ppc64 vanilla configs
BLK_DEV_SKD was disabled on ppc64 vanilla configs but enabled for
default.
- commit 16b304b
-------------------------------------------------------------------
Mon Jul 6 21:01:38 CEST 2015 - jeffm@suse.com
- config: disable BCMGENET on non-ARM platforms
The Broadcom GENET ethernet chip is part of their BCM7xxx Set Top Box
chipset and doesn't need to be built on non-ARM architectures.
- commit 8d51fac
-------------------------------------------------------------------
Mon Jul 6 04:48:08 CEST 2015 - jeffm@suse.com
- Removed invalid Git-commit tags from bcache patches.
- commit c7da326
-------------------------------------------------------------------
Wed Jul 1 14:33:24 CEST 2015 - jeffm@suse.com
- sysctl/defaults: remove semaphore sysctl override (bsc#936290).
The sysctl values were lower than the new defaults.
- commit 8e3d11a
-------------------------------------------------------------------
Tue Jun 30 09:05:53 CEST 2015 - jslaby@suse.cz
- Linux 4.1.1.
- commit ab48011
-------------------------------------------------------------------
Mon Jun 29 13:53:27 CEST 2015 - jslaby@suse.cz
- Refresh
patches.fixes/drm-i915-Ensure-cache-flushes-prior-to-doing-CS-flip.patch.
- Refresh patches.fixes/mmc-sdhci-fix-low-memory-corruption.patch.
Update upstream statuses.
- commit 11b8ced
-------------------------------------------------------------------
Mon Jun 29 13:48:30 CEST 2015 - jslaby@suse.cz
- drm/i915: Ensure cache flushes prior to doing CS flips
(bnc#931300).
- commit 406255f
-------------------------------------------------------------------
Thu Jun 25 23:32:54 CEST 2015 - dmueller@suse.com
- ARM64: config: UNWIND_INFO=y
- commit 8193a68
-------------------------------------------------------------------
Wed Jun 24 08:25:32 CEST 2015 - jbeulich@suse.com
- Update Xen patches to 4.1-final and c/s 1289.
- guarantee M2P to be invisible to user mode.
- commit fc59188
-------------------------------------------------------------------
Wed Jun 24 05:16:43 CEST 2015 - neilb@suse.com
- NFSv4: When returning a delegation, don't reclaim an incompatible open mode (bnc#934202).
- commit 6c74958
-------------------------------------------------------------------
Tue Jun 23 04:44:59 CEST 2015 - jeffm@suse.com
- Update to 4.1-final.
- commit d6cfe1f
-------------------------------------------------------------------
Mon Jun 22 10:36:29 CEST 2015 - schwab@suse.de
- kernel-obs-build: add btrfs to initrd
This is needed for kiwi builds.
- commit 1ebf037
-------------------------------------------------------------------
Mon Jun 22 07:25:17 CEST 2015 - neilb@suse.com
- NFSv3 - do not accept an incompatible delegation (bsc#934203).
- commit ccdebbf
-------------------------------------------------------------------
Thu Jun 18 18:07:55 CEST 2015 - tiwai@suse.de
- x86/PCI: Use host bridge _CRS info on systems with >32 bit
addressing (bnc#907092).
- x86/PCI: Use host bridge _CRS info on Foxconn K8M890-8237A
(bnc#907092).
- x86/PCI: Use host bridge _CRS info on systems with >32 bit
addressing (bnc#907092).
- x86/PCI: Use host bridge _CRS info on Foxconn K8M890-8237A
(bnc#907092).
- commit 1d9da2e
-------------------------------------------------------------------
Thu Jun 18 17:11:04 CEST 2015 - dsterba@suse.cz
- Btrfs: fix regression in raid level conversion.
- Btrfs: fix uninit variable in clone ioctl.
- Btrfs: fix range cloning when same inode used as source and
destination.
- btrfs: cleanup orphans while looking up default subvolume.
- btrfs: incorrect handling for fiemap_fill_next_extent return.
- Btrfs: send, don't leave without decrementing clone root's
send_progress.
- Btrfs: send, add missing check for dead clone root.
- commit 56152db
-------------------------------------------------------------------
Tue Jun 16 16:03:55 CEST 2015 - tiwai@suse.de
- Enable brcmfmac driver for x86-64 and i586 (boo#934932)
- commit 3e79c51
-------------------------------------------------------------------
Tue Jun 16 14:08:02 CEST 2015 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Change BuildRequires to match OBS's taste
- commit 15501f0
-------------------------------------------------------------------
Mon Jun 15 15:46:12 CEST 2015 - jeffm@suse.com
- Update to 4.1-rc8.
- commit d3aa377
-------------------------------------------------------------------
Fri Jun 12 15:40:32 CEST 2015 - tiwai@suse.de
- Update config files: extend CONFIG_DPM_WATCHDOG_TIMEOUT to 60 (bnc#934397)
- commit b3acd3f
-------------------------------------------------------------------
Fri Jun 12 15:39:21 CEST 2015 - tiwai@suse.de
- Update config files: extend CONFIG_DPM_WATCHDOG_TIMEOUT to 60 (bnc#934397)
- commit b5e86cc
-------------------------------------------------------------------
Fri Jun 12 11:50:05 CEST 2015 - jslaby@suse.cz
- mmc: sdhci: fix low memory corruption (bnc#934531).
- commit ea963c7
-------------------------------------------------------------------
Tue Jun 9 21:02:26 CEST 2015 - jeffm@suse.com
- Update to 4.1-rc7.
- Config changes:
- arm64/armv7hl:
- PHY_QCOM_UFS=m
- commit abe587d
-------------------------------------------------------------------
Mon Jun 8 11:07:06 CEST 2015 - jslaby@suse.cz
- Linux 4.0.5 (bnc#927786 bnc#931580).
- Refresh
patches.fixes/btrfs-revert-delete-chunk-allocation.patch.
- Delete patches.fixes/drm-radeon-retry-dcpd-fetch.patch.
- Delete patches.fixes/rtlwifi-rtl8192cu-Fix-kernel-deadlock.
- commit f4cd21b
-------------------------------------------------------------------
Sat Jun 6 23:38:38 CEST 2015 - dirk@dmllr.de
- ARM: config: Sync more settings with x86_64
Compile in modules that are =y on x86_64, remove modules
that are not compiled on x86_64
- commit d36ab59
-------------------------------------------------------------------
Sat Jun 6 23:03:52 CEST 2015 - dirk@dmllr.de
- config: armv7hl: Disable CONFIG_FW_LOADER_USER_HELPER_FALLBACK
Only needed by DRM_STI, which we don't need, so disable
it alltogether (it isn't configured in userspace anyway
and deprecated)
- commit 6ad70fc
-------------------------------------------------------------------
Sat Jun 6 23:02:13 CEST 2015 - dirk@dmllr.de
- config: armv7hl: Disable DECNET
DECNET is not enabled on any other arch either
and we don't need it
- commit ec172d8
-------------------------------------------------------------------
Sat Jun 6 23:00:43 CEST 2015 - dirk@dmllr.de
- armv6hl: config: Reenable for 4.1
Generic options follow x86_64 ones.
- commit 1d5f3b2
-------------------------------------------------------------------
Sat Jun 6 22:28:38 CEST 2015 - dmueller@suse.com
- config: armv7hl: Enable ARM optimized crypto
Enable the CONFIG_ARM_CRYPTO related options for up to
45% speed improvement on some of the algorithms.
- commit 8a1306d
-------------------------------------------------------------------
Fri Jun 5 10:04:49 CEST 2015 - mmarek@suse.cz
- Delete disabled patches that have not been updated for years
- Delete
patches.arch/kvm-only-export-selected-pv-ops-feature-structs.
- Delete
patches.arch/kvm-replace-kvm-io-delay-pv-ops-with-linux-magic.
- Delete patches.arch/kvm-split-paravirt-ops-by-functionality.
- Delete patches.arch/kvm-split-the-KVM-pv-ops-support-by-feature.
- Delete patches.arch/ppc64-xmon-dmesg-printing.patch.
- Delete patches.suse/ppc-no-LDFLAGS_MODULE.patch.
- commit 6e78d78
-------------------------------------------------------------------
Tue Jun 2 13:40:10 CEST 2015 - jbeulich@suse.com
- Fix patch headers of just updated Xen patches.
- commit 78a3079
-------------------------------------------------------------------
Tue Jun 2 12:42:50 CEST 2015 - jbeulich@suse.com
- Update Xen patches to 4.1-rc6.
- config.conf: Re-enabled Xen and PV configs.
- Update config files.
- commit 0447183
-------------------------------------------------------------------
Mon Jun 1 17:45:22 CEST 2015 - jeffm@suse.com
- config: restore FRAME_POINTER configs to pre-4.1-rc1 values
The disabling of the stack unwind patches due to required merging
ended up enabling FRAME_POINTER on some configs. Now that stack
unwinding is re-enabled, we can disable it again.
- commit e3d8f0a
-------------------------------------------------------------------
Mon Jun 1 16:59:19 CEST 2015 - jeffm@suse.com
- config: revert options initially selected by EXT4_ENCRYPTION
EXT4_ENCRYPTION was initially a bool, which caused anything
selected by it to be selected as =y even if EXT4 was =m.
We can restore the following options back to being modular:
- CRYPTO_CTS
- CRYPTO_ECB
- CRYPTO_GF128MUL
- CRYPTO_XTS
Other crypto-related options enabled in the v4.1-rc1 update are
a result of INTEGRITY=y and can't be returned to modular options
without also disabling INTEGRITY.
- commit 1c78a8e
-------------------------------------------------------------------
Mon Jun 1 16:21:11 CEST 2015 - jeffm@suse.com
- Update to 4.1-rc6.
- commit 9abfb15
-------------------------------------------------------------------
Fri May 29 17:50:29 CEST 2015 - jeffm@suse.com
- Update to 4.1-rc5.
- commit 5c5c1d1
-------------------------------------------------------------------
Fri May 29 17:00:18 CEST 2015 - tiwai@suse.de
- rtlwifi: rtl8192cu: Fix kernel deadlock (bnc#927786).
- commit ac67377
-------------------------------------------------------------------
Thu May 28 18:51:09 CEST 2015 - dmueller@suse.com
- arm64-0004-net-xgbe-Add-A0-silicon-support: adjust for gettime64/settime64
- commit 8f2a4d1
-------------------------------------------------------------------
Thu May 28 15:46:14 CEST 2015 - jslaby@suse.cz
- drm/radeon: retry dcpd fetch (bnc#931580).
- commit ad54361
-------------------------------------------------------------------
Thu May 28 12:49:08 CEST 2015 - jbeulich@suse.com
- Refresh patches.arch/x86_64-unwind-annotations.
- Refresh
patches.suse/revert-x86-remove-warning-and-warning_symbol-from-struct-stacktrace_ops.
- Refresh patches.suse/stack-unwind.
- Delete
patches.arch/stack-unwind-cfi_ignore-takes-more-arguments,
patches.suse/no-frame-pointer-select,
patches.suse/unwind-remove-check-for-vdso32-compat-range:
All folded into base patch.
- Update config files.
- commit 4631e0c
-------------------------------------------------------------------
Mon May 25 10:05:13 CEST 2015 - tiwai@suse.de
- drm/nouveau/bios: fix fetching from acpi on certain systems
(boo#932184).
- commit e14fcc2
-------------------------------------------------------------------
Tue May 19 17:29:15 CEST 2015 - dsterba@suse.cz
- Revert "btrfs: delete chunk allocation attemp when setting block group ro".
High user impact, should land in 4.1.
- commit 4f5e0d5
-------------------------------------------------------------------
Tue May 19 16:34:12 CEST 2015 - jeffm@suse.com
- Update to 4.1-rc4.
- Config changes:
- armv7hl: SPI_FSL_DSPI=m
- commit 9c4ab6c
-------------------------------------------------------------------
Tue May 19 12:31:23 CEST 2015 - jslaby@suse.cz
- Update config files.
Unset PKCS7_TEST_KEY, so that SYSTEM_TRUSTED_KEYRING can stay
disabled. I.e. make it the same as on other configs/arches.
- commit 6355689
-------------------------------------------------------------------
Tue May 19 12:27:54 CEST 2015 - jslaby@suse.cz
- Update config files.
Unset PKCS7_TEST_KEY, so that SYSTEM_TRUSTED_KEYRING can stay
disabled. I.e. make it the same as on other configs/arches.
- commit c9bc255
-------------------------------------------------------------------
Mon May 18 17:06:24 CEST 2015 - jslaby@suse.cz
- drm/i915: Ensure cache flushes prior to doing CS flips -- take two
(bnc#931300).
- commit 48c0b76
-------------------------------------------------------------------
Mon May 18 09:19:31 CEST 2015 - jslaby@suse.cz
- Linux 4.0.4.
- Revert "xen/xenbus: Update xenbus event channel on resume".
- commit 383ecdf
-------------------------------------------------------------------
Wed May 13 15:41:19 CEST 2015 - jslaby@suse.cz
- Linux 4.0.3.
- commit 3ee3773
-------------------------------------------------------------------
Mon May 11 16:10:08 CEST 2015 - jeffm@suse.com
- Update to 4.1-rc3.
- Config changes:
- RTC_DRV_ABX80X=m
- commit b798b43
-------------------------------------------------------------------
Thu May 7 11:28:39 CEST 2015 - jslaby@suse.cz
- Linux 4.0.2 (boo#905088).
- Refresh patches.xen/xen-x86_64-vread-pvclock.
- Refresh patches.xen/xen3-patch-3.11.
- Delete
patches.fixes/btrfs-fix-inode-eviction-infinite-loop-after-cloning.patch.
- Update config files.
CONFIG_ARM64_ERRATUM_845719=y, the same as master.
- commit a425d38
-------------------------------------------------------------------
Thu May 7 08:21:57 CEST 2015 - jdelvare@suse.de
- config/armv7hl: Disable AMD_XGBE_PHY
The AMD XGBE ethernet chip is only used on ARM64 systems.
- commit 3541e77
-------------------------------------------------------------------
Wed May 6 10:39:25 CEST 2015 - dmueller@suse.com
- config: arm64: Reenable for 4.1
ACPI left disabled for now, Qualcom/Xen enabled,
rest synced with x86_64
- commit da874b0
-------------------------------------------------------------------
Mon May 4 17:21:54 CEST 2015 - jeffm@suse.com
- config: disable XGBE on non-ARM hardware
It's documented as being present only on AMD SoCs.
- commit a32d077
-------------------------------------------------------------------
Mon May 4 15:59:12 CEST 2015 - jeffm@suse.com
- Update to 4.1-rc2.
- Config changes:
- CONFIG_EXT4_ENCRYPTION y->m (changed to tristate)
- commit d8dfb45
-------------------------------------------------------------------
Sat May 2 19:21:49 CEST 2015 - matwey.kornilov@gmail.com
- config: Reenable armv7hl for 4.1
Update armv7hl configs for 4.1
Generic options follow x86_64 ones.
CONFIG_DRM_I2C_NXP_TDA998X set to y as it is single known way to make video work on BBB
- commit 749ab51
-------------------------------------------------------------------
Fri May 1 22:19:51 CEST 2015 - jeffm@suse.com
- scripts/sequence-patch.sh, scripts/tar-up.sh: Fix detection of SKIP_XEN.
With the stricter checks to ensure all files in the repository are
referenced, it's no longer possible to comment out configs in
config.conf. It is possible to exclude them via rule, but then
scripts/guards --list will list them unconditionally. That breaks the
automatic no-xen handling. The fix is to use scripts/guards
$(scripts/arch-symbols --list) instead.
- commit fbf7f40
-------------------------------------------------------------------
Fri May 1 21:41:34 CEST 2015 - jeffm@suse.com
- Update to 4.1-rc1.
- Xen needs updating.
- ARM configs need updating.
- Stack unwinding needs updating.
- 11 patches eliminated.
- Config changes:
- Generic:
- MULTIUSER=y (default)
- Networking
- 6LOWPAN_NHC=m
- 6LOWPAN_NHC_DEST=m
- 6LOWPAN_NHC_FRAGMENT=m
- 6LOWPAN_NHC_HOP=m
- 6LOWPAN_NHC_IPV6=m
- 6LOWPAN_NHC_MOBILITY=m
- 6LOWPAN_NHC_ROUTING=m
- 6LOWPAN_NHC_UDP=m
- MPLS_ROUTING=m
- BT_DEBUGFS=n
- Driver options:
- BT_HCIBTUSB
- BT_HCIBTUSB_BCM=y
- BT_HCIUART
- BT_HCIUART_INTEL=y
- BT_HCIUART_BCM=y
- TARGET_CORE
- TCM_USER2=m
- CHELSIO_T4
- CHELSIO_T4_FCOE=y
- MOUSE_PS2
- MOUSE_PS2_VMMOUSE=y
- New modules:
- TOUCHSCREEN_CHIPONE_ICN8318=m
- TOUCHSCREEN_SX8654=m
- NFC_NXP_NCI=m
- NFC_NXP_NCI_I2C=m
- Storage:
- BLK_DEV_PMEM=m
- MD_CLUSTER=m
- DM_MQ_DEFAULT=n (needs performance testing)
- DM_LOG_WRITES=m
- MTD_PARTITIONED_MASTER=y
- x86/x86_64:
- SCHED_OMIT_FRAME_POINTER=y (only because unwinding needs updating)
- X86_PMEM_LEGACY=y (compatibility)
- MFD_INTEL_QUARK_I2C_GPIO=m
- PINCTRL_AMD=y
- ARM (disabled):
- MACB=n
- KEYBOARD_BCM=n
- TCG_TIS_ST33ZP24=n
- SPI_CADENCE=n
- GPIO_ALTERA=n
- GPIO_DWAPB=n
- POWER_RESET_SYSCON_POWEROFF=n
- CADENCE_WATCHDOG=n
- MFD_MAX77843=n
- MFD_MT6397=n
- commit e5a9df9
-------------------------------------------------------------------
Wed Apr 29 15:52:57 CEST 2015 - jslaby@suse.cz
- Linux 4.0.1.
- commit b77e339
-------------------------------------------------------------------
Wed Apr 29 10:58:25 CEST 2015 - jdelvare@suse.de
- rpm/guards: Clarify user message
When --config=series is used and a listed file is not found on the
disk, guards prints the following message:
Not found in series: file
This message is confusing, as the file was found in series, it is
missing on the disk. Change the message to make this more obvious.
- commit 3fbe9e5
-------------------------------------------------------------------
Fri Apr 24 17:44:27 CEST 2015 - jdelvare@suse.de
- rpm/guards: Documentation update
* Fix synopsis format.
* Document the new --path=@<file> option.
- commit 7fd4136
-------------------------------------------------------------------
Fri Apr 24 17:02:54 CEST 2015 - jdelvare@suse.de
- rpm/guards: White space cleanups
- commit d8089eb
-------------------------------------------------------------------
Wed Apr 22 16:37:02 CEST 2015 - pmladek@suse.cz
- ftrace/x86/xen: use kernel identity mapping only when really needed
(bsc#873195, bsc#886272, bsc#903727, bsc#927725)
- commit 63ed720
-------------------------------------------------------------------
Wed Apr 22 13:54:15 CEST 2015 - mmarek@suse.cz
- scripts/refresh: Obsoleted by refresh_patch.sh
- commit d1dd729
-------------------------------------------------------------------
Wed Apr 22 09:26:15 CEST 2015 - mmarek@suse.cz
- rpm/kernel-obs-build.spec.in: Add .build.hostarch.kvm to the filelist
- commit c65f3ca
-------------------------------------------------------------------
Tue Apr 21 17:04:11 CEST 2015 - jbeulich@suse.com
- Update Xen patches to 4.0-final.
- commit eee4e07
-------------------------------------------------------------------
Tue Apr 21 16:33:44 CEST 2015 - mmarek@suse.cz
- rpm/mkspec: Do not build kernel-obs-* on 32bit ppc
The buildservice uses the ppc64 kernel-obs-build package for 32bit
workers.
- commit d1e0beb
-------------------------------------------------------------------
Tue Apr 21 16:23:11 CEST 2015 - dvaleev@suse.com
- create .build.hostarch.kvm for kernel-obs-build
OBS needs to know hostarch, with ppc64/ppc64le this is not that easy.
Lets create a marker file to help with it.
See obs-build commit: e47399d738e51
- commit e5d9da9
-------------------------------------------------------------------
Tue Apr 14 15:36:11 CEST 2015 - mmarek@suse.cz
- rpm/kernel-obs-qa.spec.in: Do not fail if the kernel versions do not match
- commit 28e9e74
-------------------------------------------------------------------
Tue Apr 14 08:32:12 CEST 2015 - jlee@suse.com
- Update config files. (boo#925479)
Do not set CONFIG_SYSTEM_TRUSTED_KEYRING until we need it in future
openSUSE version:
e.g. MODULE_SIG, IMA, PKCS7(new), KEXEC_BZIMAGE_VERIFY_SIG(new)
- commit 5c4d917
-------------------------------------------------------------------
Tue Apr 14 06:39:50 CEST 2015 - jlee@suse.com
- Update config files. (boo#925479)
Do not set CONFIG_SYSTEM_TRUSTED_KEYRING until we need it in future
openSUSE version:
e.g. MODULE_SIG, IMA, PKCS7(new), KEXEC_BZIMAGE_VERIFY_SIG(new)
- commit 74c332b
-------------------------------------------------------------------
Mon Apr 13 16:11:20 CEST 2015 - jeffm@suse.com
- Update to 4.0-final.
- commit 6dbc1a6
-------------------------------------------------------------------
Mon Apr 13 15:20:55 CEST 2015 - jslaby@suse.cz
- Linux 3.19.4.
- commit 51ddeac
-------------------------------------------------------------------
Fri Apr 10 16:44:35 CEST 2015 - jeffm@suse.com
- Update to 4.0-rc7.
- Config changes:
- x86_64/pv:
- CONFIG_XEN_BALLOON_MEMORY_HOTPLUG_LIMIT=512 (default)
- commit 1925449
-------------------------------------------------------------------
Thu Apr 9 21:17:01 CEST 2015 - agraf@suse.de
- of: Fix size when dma-range is not used.
- of: Move of_dma_configure() to device.c to help re-use.
- of: iommu: Add ptr to OF node arg to of_iommu_configure().
- PCI: Add helper functions pci_get[put]_host_bridge_device().
- PCI: Update DMA configuration from DT.
- of/pci: Add of_pci_dma_configure() to update DMA configuration.
- commit 7d1f328
-------------------------------------------------------------------
Wed Apr 8 15:44:22 CEST 2015 - dsterba@suse.cz
- Btrfs: fix inode eviction infinite loop after cloning into it.
- commit ba5700e
-------------------------------------------------------------------
Wed Apr 8 14:09:23 CEST 2015 - dsterba@suse.cz
- btrfs: simplify insert_orphan_item (boo#926385).
- commit 6d5e057
-------------------------------------------------------------------
Wed Apr 8 12:09:31 CEST 2015 - tiwai@suse.de
- drm: Fixup racy refcounting in plane_force_disable (boo#925946).
- commit 7c1f29a
-------------------------------------------------------------------
Tue Apr 7 18:45:12 CEST 2015 - agraf@suse.de
- Update XGBE-A0 support to latest code
- commit 2121347
-------------------------------------------------------------------
Mon Apr 6 11:40:41 CEST 2015 - dmueller@suse.com
- ARMv7: config: Enable more features
Sync over config settings that have been enabled on x86_64 in the
last few years also to ARMv7
- commit d480a34
-------------------------------------------------------------------
Sun Apr 5 18:06:56 CEST 2015 - dmueller@suse.com
- ARMv8: config: Enable more modules / feature flags
- commit 107eae5
-------------------------------------------------------------------
Sun Apr 5 06:25:25 CEST 2015 - dmueller@suse.com
- ARM64: config: Disable CONFIG_INPUT_E3X0_BUTTON
- commit 9774a1b
-------------------------------------------------------------------
Sun Apr 5 06:16:00 CEST 2015 - dmueller@suse.com
- ARMv6/v7/v8: config: Disable ISA bus related drivers
There is no ISA bus here
- commit 469d5c3
-------------------------------------------------------------------
Sun Apr 5 06:09:18 CEST 2015 - dmueller@suse.com
- ARMv7/v8: config: Disable IIO dummy drivers
Not enabled on any other arch either.
- commit b10b70d
-------------------------------------------------------------------
Sun Apr 5 05:59:33 CEST 2015 - dmueller@suse.com
- ARMv7: config: Disable accessibility
Its not enabled on any other architecture, and enablement was
an accident.
- commit 7197f38
-------------------------------------------------------------------
Sun Apr 5 05:47:29 CEST 2015 - dmueller@suse.com
- ARMv6/v7/v8: config: Disable debug/compat/self test options
Disable those options that shouldn't be enabled on a production
kernel and are not either enabled on x86_64
- commit 5f7e741
-------------------------------------------------------------------
Sun Apr 5 05:04:45 CEST 2015 - dmueller@suse.com
- ARMv8/v7: config: Sync more features from x86_64
Enable various feature flags on ARM if they're also set on x86_64
to ensure that the feature sets available is roughly compareable
- commit f250654
-------------------------------------------------------------------
Sat Apr 4 14:39:27 CEST 2015 - dmueller@suse.com
- ARMv6/v7: config: build uncommon HID drivers as modules
92db3f1ad forgot to update ARM configs accordingly.
- commit a83ec68
-------------------------------------------------------------------
Sat Apr 4 13:46:11 CEST 2015 - dmueller@suse.com
- ARM64: config: Sync vanilla with default config
- commit 7be032d
-------------------------------------------------------------------
Sat Apr 4 13:40:00 CEST 2015 - dmueller@suse.com
- ARM64/ARMv6/ARMv7: config: Sync tunables with x86_64
Set numeric tunables to the same values as on x86_64 if they're
available for both platforms.
- commit e4e34f2
-------------------------------------------------------------------
Fri Apr 3 17:49:40 CEST 2015 - mmarek@suse.cz
- Automatically Provide/Obsolete all subpackages of old flavors (bnc#925567)
- commit e3547a5
-------------------------------------------------------------------
Thu Apr 2 13:32:51 CEST 2015 - dmueller@suse.com
- ARMv6: config: Update and reenable for 4.0-rc6
- commit e32301a
-------------------------------------------------------------------
Wed Apr 1 17:14:39 CEST 2015 - dmueller@suse.com
- ARMv6: config: Reenable DWC2 host support
We need the USB host controller support in order to have
network on the Raspberry Pi, as the network is connected
via USB.
- commit f54483c
-------------------------------------------------------------------
Tue Mar 31 22:38:51 CEST 2015 - dmueller@suse.com
- ARM: config: Enable Stack Protector
- commit 0f06efd
-------------------------------------------------------------------
Tue Mar 31 22:33:18 CEST 2015 - dmueller@suse.com
- ARMv6: config: Cleanup default config
Previous updates enabled config options that do not make sense
on ARMv6. ARMv6 default flavor is actually just a RPi1 kernel,
so enabling platform support for hardware that is unavailable there
and that conflicts with the Rpi drivers should not be done.
This causes the kernel to boot again on a Rpi1.
- commit 1bc76eb
-------------------------------------------------------------------
Tue Mar 31 03:37:19 CEST 2015 - jeffm@suse.com
- Update to 4.0-rc6.
- commit 3062c5b
-------------------------------------------------------------------
Fri Mar 27 17:53:27 CET 2015 - mmarek@suse.cz
- guards: Include the file name also in the "Not found" error
- commit 9b71e7b
-------------------------------------------------------------------
Fri Mar 27 17:32:31 CET 2015 - mmarek@suse.cz
- guards: Add support for an external filelist in --check mode
This will allow us to run --check without a kernel-source.git work tree.
- commit 61c3df5
-------------------------------------------------------------------
Fri Mar 27 17:21:43 CET 2015 - mmarek@suse.cz
- guards: Simplify help text
- commit f0c085a
-------------------------------------------------------------------
Thu Mar 26 15:54:35 CET 2015 - jslaby@suse.cz
- Linux 3.19.3 (bsc#921313).
- Refresh patches.xen/xen3-patch-3.1.
- Delete
patches.arch/x86-vdso-fix-a-reference-to-a-non-existing-instructi.patch.
- Delete
patches.drivers/ALSA-hda-Fix-regression-of-HD-audio-controller-fallb.patch.
- commit f10e7fc
-------------------------------------------------------------------
Tue Mar 24 15:44:01 CET 2015 - jbeulich@suse.com
- Update Xen patches to 4.0-rc5.
- commit 7636f33
-------------------------------------------------------------------
Tue Mar 24 15:26:38 CET 2015 - dsterba@suse.cz
- config: disable SCSI_MQ_DEFAULT on all architectures and configs
The scsi-mq feature lacks support for io schedulers right now. This
exhibits as performance drop for random RW workloads due to excessive
seeking. The real benefit of scsi-mq comes with high-end and fast
devices, the estimated impact on our users is low.
- commit 59a4160
-------------------------------------------------------------------
Tue Mar 24 14:35:28 CET 2015 - dsterba@suse.cz
- config: disable SCSI_MQ_DEFAULT on all architectures and configs
The scsi-mq feature lacks support for io schedulers right now. This
exhibits as performance drop for random RW workloads due to excessive
seeking. The real benefit of scsi-mq comes with high-end and fast
devices, the estimated impact on our users is low.
- commit d8856ce
-------------------------------------------------------------------
Mon Mar 23 23:52:26 CET 2015 - agraf@suse.de
- pci: Enable pci-host-generic support for ARM64 (bnc#911732).
- PCI/MSI: of: Allow msi_domain lookup using the PHB node
(bnc#911732).
- PCI/MSI: Drop domain field from msi_controller (bnc#911732).
- irqchip: gicv3-its: Get rid of struct msi_controller
(bnc#911732).
- irqchip: GICv2m: Get rid of struct msi_controller (bnc#911732).
- PCI/MSI: Let pci_msi_get_domain use struct device's msi_domain
(bnc#911732).
- PCI/MSI: of: add support for OF-provided msi_domain
(bnc#911732).
- PCI/MSI: add hooks to populate the msi_domain field
(bnc#911732).
- device core: Introduce per-device MSI domain pointer
(bnc#911732).
- commit 3583a4a
-------------------------------------------------------------------
Mon Mar 23 23:38:27 CET 2015 - agraf@suse.de
- Delete patches.arch/arm64-0012-generic-pci.patch.
- commit 6b01afd
-------------------------------------------------------------------
Mon Mar 23 23:36:26 CET 2015 - agraf@suse.de
- Refresh
patches.arch/arm64-0010-KVM-ARM-Hack-to-enable-VGIC-mapping-on-64k-PAGE_SIZE.patch.
- commit 6c49399
-------------------------------------------------------------------
Mon Mar 23 16:02:52 CET 2015 - jeffm@suse.com
- config: disable CONFIG_ACPI_PROCFS_POWER (i386/x86_64) (boo#917728)
CONFIG_ACPI_PROCFS_POWER is deprecated and should be disabled.
- commit 9eb6ba4
-------------------------------------------------------------------
Mon Mar 23 14:53:09 CET 2015 - jeffm@suse.com
- Update to 4.0-rc5.
- Eliminated 1 patch.
- Config changes:
- ARM64:
- CAN_XILINXCAN=m
- commit ecb5151
-------------------------------------------------------------------
Sat Mar 21 15:40:33 CET 2015 - dmueller@suse.com
- ARM64: config: Raise NR cpus to 64
There is hardware that has more than 32 cores, so we would
like to support them out of the box.
- commit 8434f2b
-------------------------------------------------------------------
Wed Mar 18 17:07:17 CET 2015 - jslaby@suse.cz
- Linux 3.19.2.
- commit f2f9797
-------------------------------------------------------------------
Wed Mar 18 12:40:14 CET 2015 - jbeulich@suse.com
- Update Xen patches to 4.0-rc4 and c/s 1283.
- config.conf: Re-enable Xen and PV configs.
- Update config files.
- commit 09cef55
-------------------------------------------------------------------
Mon Mar 16 19:40:38 CET 2015 - dmueller@suse.com
- ARM64: Update patch-mainline for A0-silicon support
- commit 5541c66
-------------------------------------------------------------------
Mon Mar 16 13:57:40 CET 2015 - jeffm@suse.com
- Update to 4.0-rc4.
- Config changes:
- ppc/ppc64/ppc64le/armv7hl/arm64:
- OF_OVERLAY=y (no functional change, the option was introduced to
allow disabling of previously builtin behavior)
- CONFIG_OF_RECONFIG_NOTIFIER_ERROR_INJECT=m
- i386:
- OF_OVERLAY=n
- commit 0067839
-------------------------------------------------------------------
Mon Mar 16 13:54:27 CET 2015 - jeffm@suse.com
- config: refresh armv7hl-vanilla config
The armv7hl-vanilla config contained options not offered by the vanilla
kernel, showing it hadn't been resynced.
- commit 1c9d3a1
-------------------------------------------------------------------
Mon Mar 16 13:53:48 CET 2015 - jeffm@suse.com
- config: update arm64-vanilla config
The ARM64 update for 4.0-rc3 updated default, but not vanilla. This commit
just syncs the changes.
- commit dc76fd5
-------------------------------------------------------------------
Sun Mar 15 12:48:31 CET 2015 - dmueller@suse.com
- ARM64: Adjust xkbe-a0 to Linux 4.0rc3
Upstream commit df8a39defad4 (net: rename vlan_tx_* helpers since "tx"
is misleading there) renamed vlan_tx_* helpers causing a build failure for
xgbe. This commit resyncs with 4.0-rc3.
- commit 126fc64
-------------------------------------------------------------------
Sun Mar 15 12:44:37 CET 2015 - dmueller@suse.com
- ARM64: Fix support for ARMv7 userspace
- commit 86b157b
-------------------------------------------------------------------
Mon Mar 9 18:54:33 CET 2015 - dmueller@suse.com
- config: ARM64: Reenable configs for 4.0-rc3
This mainly adds support for Exynos 7, Mediatek and
Freescale's SoCs.
- commit d573f03
-------------------------------------------------------------------
Mon Mar 9 18:15:05 CET 2015 - dmueller@suse.com
- ARM64: Use 64k zero page protection like on other arches
For cross-architecture compatibility, use the 64k MMAP min
addr. The old value was nonsensical anyway since we switched to 64k
page size, which means this change is effectively a NOOP in the 64k
Pagesize flavor.
- commit d5c547f
-------------------------------------------------------------------
Mon Mar 9 14:45:09 CET 2015 - jeffm@suse.com
- config: disable FEC_MPC52xx on ppc
List discussion indicates that the openSUSE kernel doesn't properly
support Efika and that there aren't users of the hardware.
These devices can only be enumerated using open firmware.
- commit 4a3e976
-------------------------------------------------------------------
Mon Mar 9 13:50:11 CET 2015 - tiwai@suse.de
- ALSA: hda - Fix regression of HD-audio controller fallback modes
(bsc#921313).
- commit c0946e9
-------------------------------------------------------------------
Mon Mar 9 02:32:46 CET 2015 - jeffm@suse.com
- Update to 4.0-rc3.
- commit f264c86
-------------------------------------------------------------------
Sat Mar 7 19:55:43 CET 2015 - jeffm@suse.com
- config: disable SERIAL_XILINX_PS_UART on i386
These devices can only be enumerated using open firmware.
- commit ee2b818
-------------------------------------------------------------------
Sat Mar 7 19:54:00 CET 2015 - jeffm@suse.com
- config: disable W1_MASTER_GPIO on i386, x86_64
These devices can only be enumerated using open firmware.
- commit f84f577
-------------------------------------------------------------------
Sat Mar 7 19:52:17 CET 2015 - jeffm@suse.com
- config: disable VIRTIO_MMIO on i386, x86_64
These devices can only be enumerated using open firmware.
- commit ff54725
-------------------------------------------------------------------
Sat Mar 7 19:47:05 CET 2015 - jeffm@suse.com
- config: disable MFD_TI_AM335X_TSCADC on non-ARM platforms
These devices can only be enumerated using open firmware.
- commit 9ac8349
-------------------------------------------------------------------
Sat Mar 7 19:45:48 CET 2015 - jeffm@suse.com
- config: disable STMMAC_PLATFORM on non-ARM platforms
These devices can only be enumerated using open firmware.
- commit f641fdf
-------------------------------------------------------------------
Sat Mar 7 19:44:07 CET 2015 - jeffm@suse.com
- config: disable TI_ST and RADIO_WL128X on i386, x86_64
Can probably also be disabled on ppc*
These devices can only be enumerated using open firmware.
- commit 90c2553
-------------------------------------------------------------------
Sat Mar 7 19:39:51 CET 2015 - jeffm@suse.com
- config: disable MMC_SDHCI_OF_ARASAN on i386
Can probably also be disabled on ARM64
These devices can only be enumerated using open firmware.
- commit 4ea5b44
-------------------------------------------------------------------
Sat Mar 7 19:36:48 CET 2015 - jeffm@suse.com
- config: disable PPS_CLIENT_GPIO on i386, x86_64
These devices can only be enumerated using open firmware.
- commit b5f1d4c
-------------------------------------------------------------------
Sat Mar 7 19:34:54 CET 2015 - jeffm@suse.com
- config: disable MDIO_BUS_MUX_GPIO on i386
These devices can only be enumerated using open firmware.
- commit 85bea9a
-------------------------------------------------------------------
Sat Mar 7 19:32:50 CET 2015 - jeffm@suse.com
- config: disable KS8851_MLL on i386, x86_64
Can probably also be disabled on ppc, ppc64, ppc64le but I couldn't
find a definitive list of platforms this hardware is used with.
These devices can only be enumerated using open firmware.
- commit 9dc4b32
-------------------------------------------------------------------
Sat Mar 7 19:30:09 CET 2015 - jeffm@suse.com
- config: disable I2C_MPC on ppc64, ppc64le
These devices can only be enumerated using open firmware.
- commit 1fb8e5a
-------------------------------------------------------------------
Sat Mar 7 19:28:32 CET 2015 - jeffm@suse.com
- config: disable GPIO_WATCHDOG on i386
These devices can only be enumerated using open firmware.
- commit 46bdcd6
-------------------------------------------------------------------
Sat Mar 7 19:27:09 CET 2015 - jeffm@suse.com
- config: disable GPIO_SYSCON on i386
These devices can only be enumerated using open firmware.
- commit 05f2a21
-------------------------------------------------------------------
Sat Mar 7 19:21:34 CET 2015 - jeffm@suse.com
- config: disable IR_GPIO_CIR on i386, x86_64
These devices can only be enumerated using open firmware.
- commit feacf1d
-------------------------------------------------------------------
Sat Mar 7 19:19:44 CET 2015 - jeffm@suse.com
- config: disable GPIO_GRGPIO on i386, ppc, ppc64, ppc64le
The hardware enabled by this driver is typically only found on LEON
SPARC systems. Since it enables an IP library that could potentially
be found on any embedded board, I've left it enabled for ARM and PPC.
- commit 6b22540
-------------------------------------------------------------------
Sat Mar 7 19:18:08 CET 2015 - jeffm@suse.com
- config: disable INPUT_GPIO_BEEPER on i386, x86_64
These devices can only be enumerated using open firmware.
- commit b215566
-------------------------------------------------------------------
Sat Mar 7 19:16:39 CET 2015 - jeffm@suse.com
- config: disable GPIO_74XX_MMIO on i386
These devices can only be enumerated using open firmware.
- commit ee3e4f4
-------------------------------------------------------------------
Sat Mar 7 19:13:59 CET 2015 - jeffm@suse.com
- config: disable SERIAL_FSL_LPUART on non-ARM platforms.
This hardware is only found on Freescale ARM platforms.
- commit b43a527
-------------------------------------------------------------------
Sat Mar 7 19:09:27 CET 2015 - jslaby@suse.cz
- Linux 3.19.1.
- Refresh patches.xen/xen-x86-bzImage.
- commit a04cebc
-------------------------------------------------------------------
Sat Mar 7 19:05:41 CET 2015 - jeffm@suse.com
- config: disable ETHOC on i386, x86_64
These devices can only be enumerated using open firmware.
- commit aed4e05
-------------------------------------------------------------------
Sat Mar 7 19:04:09 CET 2015 - jeffm@suse.com
- config: disable DW_WATCHDOG on i386, x86_64, ppc, ppc64, ppc64le
This hardware used to be ARM-only but is now available on Xtensa, so
we can disable it everywhere bug ARM.
- commit a4ffedf
-------------------------------------------------------------------
Sat Mar 7 19:01:40 CET 2015 - jeffm@suse.com
- config: disable MTD_DOCG3 on i386, x86_64, ppc64, ppc64le
This generation of DiskOnChip is quite old and found on embedded platforms.
It's probably ok to disable it for arm64 as well.
These devices can only be enumerated using open firmware.
- commit d84f49b
-------------------------------------------------------------------
Sat Mar 7 18:50:26 CET 2015 - jeffm@suse.com
- config: disable SERIO_APBPS2 on i386
The hardware enabled by this driver is typically only found on LEON
SPARC systems. Since it enables an IP library that could potentially
be found on any embedded board, I've left it enabled for ARM and PPC.
- commit 27b679d
-------------------------------------------------------------------
Sat Mar 7 18:47:54 CET 2015 - jeffm@suse.com
- config: disable APPLE_AIRPORT on ppc64le
Apple Airport is only found on Apple ppc/ppc64 hardware.
- commit 77cf796
-------------------------------------------------------------------
Sat Mar 7 18:43:46 CET 2015 - jeffm@suse.com
- Update to 4.0-rc2.
- commit bdfe724
-------------------------------------------------------------------
Wed Mar 4 19:45:18 CET 2015 - matwey.kornilov@gmail.com
- config: armv7hl: Reenable for armv7hl
- commit 23602ae
-------------------------------------------------------------------
Tue Mar 3 22:18:46 CET 2015 - jslaby@suse.cz
- x86/vdso: fix a reference to a non-existing instruction.
- commit 584067b
-------------------------------------------------------------------
Tue Mar 3 13:50:59 CET 2015 - jeffm@suse.com
- config: revert changes to i386/pv
The i386/pv config will be resynced when Xen is updated.
- commit c77a495
-------------------------------------------------------------------
Tue Mar 3 01:44:29 CET 2015 - jeffm@suse.com
- config: disable ALTERA_TSE on i386/x86_64
These devices can only be enumerated using open firmware.
- commit 6506dc7
-------------------------------------------------------------------
Tue Mar 3 01:42:07 CET 2015 - jeffm@suse.com
- config: disable FB_OPENCORES on i386/x86_64
These devices can only be enumerated using open firmware.
- commit 1c992f1
-------------------------------------------------------------------
Mon Mar 2 21:10:18 CET 2015 - jeffm@suse.com
- Update to 4.0-rc1.
- Eliminated 1 patch.
- Xen needs updating.
- ARM configs need updating.
- Config changes:
- General:
- RCU_KTHREAD_PRIO = 0 for default; 1 for desktop
- X86_INTEL_QUARK=y (32-bit only)
- X86_AMD_PLATFORM_DEVICE=y
- ZSMALLOC_STAT=n
- DEVMEM=y
- DUMMY_CONSOLE_COLUMNS=80
- DUMMY_CONSOLE_ROWS=25
- FS_DAX=y
- PSTORE_PMSG=n
- F2FS_IO_TRACE=n
- NFSD_PNFS=y
- GDB_SCRIPTS=n (only installs in build dir)
- LIVEPATCH=y
- TEST_HEXDUMP=n
- CRYPTO_USER_API_RNG=m
- BLK_DEV_RAM_DAX=y
- PM_DEVFREQ_EVENT=y
- VIRTIO_PCI_LEGACY=y
- Networking:
- IEEE802154_SOCKET=m
- NET_ACT_BPF=m
- NET_ACT_CONNMARK=m
- BT_SELFTEST=n
- Networking drivers:
- IXGBE_VXLAN=y
- AMD_XGBE=m
- AMD_XGBE_DCB=y
- Input:
- MOUSE_PS2_FOCALTECH=y
- HID_BETOP_FF=m
- Other drivers, enabled as modules:
- TCG_CRB
- I2C_DESIGNWARE_BAYTRAIL
- SPI_DLN2
- USB_ISP1760
- LEDS_CLASS_FLASH
- FB_SM7XX
- USB_GSPCA_TOUPTEK
- SND_USB_POD
- SND_USB_PODHD
- SND_USB_TONEPORT
- SND_USB_VARIAX
- Disabled drivers:
- RTC_DRV_DS1685_FAMILY (found on SGI O2/Octane hardware)
- ACPI-discoverable sensors drivers, enabled as modules:
- MMA9551
- MMA9553
- KMX61
- JSA1212
- SX9500
- x86
- DEBUG_IMR_SELFTEST=n
- zSeries:
- MARCH_Z900
- TUNE_DEFAULT
- SCHED_TOPOLOGY=y (renamed from SCHED_BOOK)
- Device-tree dependent drivers, all disabled:
- MTD_NAND_HISI504
- TI_CPSW_ALE
- INPUT_E3X0_BUTTON
- INPUT_TPS65218_PWRBUTTON
- SERIAL_CONEXANT_DIGICOLOR
- TCG_TIS_I2C_ST33
- GPIO_XILINX
- BATTERY_GAUGE_LTC2941
- POWER_RESET_RESTART
- MFD_DA9150
- MFD_RT5033
- DRM_PANEL_SIMPLE
- DRM_PANEL_LD9040
- DRM_PANEL_S6E8AA0
- DRM_PANEL_SHARP_LQ101R1SX01
- MMC_SDHCI_F_SDH30
- RTC_DRV_ABB5ZES3
- FB_TFT
- COMMON_CLK_CDCE706
- ASM9260_TIMER
- IOMMU_IO_PGTABLE_LPAE
- CC10001_ADC
- QCOM_SPMI_VADC
- IIO_SSP_SENSORHUB
- CM3232
- commit d6b999d
-------------------------------------------------------------------
Tue Feb 24 10:28:36 CET 2015 - guillaume.gardet@free.fr
- config: armv6hl: Update and re-enable armv6 default config
Please find an update from 3.18.0 to 3.19.0 for armv6 default config.
It also enables armv6 default config again.
[AF: Homogenized module selection, dropped Broadcom Kona support (ARMv7)]
(cherry picked from commit 860fc5cd0552cd001cbb4ed0edbb9634748ae0eb)
- config: armv6hl: Update and re-enable armv6 default config
Please find an update from 3.18.0 to 3.19.0 for armv6 default config.
It also enables armv6 default config again.
[AF: Homogenized module selection, dropped Broadcom Kona support (ARMv7)]
- commit f85c84c
-------------------------------------------------------------------
Mon Feb 16 08:23:48 CET 2015 - matwey.kornilov@gmail.com
- config: armv7hl: Temporarily disable CONFIG_PCIE_SPEAR13XX
spear13xx pcie leads to kernel oopses in random places,
so let's disable it until a proper solution to fix it is found.
References: bko#92581
(cherry picked from commit a26077781b14f8b6c48517927216eb5b923de54d)
- config: armv7hl: Temporarily disable CONFIG_PCIE_SPEAR13XX
spear13xx pcie leads to kernel oopses in random places,
so lets disable it until a proper solution to fix it is found.
References: bko#92581
- commit 1133f88
-------------------------------------------------------------------
Fri Feb 13 15:00:45 CET 2015 - jdelvare@suse.de
- Update config files: disable CONFIG_I2C_SLAVE
Disable CONFIG_I2C_SLAVE on x86 and ppc*. There is currently no known
use case for this feature on these architectures, and it has a small
build time and size cost.
- commit 9a477d7
-------------------------------------------------------------------
Tue Feb 10 16:07:03 CET 2015 - tiwai@suse.de
- fix a leak in bch_cached_dev_run() (bnc#910440).
- bcache: unregister reboot notifier when bcache fails to register
a block device (bnc#910440).
- bcache: fix a livelock in btree lock (bnc#910440).
- bcache: [BUG] clear BCACHE_DEV_UNLINK_DONE flag when attaching
a backing device (bnc#910440).
- bcache: Add a cond_resched() call to gc (bnc#910440).
- fix a leak in bch_cached_dev_run() (bnc#910440).
- bcache: unregister reboot notifier when bcache fails to register
a block device (bnc#910440).
- bcache: fix a livelock in btree lock (bnc#910440).
- bcache: [BUG] clear BCACHE_DEV_UNLINK_DONE flag when attaching
a backing device (bnc#910440).
- bcache: Add a cond_resched() call to gc (bnc#910440).
- commit 30e9ca2
-------------------------------------------------------------------
Tue Feb 10 14:31:22 CET 2015 - tiwai@suse.de
- vm: add VM_FAULT_SIGSEGV handling support for XEN (bnc#917060).
- commit 48f695c
-------------------------------------------------------------------
Tue Feb 10 12:25:53 CET 2015 - jbeulich@suse.com
- Update Xen patches to 3.19-final.
- commit 7ba6009
-------------------------------------------------------------------
Mon Feb 9 15:03:00 CET 2015 - jeffm@suse.com
- Update to 3.19-final.
- commit b47fe8e
-------------------------------------------------------------------
Fri Feb 6 22:35:46 CET 2015 - jslaby@suse.cz
- Linux 3.18.6.
- Delete patches.fixes/vm-add-VM_FAULT_SIGSEGV-handling-support.
- Delete
patches.fixes/vm-make-stack-guard-page-errors-return-VM_FAULT_SIGS.
- commit ec2a744
-------------------------------------------------------------------
Thu Feb 5 10:41:10 CET 2015 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Fix build if there is no *.crt file
- commit c5b1275
-------------------------------------------------------------------
Wed Feb 4 14:38:50 CET 2015 - jbeulich@suse.com
- Update Xen patches to 3.19-rc7 and c/s 1279.
- Update Xen config files.
- commit f6f5ce5
-------------------------------------------------------------------
Tue Feb 3 20:04:37 CET 2015 - jeffm@suse.com
- Update to 3.19-rc7.
- commit 7505c11
-------------------------------------------------------------------
Fri Jan 30 14:28:50 CET 2015 - mmarek@suse.cz
- rpm/constraints.in: Lower the requirement to 4 CPUs on POWER
- commit 9e50821
-------------------------------------------------------------------
Fri Jan 30 08:59:03 CET 2015 - tiwai@suse.de
- vm: make stack guard page errors return VM_FAULT_SIGSEGV rather
than SIGBUS.
- vm: add VM_FAULT_SIGSEGV handling support.
- commit fe77e87
-------------------------------------------------------------------
Fri Jan 30 08:44:42 CET 2015 - jslaby@suse.cz
- Linux 3.18.5.
- Refresh patches.xen/xen3-auto-xen-kconfig.diff.
- Refresh patches.xen/xen3-patch-3.15.
- Update config files.
- commit f378da4
-------------------------------------------------------------------
Wed Jan 28 21:45:10 CET 2015 - jeffm@suse.com
- Update to 3.19-rc6.
- Config changes:
- SENSORS_I5500=m (i386/x86_64)
- commit 5dca9d9
-------------------------------------------------------------------
Tue Jan 27 18:19:54 CET 2015 - jslaby@suse.cz
- Linux 3.18.4 (bnc#897736 bnc#905329 bnc#911356 bnc#911835).
- Delete
patches.drivers/drm-i915-Don-t-call-intel_prepare_page_flip-multiple.
- Delete patches.drivers/drm-radeon-fix-sad_count-check-for-dce3.
- Delete patches.drivers/hp_accel-Add-support-for-HP-ZBook-15.
- Delete
patches.fixes/media-uvc-Fix-destruction-order-in-uvc_delete.
- Update config files.
- commit abfc700
-------------------------------------------------------------------
Tue Jan 27 12:41:34 CET 2015 - jbeulich@suse.com
- rpm/package-descriptions: Describe kernel-pv (and correct kernel-ec2's).
- commit 6dd25c9
-------------------------------------------------------------------
Mon Jan 26 12:56:03 CET 2015 - mmarek@suse.cz
- DocBook: Do not exceed argument list limit.
- commit 6eae009
-------------------------------------------------------------------
Mon Jan 26 10:52:05 CET 2015 - mmarek@suse.cz
- rpm/kernel-docs.spec.in: Do not ignore errors from make
- commit 86ebfa7
-------------------------------------------------------------------
Sat Jan 24 21:21:36 CET 2015 - jdelvare@suse.de
- Update x86_64 config files: CONFIG_SENSORS_NCT6683=m
The nct6683 driver is already enabled on i386 and history suggests
that it not being enabled on x86_64 is by mistake.
- commit e31f652
-------------------------------------------------------------------
Fri Jan 23 21:25:11 CET 2015 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Drop sparse from BuildRequires
- commit 9777c26
-------------------------------------------------------------------
Fri Jan 23 17:16:53 CET 2015 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Update the comment about NO_BRP_STALE_LINK_ERROR
There is no stale symlink in the installed system anymore, but there is
one during build.
- commit e575cce
-------------------------------------------------------------------
Fri Jan 23 16:52:42 CET 2015 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Own the modules directory in the devel
package (bnc#910322)
- commit d89b963
-------------------------------------------------------------------
Thu Jan 22 12:25:05 CET 2015 - jbeulich@suse.com
- Add x86 PV config files.
- commit 297f762
-------------------------------------------------------------------
Thu Jan 22 11:50:11 CET 2015 - jbeulich@suse.com
- Update Xen patches to 3.19-rc5 and c/s 1276.
- config.conf: Re-enable Xen configs.
- Update config files.
- commit 9368e4c
-------------------------------------------------------------------
Wed Jan 21 18:55:55 CET 2015 - mmarek@suse.cz
- Fix Module.supported handling for external modules (bnc#905304).
- commit 26d68ed
-------------------------------------------------------------------
Wed Jan 21 04:01:57 CET 2015 - jeffm@suse.com
- Update to 3.19-rc5.
- Config changes:
- I40E_FCOE=y
- commit 1548087
-------------------------------------------------------------------
Mon Jan 19 13:38:51 CET 2015 - jslaby@suse.cz
- Update patches.kernel.org/patch-3.18.1-2 (CVE-2014-8133
bnc#906545 bnc#908163 bsc#909077).
Add one more reference.
- commit c3e148f
-------------------------------------------------------------------
Sat Jan 17 00:24:44 CET 2015 - jslaby@suse.cz
- Linux 3.18.3 (bnc#908794).
- Delete
patches.fixes/blk-mq-Fix-uninitialized-kobject-at-CPU-hotplugging.
- commit 390047f
-------------------------------------------------------------------
Fri Jan 16 19:36:16 CET 2015 - matwey.kornilov@gmail.com
- config: armv7hl: Update config files for 3.19-rc6
[AF: Updated for -rc6, enabled some more default options,
enabled/disabled lpae options, regenerated vanilla from default]
- commit a7bdd86
-------------------------------------------------------------------
Wed Jan 14 20:19:31 CET 2015 - agraf@suse.de
- Refresh patches.arch/arm64-0012-generic-pci.patch (fix PCI bridge support)
- commit b9cbcf4
-------------------------------------------------------------------
Wed Jan 14 20:16:14 CET 2015 - agraf@suse.de
- Refresh patches.arch/arm64-0039-generic-pci.patch (fix PCI bridge support)
- commit 88366a3
-------------------------------------------------------------------
Mon Jan 12 16:22:53 CET 2015 - jeffm@suse.com
- Update to 3.19-rc4.
- Config changes:
- KDB_DEFAULT_ENABLE=0x1 (default, no change)
- commit f688a05
-------------------------------------------------------------------
Mon Jan 12 16:18:17 CET 2015 - jeffm@suse.com
- config: fixed re-enabling of CFG80211_WEXT
- Note: CFG80211_WEXT was disabled in 3.19-rc1, not 3.17.
* git describe and git name-rev show different things.
- IPW2200_DEBUG=y was set prior to 3.19-rc1, restore it
- Re-sync arm64 config to match pre-rc1 values.
- commit 79a899b
-------------------------------------------------------------------
Fri Jan 9 11:08:54 CET 2015 - jslaby@suse.cz
- Linux 3.18.2 (CVE-2014-8133 bnc#908163 bsc#909077).
- Delete
patches.fixes/KEYS-Fix-stale-key-registration-at-error-path.
- Delete
patches.fixes/x86-tls-validate-tls-entries-to-protect-espfix.patch.
- commit a393f37
-------------------------------------------------------------------
Thu Jan 8 20:35:25 CET 2015 - tiwai@suse.de
- Bluetooth: Add support for Broadcom BCM20702A0 variants firmware
download (bnc#911311).
- commit 15c2753
-------------------------------------------------------------------
Thu Jan 8 16:08:21 CET 2015 - jeffm@suse.com
- Update to 3.19-rc3.
- Config changes are repairing fallout from the removal of
wireless extensions in 3.17. They were restored in 3.19-rc3.
- commit 43d6953
-------------------------------------------------------------------
Thu Jan 8 14:50:46 CET 2015 - tiwai@suse.de
- drm/radeon: fix sad_count check for dce3 (bnc#911356).
- commit d0f80d0
-------------------------------------------------------------------
Tue Jan 6 17:46:41 CET 2015 - tiwai@suse.de
- drm/i915: Don't call intel_prepare_page_flip() multiple times
on gen2-4 (bnc#911835).
- commit 946a5ed
-------------------------------------------------------------------
Tue Jan 6 01:59:19 CET 2015 - agraf@suse.de
- arm64: Enable DRM
- commit c00a333
-------------------------------------------------------------------
Mon Jan 5 22:10:13 CET 2015 - agraf@suse.de
- arm64: Disable CRC32 accelerator again, doesn't build
- commit c0e01e0
-------------------------------------------------------------------
Mon Jan 5 21:08:40 CET 2015 - agraf@suse.de
- arm64: Enable generic PHB driver (bnc#912061).
- arm64: Enable generic PHB driver (bnc#911732).
- commit 5452184
-------------------------------------------------------------------
Mon Jan 5 20:53:51 CET 2015 - agraf@suse.de
- Update config files for arm64
- commit 4c90d68
-------------------------------------------------------------------
Fri Jan 2 21:01:51 CET 2015 - dbueso@suse.de
- rpm/old-flavors: Obsolete kernel-bigsmp (fate#318083)
- commit c5abe0c
-------------------------------------------------------------------
Fri Jan 2 14:03:52 CET 2015 - mmarek@suse.cz
- patches.suse/supported-flag: fix mis-reported supported status (bnc#809493)
Port fix from SLE12.
- commit 92db6d0
-------------------------------------------------------------------
Fri Jan 2 14:00:21 CET 2015 - mmarek@suse.cz
- Refresh patches.suse/supported-flag: fix TAINT_NO_SUPPORT handling on
module load
Port fix from SLE12.
- commit 47e6368
-------------------------------------------------------------------
Tue Dec 30 05:03:14 CET 2014 - jeffm@suse.com
- Update to 3.19-rc2.
- commit aa3360b
-------------------------------------------------------------------
Tue Dec 23 16:48:09 CET 2014 - matwey.kornilov@gmail.com
- config: Introduce vanilla configs for armv6l, armv7l and aarch64
- commit cd0d0f3
-------------------------------------------------------------------
Mon Dec 22 06:45:24 CET 2014 - jeffm@suse.com
- Update to 3.19-rc1.
- Eliminated 5 patches.
- Xen needs updating.
- ARM configs need updating.
- Config changes:
- General
- INIT_FALLBACK (y, default, consistent with old default)
- PAGE_EXTENSION=n (overhead)
- PAGE_OWNER=n (overhead)
- Networking:
- NET_FOU_IP_TUNNELS=y
- NFT_REDIR=m
- NFT_REDIR_IPV4=m
- NFT_REDIR_IPV6=m
- NET_DSA_HWMON=y
- NET_ACT_VLAN=m
- NET_SWITCHDEV=y (only impact is a slightly bigger ndo)
- BT_BREDR=y
- BT_LE=y
- IPVLAN=m
- NET_DSA_MV88E6352=m
- ROCKER=m
- BCMGENET=m
- Driver options:
- CONFIG_MAC80211_RC_MINSTREL_VHT=y
- ATH9K_PCOEM=y
- RTC_DRV_DS1374_WDT=y
- INFINIBAND_ON_DEMAND_PAGING=y
- SQUASHFS_LZ4=y
- Drivers:
- SCSI_AM53C974=m
- SCSI_WD719X=m
- KEYBOARD_CAP11XX=m
- MOUSE_ELAN_I2C=m
- TOUCHSCREEN_GOODIX=m
- TOUCHSCREEN_ELAN=m
- IPMI_SSIF=m
- I2C_SLAVE=y (does nothing; only here for future additions)
- GPIO_74XX_MMIO=m
- SENSORS_NCT7802=m
- MFD_DLN2=m
- I2C_DLN2=m
- GPIO_DLN2
- IR_IGORPLUGUSB=m
- DVB_SMIPCIE=m
- DVB_MN88472=m
- DVB_MN88473=m
- VIDEO_SAA7191=n (staging/deprecated)
- VIDEO_VIM2M=m
- DRM_I2C_ADV7511=m
- SND_OXFW=m
- HID_PLANTRONICS=m
- MMC_TOSHIBA_PCI=m
- LEDS_LP8860=m
- x86
- X86_INTEL_MPX=y (default n, little kernel overhead for potential security gains)
- RCU_KTHREAD_PRIO=1 (default)
- X86_VSYSCALL_EMULATION=y
- HSA_AMD=m
- EDAC_AMD64=m
- EDAC_AMD64_ERROR_INJECTION=y
- ppc
- OF_UNITTEST=n
- IPMI_POWERNV=m
- I2C_OPAL=m
- RTC_DRV_OPAL=m
- s390x
- SCLP_ASYNC_ID=000000000 (default)
- SoC
- PINCTRL_CHERRYVIEW=n
- ARM?: (all n)
- PMIC
- MFD_ATMEL_HLCDC
- COMMON_CLK_XLNX_CLKWZRD
- QCOM_SPMI_IADC
- Mobile sensors: (all n)
- SI7020
- BMP280
- commit 85f0072
-------------------------------------------------------------------
Wed Dec 17 19:20:30 CET 2014 - bp@suse.de
- x86/tls: Validate TLS entries to protect espfix (bsc#909077,CVE-2014-8133).
- commit 5f2f35e
-------------------------------------------------------------------
Wed Dec 17 10:59:36 CET 2014 - jslaby@suse.cz
- Linux 3.18.1.
- Delete
patches.drivers/ALSA-usb-audio-Don-t-resubmit-pending-URBs-at-MIDI-e.
- commit 0fdb00f
-------------------------------------------------------------------
Tue Dec 16 14:12:25 CET 2014 - tiwai@suse.de
- parport: parport_pc, do not remove parent devices early
(bnc#856659).
- commit 161f750
-------------------------------------------------------------------
Sun Dec 14 11:25:49 CET 2014 - tiwai@suse.de
- blk-mq: Fix uninitialized kobject at CPU hotplugging
(bnc#908794).
- commit b68f510
-------------------------------------------------------------------
Fri Dec 12 13:44:26 CET 2014 - jbeulich@suse.com
- Refresh Xen patches (adjustments for pv-ops builds and a huge
memory DomU boot fix).
- commit 0510331
-------------------------------------------------------------------
Tue Dec 9 17:17:29 CET 2014 - jbeulich@suse.com
- Update Xen patches to 3.18-final.
- x86-64/MCE: flip CPU and bank numbers in log message.
- commit 2b473fc
-------------------------------------------------------------------
Tue Dec 9 03:22:34 CET 2014 - jeffm@suse.com
- Update to 3.18-final.
- commit fb05465
-------------------------------------------------------------------
Sun Dec 7 21:43:12 CET 2014 - jslaby@suse.cz
- Linux 3.17.6.
- commit 12b7bf1
-------------------------------------------------------------------
Sun Dec 7 21:40:02 CET 2014 - jslaby@suse.cz
- Linux 3.17.5 (bnc#904417).
- Refresh patches.xen/xen3-patch-2.6.18.
- Refresh patches.xen/xen3-patch-2.6.31.
- Delete
patches.drivers/drm-radeon-report-disconnected-for-LVDS-eDP-with-PX.
- commit 8bebfb6
-------------------------------------------------------------------
Sat Dec 6 21:41:16 CET 2014 - tiwai@suse.de
- ALSA: usb-audio: Don't resubmit pending URBs at MIDI error
recovery.
- commit a6e513d
-------------------------------------------------------------------
Fri Dec 5 17:20:27 CET 2014 - tiwai@suse.de
- drm: Implement O_NONBLOCK support on /dev/dri/cardN
(bnc#904097).
- commit 1b14fe6
-------------------------------------------------------------------
Fri Dec 5 11:50:52 CET 2014 - tiwai@suse.de
- KEYS: Fix stale key registration at error path (bnc#908163).
- commit 7d9c8f3
-------------------------------------------------------------------
Fri Dec 5 01:02:49 CET 2014 - agraf@suse.de
- arm64: Enable 64k page size (bnc#908468)
- commit 088d59b
-------------------------------------------------------------------
Thu Dec 4 14:59:03 CET 2014 - agraf@suse.de
- ARMv7: Bump NR_CPUs to 16, the HIP04D01 has that many
- commit 9c41958
-------------------------------------------------------------------
Mon Dec 1 15:44:27 CET 2014 - jeffm@suse.com
- Update to 3.18-rc7.
- commit 58b7b67
-------------------------------------------------------------------
Mon Dec 1 14:23:51 CET 2014 - jbeulich@suse.com
- Update Xen config files (restore RTC_DRV_EFI).
- commit ab8d181
-------------------------------------------------------------------
Fri Nov 28 11:54:09 CET 2014 - jbeulich@suse.com
- Update Xen patches to 3.18-rc6 and c/s 1269.
- commit 7e21a29
-------------------------------------------------------------------
Thu Nov 27 10:48:26 CET 2014 - mmarek@suse.cz
- rpm/constraints.in: Require 10GB of disk space also on 32bit POWER
- commit 33a282b
-------------------------------------------------------------------
Wed Nov 26 17:27:14 CET 2014 - jeffm@suse.com
- Update to 3.18-rc6.
- commit 961dff7
-------------------------------------------------------------------
Wed Nov 26 13:46:09 CET 2014 - mmarek@suse.cz
- rpm/constraints.in: Require 10GB disk space on POWER
A debuginfo build currently requires about 8.5 GB on POWER. Also,
require at least 8 CPUs, so that builds do not get accidentally
scheduled on slow machines.
- commit 596d9cf
-------------------------------------------------------------------
Tue Nov 25 21:17:30 CET 2014 - tiwai@suse.de
- drm/radeon: report disconnected for LVDS/eDP with PX if ddc
fails (bnc#904417).
- commit 2d23787
-------------------------------------------------------------------
Sat Nov 22 20:07:29 CET 2014 - jslaby@suse.cz
- Linux 3.17.4 (bnc#665315 bnc#903748 bnc#904289).
- Refresh patches.xen/xen3-patch-3.17.
- Delete
patches.drivers/0010-ALSA-hda-Add-mute-LED-control-for-Lenovo-Ideapad-Z56.patch.
- Delete
patches.drivers/Input-synaptics-add-min-max-quirk-for-Lenovo-T440s.
- Delete patches.drivers/quirk-for-Lenovo-Yoga-3-no-rfkill-switch.
- commit d50009e
-------------------------------------------------------------------
Wed Nov 19 04:05:43 CET 2014 - jeffm@suse.com
- Update to 3.18-rc5.
- commit 2e1894b
-------------------------------------------------------------------
Fri Nov 14 21:42:01 CET 2014 - jslaby@suse.cz
- Linux 3.17.3 (bnc#900786).
- Refresh patches.xen/xen3-patch-2.6.24.
- Delete
patches.fixes/iwlwifi-dvm-drop-non-VO-frames-when-flushing.
- commit 76fe48f
-------------------------------------------------------------------
Fri Nov 14 16:28:39 CET 2014 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Provide name-version-release for kgraft
packages (bnc#901925)
- commit c9157ee
-------------------------------------------------------------------
Fri Nov 14 15:23:51 CET 2014 - agraf@suse.de
- PPC: Update config files: Enable HV KVM as module
- commit 0521fb3
-------------------------------------------------------------------
Thu Nov 13 21:01:29 CET 2014 - tiwai@suse.de
- hp_accel: Add support for HP ZBook 15 (bnc#905329).
- commit 44e7f88
-------------------------------------------------------------------
Thu Nov 13 09:27:48 CET 2014 - tiwai@suse.de
- ALSA: hda - Add mute LED control for Lenovo Ideapad Z560
(bnc#665315).
- commit ed2f271
-------------------------------------------------------------------
Wed Nov 12 12:32:38 CET 2014 - tiwai@suse.de
- ALSA: hda/realtek - Change EAPD to verb control (bnc#905068).
- ALSA: hda/realtek - Restore default value for ALC668
(bnc#905068).
- ALSA: hda/realtek - Update Initial AMP for EAPD control
(bnc#905068).
- ALSA: hda/realtek - Update restore default value for ALC283
(bnc#905068).
- ALSA: hda/realtek - Update restore default value for ALC282
(bnc#905068).
- ALSA: hda/realtek - Use tables for batch COEF writes/updtes
(bnc#905068).
- ALSA: hda/realtek - Add alc_update_coef*_idx() helper
(bnc#905068).
- ALSA: hda/realtek - Use alc_write_coef_idx() in
alc269_quanta_automake() (bnc#905068).
- ALSA: hda/realtek - Optimize alc888_coef_init() (bnc#905068).
- commit 757f42b
-------------------------------------------------------------------
Mon Nov 10 21:49:08 CET 2014 - jeffm@suse.com
- Update to 3.18-rc4.
- commit 1eac9b3
-------------------------------------------------------------------
Fri Nov 7 16:18:21 CET 2014 - tiwai@suse.de
- quirk for Lenovo Yoga 3: no rfkill switch (bnc#904289).
- commit bf63174
-------------------------------------------------------------------
Fri Nov 7 10:56:05 CET 2014 - tiwai@suse.de
- iwlwifi: dvm: drop non VO frames when flushing (bnc#900786).
- commit de638be
-------------------------------------------------------------------
Fri Nov 7 10:46:35 CET 2014 - tiwai@suse.de
- Input: synaptics - add min/max quirk for Lenovo T440s
(bnc#903748).
- commit 8e9c18e
-------------------------------------------------------------------
Wed Nov 5 16:03:40 CET 2014 - tiwai@suse.de
- Delete patches.rpmify/chipidea-clean-up-dependencies (bnc#903986)
- commit 3788128
-------------------------------------------------------------------
Wed Nov 5 14:27:57 CET 2014 - tiwai@suse.de
- [media] uvc: Fix destruction order in uvc_delete() (bnc#897736).
- commit 2f29e54
-------------------------------------------------------------------
Wed Nov 5 10:03:28 CET 2014 - tiwai@suse.de
- Disable patches.rpmify/chipidea-clean-up-dependencies
This rather causes a problem when building as a module. If all builds
fine, drop this later.
- commit 6b12ffb
-------------------------------------------------------------------
Tue Nov 4 13:43:57 CET 2014 - jbeulich@suse.com
- Update Xen patches to 3.18-rc3.
- config.conf: Re-enable Xen configs.
- Update config files.
- commit e706e91
-------------------------------------------------------------------
Mon Nov 3 16:27:20 CET 2014 - matwey.kornilov@gmail.com
- config: armv7hl: temporarily disable KEYSTONE_NAVIGATOR_QMSS
KEYSTONE_NAVIGATOR_QMSS leads to the following module dependency cycle.
Disable it until it will be fixed in upstream.
[24907s] depmod: ERROR: Found 2 modules in dependency cycles!
[24907s] depmod: ERROR: Cycle detected: knav_qmss_queue -> knav_qmss_acc -> knav_qmss_queue
- commit 5709b4c
-------------------------------------------------------------------
Mon Nov 3 03:58:30 CET 2014 - jeffm@suse.com
- netfilter: fix missing declaration of csum_ipv6_magic for
bridge reject.
- commit a73bb9e
-------------------------------------------------------------------
Mon Nov 3 03:16:28 CET 2014 - jeffm@suse.com
- Update to 3.18-rc3.
- Eliminated 3 patches.
- commit d6cda9a
-------------------------------------------------------------------
Sun Nov 2 10:31:18 CET 2014 - agraf@suse.de
- Limit xgbe a0 driver to arm64
- commit 12cb573
-------------------------------------------------------------------
Sun Nov 2 09:57:54 CET 2014 - agraf@suse.de
- usb: Add support for Synopsis H20AHB EHCI host controller
(bnc#902632).
- usb: fix hcd h20ahb driver depends (bnc#902632).
- KVM: ARM: Add "arm,gic-400" compatible support (bnc#902632).
- net/xgbe: Add A0 silicon support (bnc#902632).
- arm64: dts: Add X-Gene reboot driver dts node (bnc#902632).
- arm64: Select reboot driver for X-Gene platform (bnc#902632).
- arm64: Do not call enable PCI resources when specify
PCI_PROBE_ONLY (bnc#902632).
- ARM/ARM64: arch-timer: fix arch_timer_probed logic (bnc#902632).
- Documentation: Restrict TSC test code to x86 (bnc#902632).
- KVM: ARM: Hack to enable VGIC mapping on 64k PAGE_SIZE kernels
(bnc#902633).
- Update config files.
- commit 2d3b60c
-------------------------------------------------------------------
Thu Oct 30 20:14:09 CET 2014 - jslaby@suse.cz
- Linux 3.17.2.
- Delete
patches.fixes/btrfs-0001-revert-race-free-update-of-commit-root-for-ro-.patch.
- commit 1afb260
-------------------------------------------------------------------
Thu Oct 30 14:30:50 CET 2014 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Fix including the secure boot cert in /etc/uefi/certs
- commit 72255c9
-------------------------------------------------------------------
Wed Oct 29 14:26:03 CET 2014 - jslaby@suse.cz
- Input: psmouse - add support for detecting FocalTech PS/2
touchpads (bnc#897112).
- Input: psmouse - add psmouse_matches_pnp_id helper function
(bnc#897112).
- commit d944251
-------------------------------------------------------------------
Wed Oct 29 14:12:24 CET 2014 - jslaby@suse.cz
- Delete patches.fixes/input-add-acer-aspire-5710-to-nomux.patch.
It is in 3.17 already, so it is applied twice.
- commit 14a333d
-------------------------------------------------------------------
Tue Oct 28 12:04:43 CET 2014 - tiwai@suse.de
- Split kernel-docs-pdf and kernel-docs-html subpackages
They'll contain PDF and HTML documents generated from kernel source,
respectively. kernel-docs still keeps man pages and basic documents
in root directory.
- commit 4fa7b81
-------------------------------------------------------------------
Mon Oct 27 14:06:11 CET 2014 - jeffm@suse.com
- Update to 3.18-rc2.
- Config changes:
* ACPI_THERMAL_REL=m
* DA9063_WATCHDOG=m
* INT340X_THERMAL=m
* OVERLAYFS_FS=m (vanilla only, we've been carrying these patches)
* PWM_LPSS_PCI=m
* PWM_LPSS_PLATFORM=m
* TCM_USER=m
* THERMAL_GOV_BANG_BANG=y
- commit 44afd6d
-------------------------------------------------------------------
Fri Oct 24 20:49:27 CEST 2014 - jeffm@suse.com
- vdso: don't require 64-bit math in standalone test.
- commit e58d2f6
-------------------------------------------------------------------
Fri Oct 24 19:25:53 CEST 2014 - jeffm@suse.com
- Refresh patches.fixes/dm-table-switch-to-readonly.
- commit afa7428
-------------------------------------------------------------------
Fri Oct 24 19:21:38 CEST 2014 - matwey.kornilov@gmail.com
- config: armv6hl: Compile KGDB serial console into kernel
A serial console is the major communication for ARM devices.
Having CONFIG_KGDB_SERIAL_CONSOLE=m makes it impossible to debug the kernel
at early boot stages.
- commit e34532c
-------------------------------------------------------------------
Fri Oct 24 19:09:15 CEST 2014 - matwey.kornilov@gmail.com
- config: armv7hl: Compile KGDB serial console into kernel
A serial console is the major communication for ARM devices.
Having CONFIG_KGDB_SERIAL_CONSOLE=m makes it impossible to debug the kernel
at early boot stages.
- commit 18c982d
-------------------------------------------------------------------
Fri Oct 24 19:07:14 CEST 2014 - matwey.kornilov@gmail.com
- config: armv7hl: Disable CONFIG_USB_MUSB_TUSB6010
TUSB6010 is not used by any of our JeOSes*, but introduces issues
with USB on BeagleBone (and all other boards utilizing musb_hdrc).
The way to resolve the issues is currently unknown, so temporarily
disable it.
See for details: http://marc.info/?l=linux-usb&m=141088564307331&w=2
- commit fb5877e
-------------------------------------------------------------------
Fri Oct 24 18:59:39 CEST 2014 - jeffm@suse.com
- patches.fixes/dm-table-switch-to-readonly: fix incomplete merge
- commit 2c7dd5c
-------------------------------------------------------------------
Fri Oct 24 14:36:16 CEST 2014 - agraf@suse.de
- ARM: Update config files: Move closer to x86_64 config
- commit 0f35e83
-------------------------------------------------------------------
Fri Oct 24 14:02:43 CEST 2014 - afaerber@suse.de
- config: arm64: Update to v3.18-rc1
Generic options as x86_64/armv7hl.
Enabled PCI, enabling lots of devices.
When in doubt, left miscellaneous drivers disabled.
- commit 83baa8a
-------------------------------------------------------------------
Fri Oct 24 13:07:52 CEST 2014 - afaerber@suse.de
- config: armv6hl: Update to v3.18-rc1
Generic options as for x86_64/armv7hl.
When in doubt, disabled SoC level and PMIC drivers.
- commit 10e9bcb
-------------------------------------------------------------------
Fri Oct 24 12:17:32 CEST 2014 - afaerber@suse.de
- config: armv7hl: Update to v3.18-rc1
Generic options like x86_64.
Meson6 and BCM SoCs for default only.
- commit c8b0df9
-------------------------------------------------------------------
Thu Oct 23 18:22:51 CEST 2014 - jeffm@suse.com
- Update to 3.18-rc1
- Eliminated 6 patches.
- ARM is disabled.
- Xen is disabled.
- Config changes:
- Generic config options:
* TASKS_RCU=n (default)
* ADVISE_SYSCALLS=y (default)
* MODULE_COMPRESS=n (default)
* DISABLE_DEV_COREDUMP=n (default)
* MTD_SPI_NOR_USE_4K_SECTORS=y (default)
* SCSI_MQ_DEFAULT=y
* SCHED_STACK_END_CHECK=y (good protection, may need to reevaluate)
* HMC_DRV=m
* DEVFREQ_GOV_SIMPLE_ONDEMAND=m
* DEVFREQ_GOV_PERFORMANCE=m
* DEVFREQ_GOV_POWERSAVE=m
* DEVFREQ_GOV_USERSPACE=m
* CPUFREQ_DT=n (default)
- Security:
* INTEGRITY=y
- INTEGRITY_SIGNATURE=y
- INTEGRITY_ASYMMETRIC_KEYS=y
- INTEGRITY_AUDIT=y
* CRYPTO_MCRYPTD=m
* CRYPTO_SHA1_MB=m (x86_64)
- Networking options:
* NET_FOU=m
* GENEVE=m
* OPENVSWITCH_GENEVE=y
* TCP_CONG_DCTCP=m
* NFT_MASQ=m
* IP_SET_HASH_MAC=m
* IP_VS_FO=m
* NF_REJECT_IPV4=y
* NF_REJECT_IPV6=
* NFT_MASQ_IPV4=m
* NFT_MASQ_IPV6=m
* CAN_M_CAN=m
- New modules:
* NET_DSA_MV88E6171=m
* NET_DSA_BCM_SF2=m
* FM10K=m
* - FM10K_VXLAN=y
* QCA7000=m
* IWLWIFI_UAPSD=n (default, possible interop problems)
* RTL8192EE=m
* RTL8821AE=m
* TOUCHSCREEN_AR1021_I2C=m
* INPUT_DRV260X_HAPTICS=m
* INPUT_DRV2667_HAPTICS=m
* SERIAL_8250_FINTEK=m
* HID_PENMOUNT=m
* IR_HIX5HD2=m
* DVB_USB_DVBSKY=m
* USB_HACKRF=m
* VIDEO_TW68=m
* VIDEO_SAA7134_GO7007=m
* DVB_PT3=m
* USB_LED_TRIG=y
* LEDS_SYSCON=y
* BMC150_ACCEL=m
* VIDEO_PCI_SKELETON=n
* VIDEO_VIVID=m
* POWER_RESET_GPIO_RESTART=n (default)
* POWER_RESET_LTC2952=n
* POWER_RESET_SYSCON=n
* ATH9K_DYNACK=n (experimental)
* ATH9K_CHANNEL_CONTEXT=y (needed for multi-channel concurrency)
- New options specific to ARM (I think, all disabled):
* MFD_HI6421_PMIC
* MFD_RK808
* MFD_RN5T618
* SOC_TI
* EXTCON_RT8973A
* TI_ADC128S052
* MAX5821
* BMG160
* AL3320A
* GPIO_DWAPB
* GPIO_MCP23S08
- x86/x86_64:
* IOSF_MBI_DEBUG=n (default)
- Power:
* GENERIC_CPU=y (ppc64)
* POWER7_CPU=y (ppc64le)
* CXL=m
- System Z:
* UPROBE_EVENT=y
- commit ab4cc2f
-------------------------------------------------------------------
Wed Oct 22 15:58:03 CEST 2014 - tiwai@suse.de
- Use fop for creating PDF files in kernel-docs package
As some files still cannot be built with the default backend.
- commit 0de03e2
-------------------------------------------------------------------
Wed Oct 22 15:55:56 CEST 2014 - tiwai@suse.de
- Fix build of PDF files in kernel-docs package
Double the spaces for tex, and fix buildrequires for docbook.
- commit 9e1f511
-------------------------------------------------------------------
Mon Oct 20 18:02:41 CEST 2014 - tiwai@suse.de
- Enable some IIO drivers for xen flavors too (bnc#898828)
- commit 6c9b613
-------------------------------------------------------------------
Mon Oct 20 15:44:30 CEST 2014 - agraf@suse.de
- Delete patches.arch/cubox-configuration.patch.
- cubox should be used via device tree now
- commit 2a9b81b
-------------------------------------------------------------------
Mon Oct 20 14:48:00 CEST 2014 - tiwai@suse.de
- Enable some IIO drivers on x86 for Tablet sensors (bnc#898828)
Only a limited number of drivers are enabled as of now. If more other
device-specific drivers are needed, enable appropriately later.
- commit e3b6d24
-------------------------------------------------------------------
Sun Oct 19 01:39:49 CEST 2014 - dsterba@suse.cz
- Revert "Btrfs: race free update of commit root for ro snapshots"
- commit fd16010
-------------------------------------------------------------------
Sun Oct 19 01:36:23 CEST 2014 - dsterba@suse.cz
- Revert "Btrfs: race free update of commit root for ro snapshots"
- commit 5c4d099
-------------------------------------------------------------------
Fri Oct 17 16:23:47 CEST 2014 - jbeulich@suse.com
- Update Xen patches to 3.17.1.
- commit e3f9aac
-------------------------------------------------------------------
Wed Oct 15 23:06:40 CEST 2014 - jslaby@suse.cz
- Linux 3.17.1.
- commit 16cdf1f
-------------------------------------------------------------------
Thu Oct 9 06:04:52 CEST 2014 - jeffm@suse.com
- block: copy bi_vcnt in __bio_clone_fast (boo#888259).
- commit c467423
-------------------------------------------------------------------
Thu Oct 9 06:03:09 CEST 2014 - jeffm@suse.com
- block: copy bi_vcnt in __bio_clone_fast (boo#888259).
- commit 263fe49
-------------------------------------------------------------------
Tue Oct 7 17:44:07 CEST 2014 - jbeulich@suse.com
- Revert "supported.conf: i2c-core -> i2core." - the respective
upstream change got reverted too.
This reverts commit 602eb759ffd78c04efb82a041167718d98180ffd.
- commit afa08f0
-------------------------------------------------------------------
Tue Oct 7 14:29:50 CEST 2014 - jbeulich@suse.com
- Update Xen patches to 3.17-final and c/s 1266.
- commit 28bdf1b
-------------------------------------------------------------------
Mon Oct 6 18:33:24 CEST 2014 - jeffm@suse.com
- Update to 3.17-final.
- commit ba887ef
-------------------------------------------------------------------
Mon Oct 6 14:53:25 CEST 2014 - jack@suse.cz
- Refresh patches.suse/ovl01-vfs-add-i_op-dentry_open.patch.
- commit c1c43b8
-------------------------------------------------------------------
Mon Oct 6 14:35:01 CEST 2014 - jack@suse.cz
Removing RICHACL support since it never went upstream and noone seems
to really care (bnc#892834)
- Delete
patches.suse/0001-vfs-Hooks-for-more-fine-grained-directory-permission.patch.
- Delete
patches.suse/0002-vfs-Add-generic-IS_ACL-test-for-acl-support.patch.
- Delete
patches.suse/0003-vfs-Add-IS_RICHACL-test-for-richacl-support.patch.
- Delete
patches.suse/0004-richacl-In-memory-representation-and-helper-function.patch.
- Delete
patches.suse/0005-richacl-Permission-mapping-functions.patch.
- Delete
patches.suse/0006-richacl-Compute-maximum-file-masks-from-an-acl.patch.
- Delete
patches.suse/0007-richacl-Update-the-file-masks-in-chmod.patch.
- Delete
patches.suse/0008-richacl-Permission-check-algorithm.patch.
- Delete
patches.suse/0009-richacl-Helper-functions-for-implementing-richacl-in.patch.
- Delete patches.suse/0010-richacl-Create-time-inheritance.patch.
- Delete
patches.suse/0011-richacl-Check-if-an-acl-is-equivalent-to-a-file-mode.patch.
- Delete patches.suse/0012-richacl-Automatic-Inheritance.patch.
- Delete
patches.suse/0013-richacl-Restrict-access-check-algorithm.patch.
- Delete patches.suse/0014-richacl-xattr-mapping-functions.patch.
- Delete
patches.suse/0016-ext4-Implement-richacl-support-in-ext4.patch.
- commit 81acd03
-------------------------------------------------------------------
Mon Oct 6 14:30:58 CEST 2014 - jack@suse.cz
- Update
patches.fixes/xfs-mark-all-internal-workqueues-as-freezable.patch
(bnc#899785).
- commit 951f215
-------------------------------------------------------------------
Mon Oct 6 14:29:07 CEST 2014 - jack@suse.cz
- xfs: mark all internal workqueues as freezable.
- commit ba6c1f6
-------------------------------------------------------------------
Mon Oct 6 09:18:42 CEST 2014 - jslaby@suse.cz
- Linux 3.16.4.
- commit 7a8842b
-------------------------------------------------------------------
Mon Sep 29 21:05:12 CEST 2014 - jeffm@suse.com
- Update to 3.17-rc7.
- commit 6304463
-------------------------------------------------------------------
Thu Sep 25 17:37:39 CEST 2014 - jbeulich@suse.com
- patches.suse/stack-unwind: Reduce the number of cases where
hitting broken/missing unwind information would result in a full
but predictably pointless linear scan of the FDEs. Some cosmetic
cleanup.
- commit 8a52bcb
-------------------------------------------------------------------
Mon Sep 22 16:43:00 CEST 2014 - jeffm@suse.com
- Update to 3.17-rc6.
- commit 811b3a2
-------------------------------------------------------------------
Mon Sep 22 13:05:38 CEST 2014 - jbeulich@suse.com
- patches.arch/x86_64-unwind-annotations: Fix patch format (as reported
by Luis Rodriguez).
- commit f35848e
-------------------------------------------------------------------
Thu Sep 18 08:33:34 CEST 2014 - jbeulich@suse.com
- Update EC2 config files again (adding DEBUG_INFO_DWARF4).
- commit 82404e9
-------------------------------------------------------------------
Thu Sep 18 08:29:21 CEST 2014 - jbeulich@suse.com
- supported.conf: i2c-core -> i2core.
- commit 602eb75
-------------------------------------------------------------------
Thu Sep 18 08:28:45 CEST 2014 - jbeulich@suse.com
- Refresh patches.xen/xen3-patch-3.17-rc5 (fix build issue on native).
- commit 000c18b
-------------------------------------------------------------------
Wed Sep 17 22:55:37 CEST 2014 - jslaby@suse.cz
- Linux 3.16.3 (bnc#890096).
- Delete
patches.fixes/reiserfs-fix-corruption-introduced-by-balance_leaf-refactor.
- Delete patches.fixes/rtsx_usb-export-device-table.
- Update config files.
Set CONFIG_SMS_SIANO_DEBUGFS=n as it is in master.
- commit d2bbe7f
-------------------------------------------------------------------
Wed Sep 17 18:03:03 CEST 2014 - jbeulich@suse.com
- Update EC2 config files (re-enable DEBUG_INFO).
- commit c7796ab
-------------------------------------------------------------------
Wed Sep 17 17:14:26 CEST 2014 - jbeulich@suse.com
- Update Xen patches to 3.17-rc5.
- config.conf: Re-enable Xen configs.
- Update config files.
- commit 7069df5
-------------------------------------------------------------------
Wed Sep 17 14:06:06 CEST 2014 - jeffm@suse.com
- blk-merge: fix blk_recount_segments (bnc#888259).
- commit 5fca623
-------------------------------------------------------------------
Tue Sep 16 18:35:02 CEST 2014 - jdelvare@suse.de
- Update s390x config files: disable CONFIG_EXTCON_SM5502
Enabling CONFIG_EXTCON_SM5502 broke the build, because this option
should depend on CONFIG_I2C which is not enabled on s390x. So disable
CONFIG_EXTCON_SM5502 on s390x, and also disable CONFIG_EXTCON as
there is no point in enabling it when no extcon device driver is
selected.
- commit f48cb05
-------------------------------------------------------------------
Mon Sep 15 17:32:18 CEST 2014 - jeffm@suse.com
- Update to 3.17-rc5.
- Config changes:
- NAT config option splitout, no real change:
- CONFIG_IP6_NF_NAT=m
- CONFIG_IP_NF_NAT=m
- CONFIG_NETFILTER_XT_NAT=m
- CONFIG_NF_NAT_H323=m
- CONFIG_NF_NAT_IPV4=m
- CONFIG_NF_NAT_IPV6=m
- CONFIG_NF_NAT_PPTP=m
- CONFIG_NF_NAT_PROTO_GRE=m
- CONFIG_NF_NAT_SNMP_BASIC=m
- commit 5a3d027
-------------------------------------------------------------------
Sun Sep 14 18:19:24 CEST 2014 - jdelvare@suse.de
- Update s390x config files: Disable CONFIG_WATCHDOG_NOWAYOUT
It's already disabled on all other architectures for a long time and
has been causing problems on s390x (bnc#756585, bnc#895103.)
- commit 04827b4
-------------------------------------------------------------------
Tue Sep 9 19:43:57 CEST 2014 - agraf@suse.de
- ARM: Update config files: Enable DRM
- commit 066bdc8
-------------------------------------------------------------------
Tue Sep 9 19:13:11 CEST 2014 - matwey.kornilov@gmail.com
- config: armv7hl/default: Disable DMA for MUSB
Hi, this is both for stable and master.
An issue is the following. We can not have multiple DMA modes in the same kernel due to current musb design.
So, it will work only for specific hardware (OMAP DMA). Current configuration leads to OOPS at BeaglBone Black (CPI41 DMA which is disabled), because it tries to use wrong DMA functions (from OMAP DMA).
The fairest way to fix it is to disable DMA for all hardware until fix in upstream.
[agraf: copy changes to lpae flavor as well]
- commit 957d74e
-------------------------------------------------------------------
Mon Sep 8 17:41:38 CEST 2014 - jeffm@suse.com
- Update to 3.17-rc4.
- No config changes.
- commit 9c66470
-------------------------------------------------------------------
Mon Sep 8 01:10:45 CEST 2014 - agraf@suse.de
- kernel-obs-build: Force load kernel modules
- fixes QEMU kiwi builds
- commit 9a27fc1
-------------------------------------------------------------------
Mon Sep 8 00:39:30 CEST 2014 - agraf@suse.de
- rpm/kernel-obs-build.spec.in: Enable 32bit ARM builds
- commit 3ccdab2
-------------------------------------------------------------------
Sun Sep 7 22:19:01 CEST 2014 - agraf@suse.de
- ARM: Update config files.
- armv7: Increase CMA size to 64MB
- arm64: Switch to 4k pages, enables KVM on more systems and 32bit compat
- commit 62283c7
-------------------------------------------------------------------
Sun Sep 7 06:40:17 CEST 2014 - jslaby@suse.cz
- Linux 3.16.2 (bko#81111 bnc#887046 bnc#889790).
- Update config files.
- Refresh patches.xen/xen-x86-EFI.
- Refresh patches.xen/xen-x86-bzImage.
- Refresh patches.xen/xen3-auto-common.diff.
- Refresh patches.xen/xen3-patch-2.6.37.
- Delete
patches.fixes/Btrfs-Fix-memory-corruption-by-ulist_add_merge-on-32.
- Delete patches.fixes/drm-omapdrm-fix-compiler-errors.
- Delete
patches.fixes/nfs-nfs3_list_one_acl-check-get_acl-result-with-is_err_or_null.
- Delete
patches.suse/btrfs-0003-read-lock-extent-buffer-while-walking-backrefs.patch.
- Delete
patches.suse/btrfs-0006-fix-compressed-write-corruption-on-enospc.patch.
- Delete
patches.suse/btrfs-0008-fix-csum-tree-corruption-duplicate-and-outdate.patch.
- Delete
patches.suse/btrfs-0033-fix-task-hang-under-heavy-compressed-write.patch.
- commit dcee397
-------------------------------------------------------------------
Sat Sep 6 09:55:21 CEST 2014 - dmueller@suse.com
- config: armv7hl/lpae: Reenable support for Hugepages
This was apparently accidentally disabled, but we need
hugepages support for improved performance and stability
of the KVM build workers.
- commit 3d06ff1
-------------------------------------------------------------------
Fri Sep 5 00:39:08 CEST 2014 - agraf@suse.de
- rpm/kernel-binary.spec.in: Always build zImage for ARM
- commit 14e56cc
-------------------------------------------------------------------
Wed Sep 3 13:37:45 CEST 2014 - mmarek@suse.cz
- rpm/kernel-source.spec.in: Create a kernel-macros package with the KMP macros
This package does not have multiversion(kernel) and is not built in the
-rt tree (bnc#867595).
- commit 9b6a6fe
-------------------------------------------------------------------
Wed Sep 3 10:56:44 CEST 2014 - mmarek@suse.cz
- rpm/kernel-source.spec.in: Install doc files in a versioned directory (bnc#867595)
- commit deb25bc
-------------------------------------------------------------------
Tue Sep 2 17:07:19 CEST 2014 - jeffm@suse.com
- Update to 3.17-rc3.
- Config changes:
- x86_64: KEXEC_FILE=y
- commit 1149eb3
-------------------------------------------------------------------
Mon Sep 1 20:05:48 CEST 2014 - agraf@suse.de
- ARM: Update config files: Disable NFC, it results in endless depmod loops
- commit c64eeb2
-------------------------------------------------------------------
Thu Aug 28 19:42:47 CEST 2014 - matwey.kornilov@gmail.com
- config: armv7hl/default: Disable pcie-spear13xx and gpio-zynq
This is for master (Kernel:HEAD) to fix build:
[30000s] ERROR: "dw_pcie_host_init" [drivers/pci/host/pcie-spear13xx.ko] undefined!
[30000s] ERROR: "dw_handle_msi_irq" [drivers/pci/host/pcie-spear13xx.ko] undefined!
[30000s] ERROR: "dw_pcie_msi_init" [drivers/pci/host/pcie-spear13xx.ko] undefined!
[30000s] ERROR: "dw_pcie_cfg_write" [drivers/pci/host/pcie-spear13xx.ko] undefined!
[30000s] ERROR: "dw_pcie_cfg_read" [drivers/pci/host/pcie-spear13xx.ko] undefined!
[30000s] ERROR: "dw_pcie_setup_rc" [drivers/pci/host/pcie-spear13xx.ko] undefined!
[30000s] ERROR: "dw_pcie_link_up" [drivers/pci/host/pcie-spear13xx.ko] undefined!
[30003s] ERROR: "handle_fasteoi_irq" [drivers/gpio/gpio-zynq.ko] undefined!
- commit f6ce720
-------------------------------------------------------------------
Wed Aug 27 17:37:48 CEST 2014 - jslaby@suse.cz
- Update config files.
Turn off udev firmware loading as udev does not provide this service
anymore and it causes delays. This kernel on older distros still
should load the fw on its own. (bnc#891645)
- commit 2873b40
-------------------------------------------------------------------
Wed Aug 27 16:45:38 CEST 2014 - mmarek@suse.cz
- rpm/config.sh Use the same repository setup as the master branch
- commit 90bc0f1
-------------------------------------------------------------------
Wed Aug 27 14:55:18 CEST 2014 - jeffm@suse.com
- Update to 3.17-rc2.
- Config changes:
- PCI_DRA7XX=n on ARM
- I2C_ACPI renamed to ACPI_I2C_OPREGION.
- commit 8f730c5
-------------------------------------------------------------------
Tue Aug 26 14:16:14 CEST 2014 - jslaby@suse.cz
- rpm/config.sh: build against factory
- commit 768ed24
-------------------------------------------------------------------
Tue Aug 26 13:57:20 CEST 2014 - dsterba@suse.cz
- Btrfs stable updates.
Pending or future 3.16.y fixes
- Btrfs: read lock extent buffer while walking backrefs.
- Btrfs: fix compressed write corruption on enospc.
- Btrfs: fix csum tree corruption, duplicate and outdated
checksums.
- Btrfs: fill_holes: Fix slot number passed to hole_mergeable()
call.
- Btrfs: fix wrong write range for filemap_fdatawrite_range().
- Btrfs: fix wrong extent mapping for DirectIO.
- btrfs: Return right extent when fiemap gives unaligned offset
and len.
- Btrfs: ensure tmpfile inode is always persisted with link
count of 0.
- Btrfs: don't monopolize a core when evicting inode.
- Btrfs: fix task hang under heavy compressed write.
- Refresh
patches.fixes/Btrfs-Fix-memory-corruption-by-ulist_add_merge-on-32.
- commit c40eb71
-------------------------------------------------------------------
Tue Aug 26 12:16:05 CEST 2014 - schwab@suse.de
- config: arm64/default: reenable ARM64_CRYPTO
- commit 9768fa4
-------------------------------------------------------------------
Mon Aug 25 14:04:19 CEST 2014 - agraf@suse.de
- Update armv6hl config file
- commit 2d13fa5
-------------------------------------------------------------------
Mon Aug 25 13:58:57 CEST 2014 - agraf@suse.de
- Update armv7l config files
- commit e915f04
-------------------------------------------------------------------
Mon Aug 25 13:46:07 CEST 2014 - agraf@suse.de
- Update arm64 config file
- commit 90dba99
-------------------------------------------------------------------
Fri Aug 22 18:54:59 CEST 2014 - jeffm@suse.com
- config: disable COMMON_CLK_QCOM on non-ARM architectures
- commit 901b82f
-------------------------------------------------------------------
Fri Aug 22 18:08:45 CEST 2014 - jeffm@suse.com
- Update to 3.17-rc1
- Eliminated 8 patches.
- ARM is disabled.
- Xen is disabled.
- Config changes:
- Generic config options:
* LOG_CPU_MAX_BUF_SHIFT=15 (32kb, only used with ncpus > 64)
* KEXEC_VERIFY_SIG=y (needed for secure boot to not get our keys revoked)
* FW_LOADER_USER_HELPER_FALLBACK=y (we use udev)
* MESSAGE_LOGLEVEL_DEFAULT=4 (default)
* DEBUG_INFO_SPLIT=n (would be nice to do =y, but do our tools support it?)
* DEBUG_INFO_DWARF4=y
* TEST_RHASHTABLE=n
* TEST_FIRMWARE=n
* TEST_UDELAY=n
* FENCE_TRACE=n
* GLOB_SELFTEST=n
* CONFIG_SPI=y
- USB_MSI2500 depends on SPI
- Every other SPI driver is disabled
- New modules:
* NF_LOG_ARP=m
* NF_LOG_IPV4=m
* NF_LOG_IPV6=m
* NFT_BRIDGE_REJECT=m
* NF_LOG_BRIDGE=m
* 6LOWPAN=m
* TABLET_SERIAL_WACOM4=m
* I2C_ACPI=y
* W1_SLAVE_DS2406=m
* SENSORS_POWR1220=m
* SENSORS_TPS40422=m
* SENSORS_TMP103=m
* IR_XMP_DECODER=m
* USB_MSI2500=m
* USB_AIRSPY=m
* VIDEO_SOLO6X10=m
* HID_GT683R=m
* HID_LENOVO=m
* USB_LINK_LAYER_TEST=m
* EDAC_IE31200=m
* RTC_DRV_PCF85063=m
* MFD_MAX8907=m
* VIDEO_SOLO6X10=m
* TOSHIBA_HAPS=m
* EXTCON_SM5502=m
* PHY_ST_SPEAR1310_MIPHY=m
* PHY_ST_SPEAR1340_MIPHY=m
* THUNDERBOLT=m
* CRYPTO_DRBG_MENU=m
- CRYPTO_DRBG_HMAC=y
- CRYPTO_DRBG_HASH=y
- CRYPTO_DRBG_CTR=y
* CRYPTO_DEV_QAT_DH895xCC=m
* CRYPTO_DES3_EDE_X86_64=m
* INTEL_MIC_BUS=m
* INTEL_MIC_X100_DMA=m
* UNISYS_VISORUTIL=m
- UNISYS_VISORCHANNEL=m
- UNISYS_VISORCHIPSET=m
- UNISYS_CHANNELSTUB=m
- UNISYS_UISLIB=m
- UNISYS_VIRTPCI=m
- UNISYS_VIRTHBA=m
- ppc64/ppc64le:
* SENSORS_IBMPOWERNV=m
* KVM_BOOK3S_64_HV=m
* CMA_DEBUG=n
* CMA_AREAS=7 (default)
- s390x:
* IRQ_DOMAIN_DEBUG=n
- commit 7627acb
-------------------------------------------------------------------
Thu Aug 21 17:46:08 CEST 2014 - tiwai@suse.de
- Btrfs: Fix memory corruption by ulist_add_merge() on 32bit arch
(bnc#887046).
- commit b1e2a41
-------------------------------------------------------------------
Thu Aug 21 16:48:07 CEST 2014 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Fix the version variables in the generated
Makefile (bnc#892973)
- commit 8331f47
-------------------------------------------------------------------
Wed Aug 20 17:36:52 CEST 2014 - mmarek@suse.cz
- rpm/compress-vmlinux.sh: Do not duplicate the job of brp-99-compress-vmlinux
- commit e561498
-------------------------------------------------------------------
Wed Aug 20 12:32:25 CEST 2014 - afaerber@suse.de
- config: arm64: Enable RTC_DRV_HID_SENSOR_TIME
For consistency across ARM configs.
This drags in IIO. For consistency, in turn use:
CONFIG_IIO_SIMPLE_DUMMY=m
CONFIG_HID_SENSOR_IIO_TRIGGER=m
CONFIG_IIO_PERIODIC_RTC_TRIGGER=m
CONFIG_IIO_INTERRUPT_TRIGGER=m
CONFIG_IIO_SYSFS_TRIGGER=m
CONFIG_IIO_BUFFER=y
CONFIG_IIO_KFIFO_BUF=m
CONFIG_HID_SENSOR_*=m
- commit 7a6e5f7
-------------------------------------------------------------------
Wed Aug 20 11:15:39 CEST 2014 - afaerber@suse.de
- config: armv6hl: Re-enable DWC2 USB support
The config update/cleanup for v3.16-rc3 mistakenly disabled USB_DWC2,
which is needed for the Raspberry Pi.
Fixes: d2f49e165ca3 ("config: armv6hl: Update config for 3.16-rc3")
- commit cc9f241
-------------------------------------------------------------------
Wed Aug 20 10:40:38 CEST 2014 - afaerber@suse.de
- config: ARM: Change RTC drivers to built-in
Consistently do not build RTC drivers as modules. This fixes subtle
differences between armv7hl default and lpae flavors and avoids any
timing-related driver initialization issues.
To make RTC_DRV_88PM80X built-in, make MFD_88PM800 built-in, too.
To make RTC_DRV_PM8XXX built-in, make MFD_PM8921_CORE built-in, too.
- commit cf526c8
-------------------------------------------------------------------
Mon Aug 18 15:26:34 CEST 2014 - mmarek@suse.cz
- rpm/config.sh: Drop the ARM repo, it is covered by the ports repo
- commit 84ffa90
-------------------------------------------------------------------
Mon Aug 18 15:12:21 CEST 2014 - schwab@suse.de
- rpm/kernel-binary.spec.in: Fix missing quotes around %build_flavor
%build_flavor can be an arbitrary string, so it needs to be quoted for
string comparison.
- commit 0134440
-------------------------------------------------------------------
Mon Aug 18 13:58:23 CEST 2014 - dvaleev@suse.com
- rpm/kernel-obs-build.spec.in: Use dracut for SLE12
- commit ec64eaf
-------------------------------------------------------------------
Mon Aug 18 04:50:46 CEST 2014 - afaerber@suse.de
- config: armv6hl: Enable DMA_BCM2835
Only bcm2835 option missing.
- commit 1fd2cdd
-------------------------------------------------------------------
Mon Aug 18 03:41:01 CEST 2014 - afaerber@suse.de
- config: armv7hl: Enable DWMAC_SUNXI for lpae flavor as well
Without this, the Cubietruck has no network in LPAE mode.
- commit 4f16280
-------------------------------------------------------------------
Sun Aug 17 10:28:54 CEST 2014 - jslaby@suse.cz
- Linux 3.16.1.
- commit 9353bcb
-------------------------------------------------------------------
Wed Aug 13 18:23:31 CEST 2014 - tiwai@suse.de
- Revert "config: armv7hl: Disable OMAP DRM"
This reverts commit f92487dbd7a305531e4cc54c41609b8f77a1bc30.
The previous commit should fix the build error of omapdrm.
- commit 07174c1
-------------------------------------------------------------------
Wed Aug 13 18:23:18 CEST 2014 - tiwai@suse.de
- drm: omapdrm: fix compiler errors.
- commit ee3abc8
-------------------------------------------------------------------
Wed Aug 13 15:20:31 CEST 2014 - afaerber@suse.de
- config: armv7hl: Disable OMAP DRM
To avoid errors such as:
../drivers/gpu/drm/omapdrm/omap_plane.c: In function 'omap_plane_pre_apply':
../drivers/gpu/drm/omapdrm/omap_plane.c:145:2: error: format '%x' expects argument of type 'unsigned int', but argument 5 has type 'dma_addr_t' [-Werror=format=]
DBG("%d,%d %08x %08x", info->pos_x, info->pos_y,
^
../drivers/gpu/drm/omapdrm/omap_plane.c:145:2: error: format '%x' expects argument of type 'unsigned int', but argument 6 has type 'dma_addr_t' [-Werror=format=]
cc1: all warnings being treated as errors
disable OMAP DRM for now.
Two patches were sent upstream by Matwey V. Kornilov but didn't find
their way into v3.16. Once patches are applied, revert this commit.
- commit f92487d
-------------------------------------------------------------------
Wed Aug 13 14:47:17 CEST 2014 - afaerber@suse.de
- config: arm64: Disable ARM64 crypto extensions
To avoid build errors such as:
ld: cannot find arch/arm64/crypto/aes-glue-ce.o: No such file or directory
../scripts/Makefile.build:411: recipe for target 'arch/arm64/crypto/aes-ce-blk.o' failed
disable support for ARM64 crypto extensions for now.
A patch was submitted upstream by Andreas Schwab but didn't make it into
v3.16. Once that is available, this commit should be reverted.
- commit 5f99cfd
-------------------------------------------------------------------
Tue Aug 12 08:50:20 CEST 2014 - schwab@suse.de
- config: arm64/default: make rtc-pl03[01] built-in
- commit 1b1d9da
-------------------------------------------------------------------
Fri Aug 8 23:31:50 CEST 2014 - jeffm@suse.com
- rtsx_usb: export device table (bnc#890096).
- commit a29135e
-------------------------------------------------------------------
Fri Aug 8 12:46:34 CEST 2014 - tiwai@suse.de
- Delete patches.drivers/drm-cirrus-Use-16bpp-as-default (bnc#890599).
- commit 820d92f
-------------------------------------------------------------------
Wed Aug 6 15:37:49 CEST 2014 - jbeulich@suse.com
- Update Xen patches to 3.16-final.
- vscsi: support larger transfer sizes (bnc#774818).
- commit 0e31675
-------------------------------------------------------------------
Tue Aug 5 06:15:41 CEST 2014 - jeffm@suse.com
- nfs: nfs3_list_one_acl(): check get_acl() result with
IS_ERR_OR_NULL (bko#81111 bnc#889790).
- commit 258e3b0
-------------------------------------------------------------------
Tue Aug 5 06:10:37 CEST 2014 - jeffm@suse.com
- nfs: nfs3_list_one_acl(): check get_acl() result with
IS_ERR_OR_NULL (bko#81111 bnc#889790).
- commit c485b2b
-------------------------------------------------------------------
Tue Aug 5 02:47:21 CEST 2014 - jeffm@suse.com
- reiserfs: fix corruption introduced by balance_leaf refactor.
- commit 37d2234
-------------------------------------------------------------------
Mon Aug 4 18:50:24 CEST 2014 - jeffm@suse.com
- config: disable CONFIG_PPC_PS3 on ppc64le
The PS3 hardware is big-endian.
- commit a9046ed
-------------------------------------------------------------------
Mon Aug 4 18:49:10 CEST 2014 - jeffm@suse.com
- config: resync vanilla configs (no actual changes)
- commit 2821f4c
-------------------------------------------------------------------
Mon Aug 4 17:22:51 CEST 2014 - jeffm@suse.com
- Update to 3.16.
- commit bf5b385
-------------------------------------------------------------------
Fri Aug 1 09:16:13 CEST 2014 - jslaby@suse.cz
- Linux 3.15.8.
- Refresh patches.suse/stack-unwind.
- commit 1bbc06d
-------------------------------------------------------------------
Thu Jul 31 14:26:32 CEST 2014 - jkosina@suse.cz
- Refresh patches.fixes/input-add-acer-aspire-5710-to-nomux.patch: update
upstream reference.
- commit 7690e04
-------------------------------------------------------------------
Wed Jul 30 11:38:03 CEST 2014 - jdelvare@suse.de
- Revert "config: disable USB_UAS (bnc#888069)."
This reverts commit f509af67eb3a98665e0134eaadb91e93420d9e04. The uas
driver works fine since kernel v3.15, the problem was that the
module was blacklisted so it would not load when needed.
- commit 9b5a5f0
-------------------------------------------------------------------
Wed Jul 30 08:21:30 CEST 2014 - jbeulich@suse.com
- Update Xen patches to 3.16-rc7 and c/s 1263.
- netbk: Don't destroy the netdev until the vif is shut down
(bnc#881008).
- commit a2720a7
-------------------------------------------------------------------
Tue Jul 29 19:31:29 CEST 2014 - jeffm@suse.com
- config: disable USB_UAS (bnc#888069).
uas is already blacklisted in /etc/modprobe.d/50-blacklist.conf as
being hopelessly broken, but there are parts of usb-storage that are
ifdef'd around it being configured at all. Let's disable it completely.
- commit f509af6
-------------------------------------------------------------------
Tue Jul 29 00:34:50 CEST 2014 - jeffm@suse.com
- Update to 3.16-rc7.
- commit 47ebc04
-------------------------------------------------------------------
Mon Jul 28 21:01:30 CEST 2014 - jslaby@suse.cz
- Linux 3.15.7 (bnc#879071).
- Delete
patches.fixes/don-t-trigger-congestion-wait-on-dirty-but-not-write.patch.
- Update config files.
- commit 972d9a6
-------------------------------------------------------------------
Mon Jul 28 16:59:09 CEST 2014 - schwab@suse.de
- config: arm64/default: increase LOG_BUF_SHIFT to 18
- commit f45208d
-------------------------------------------------------------------
Mon Jul 28 08:04:10 CEST 2014 - lpechacek@suse.cz
- doc/README.SUSE: update Solid Driver team contacts
- commit a90946c
-------------------------------------------------------------------
Thu Jul 24 15:38:05 CEST 2014 - jdelvare@suse.de
- Update config files: disable CONFIG_STE_MODEM_RPROC
I was told by Dmitry Tarnyagin and Linus Walleij that there exists no
public hardware that needs this driver, so there is no point in
building it and shipping it.
- commit 85b815c
-------------------------------------------------------------------
Mon Jul 21 17:32:30 CEST 2014 - jslaby@suse.cz
- Don't trigger congestion wait on dirty-but-not-writeout pages
(bnc#879071).
- commit edc5ddf
-------------------------------------------------------------------
Mon Jul 21 15:03:47 CEST 2014 - jeffm@suse.com
- config: sync vanilla configs
- commit a0523f2
-------------------------------------------------------------------
Mon Jul 21 14:51:21 CEST 2014 - jeffm@suse.com
- Update to 3.16-rc6.
- Eliminated 1 patch.
- commit 2736eea
-------------------------------------------------------------------
Mon Jul 21 11:08:14 CEST 2014 - jdelvare@suse.de
- Update armv7hl config files for 3.16-rc5
New driver CONFIG_USB_EHCI_MSM=m.
- commit f1230bd
-------------------------------------------------------------------
Fri Jul 18 13:47:04 CEST 2014 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Do not sign firmware files (bnc#867199)
- commit 38f105d
-------------------------------------------------------------------
Fri Jul 18 09:19:39 CEST 2014 - jslaby@suse.cz
- Linux 3.15.6.
- commit fdb2dde
-------------------------------------------------------------------
Mon Jul 14 16:29:51 CEST 2014 - jeffm@suse.de
- Update to 3.16-rc5.
- Eliminated 1 patch.
- commit e709184
-------------------------------------------------------------------
Fri Jul 11 08:44:43 CEST 2014 - jdelvare@suse.de
- Update ppc config files: Disable ChromeOS drivers
No Chromebook is based on PowerPC so these drivers are useless on ppc.
- commit aa23827
-------------------------------------------------------------------
Thu Jul 10 10:16:25 CEST 2014 - jslaby@suse.cz
- Update patches.kernel.org/patch-3.15.4-5 (bnc#878489 bnc#882648
bnc#883750).
- Delete
patches.fixes/xhci-Use-correct-SLOT-ID-when-handling-a-reset-devic.patch.
bah, one should run sequence_patch after rebase.
- commit 01d2774
-------------------------------------------------------------------
Wed Jul 9 22:49:06 CEST 2014 - mmarek@suse.cz
- rpm/package-descriptions: Drop descriptions for dropped flavors
- commit aa68ae6
-------------------------------------------------------------------
Wed Jul 9 22:45:03 CEST 2014 - mmarek@suse.cz
- rpm/kernel-binary.spec.in, rpm/post(un).sh: Drop checks for dropped archs/flavors
- commit bd6afed
-------------------------------------------------------------------
Wed Jul 9 22:12:01 CEST 2014 - jslaby@suse.cz
- Linux 3.15.5 (bnc#882648 bnc#883750).
- Refresh patches.xen/xen3-patch-3.6.
- Delete
patches.drivers/drm-i915-HD-audio-Don-t-continue-probing-when-nomode.
- Delete
patches.fixes/cifs-fix-broken-pathnames-with-mapchars.patch.
- commit b151807
-------------------------------------------------------------------
Wed Jul 9 21:55:35 CEST 2014 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: No scriptlets in kernel-zfcpdump
The kernel should not be added to the bootloader nor are there any KMPs.
- commit bcca34b
-------------------------------------------------------------------
Mon Jul 7 21:54:47 CEST 2014 - jslaby@suse.cz
- xhci: Use correct SLOT ID when handling a reset device command
(bnc#878489).
- commit 2b59ae6
-------------------------------------------------------------------
Mon Jul 7 18:11:52 CEST 2014 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Delete the build-id links created by vdso_install
- commit ca040af
-------------------------------------------------------------------
Mon Jul 7 17:43:17 CEST 2014 - jeffm@suse.com
- Update to 3.16-rc4.
- commit 45467d6
-------------------------------------------------------------------
Mon Jul 7 08:37:59 CEST 2014 - jslaby@suse.cz
- Linux 3.15.4.
- commit ca33e5b
-------------------------------------------------------------------
Sun Jul 6 17:50:33 CEST 2014 - afaerber@suse.de
- config: armv7hl: Update configs for 3.16-rc3
* Samsung Exynos is now in default flavor, drop exynos flavor
* Enable Freescale/Xilinx for default flavor only
* Enable Qualcomm/LSI for both default and lpae flavors
* Enable Allwinner A20+ in lpae flavor, A10+ in default flavor
* Enable Hisilicon Hi3xxx in default flavor
* Enable Renesas RZ/A in default flavor
* Enable big.LITTLE switcher module
* Enable PCI for Freescale/Marvell/Nvidia/Samsung
but keep device drivers to minimum, as known boards are mini PCIe only
* Build in some more serial drivers for console use
* Enable some missing USB media device driver modules
* Disable OMAP4 V4L as it cannot be built as module (Matwey K.)
- commit ca6193e
-------------------------------------------------------------------
Sun Jul 6 00:58:54 CEST 2014 - afaerber@suse.de
- config: arm64: Update config for 3.16-rc3
- commit 28b0756
-------------------------------------------------------------------
Sun Jul 6 00:58:53 CEST 2014 - afaerber@suse.de
- config: armv6hl: Update config for 3.16-rc3
- commit d2f49e1
-------------------------------------------------------------------
Fri Jul 4 19:58:38 CEST 2014 - jdelvare@suse.de
- Update config files: Disable CONFIG_DRM_I2C_NXP_TDA998X
Disable the TDA998x driver in all flavors where is not needed.
- commit 267d4c1
-------------------------------------------------------------------
Fri Jul 4 15:02:18 CEST 2014 - mmarek@suse.cz
- kbuild: Do not print the build directory with make -s.
- commit 6bf8303
-------------------------------------------------------------------
Thu Jul 3 16:03:41 CEST 2014 - jbeulich@suse.com
- Update Xen patches to 3.16-rc3 and c/s 1261.
- config.conf: Re-enable Xen configs.
- Update x86 config files.
- commit 56ffa43
-------------------------------------------------------------------
Wed Jul 2 19:05:59 CEST 2014 - jeffm@suse.com
- Delete
patches.fixes/drm-msm-replace-type-of-paddr-to-uint32_t.patch.
- commit 29c1c05
-------------------------------------------------------------------
Wed Jul 2 18:53:43 CEST 2014 - jeffm@suse.com
- Update to 3.16-rc3.
- Eliminated 1 patch.
- commit d86449f
-------------------------------------------------------------------
Tue Jul 1 14:47:46 CEST 2014 - jdelvare@suse.de
- net: fix circular dependency in of_mdio code.
- commit 66576dd
-------------------------------------------------------------------
Tue Jul 1 10:22:35 CEST 2014 - jdelvare@suse.de
- drm/msm: Replace type of paddr to uint32_t.
This fixes the build on armv7l.
- commit d43d97e
-------------------------------------------------------------------
Tue Jul 1 09:23:11 CEST 2014 - jslaby@suse.cz
- Linux 3.15.3.
- Refresh patches.xen/xen3-auto-xen-kconfig.diff.
- Refresh patches.xen/xen3-patch-2.6.37.
- Update config files.
- commit 42bf625
-------------------------------------------------------------------
Mon Jun 30 09:56:55 CEST 2014 - schwab@suse.de
- rpm/kernel-obs-build.spec.in: add support for aarch64
Use Image for kernel_name on aarch64 and add virtio_mmio to KERNEL_MODULES.
- commit 6024dc9
-------------------------------------------------------------------
Fri Jun 27 15:09:03 CEST 2014 - mmarek@suse.cz
- rpm/compress-vmlinux.sh: Compress vmlinux after find-debuginfo.sh (bnc#884459)
- commit 381a192
-------------------------------------------------------------------
Fri Jun 27 10:07:41 CEST 2014 - jslaby@suse.cz
- Linux 3.15.2.
- commit fb7c781
-------------------------------------------------------------------
Thu Jun 26 09:48:44 CEST 2014 - mmarek@suse.cz
- rpm/old-flavors: Obsolete kernel-trace-base by kernel-default
(bnc#884364)
- commit 568f3cc
-------------------------------------------------------------------
Thu Jun 26 09:47:16 CEST 2014 - mmarek@suse.cz
- rpm/old-flavors: Explicitly list subpackages to obsolete
- commit 5595ce2
-------------------------------------------------------------------
Wed Jun 25 14:52:49 CEST 2014 - jbeulich@suse.com
- patches.fixes/bridge-module-get-put.patch,
patches.suse/stack-unwind: Update Patch-mainline tag.
- commit a0f93ad
-------------------------------------------------------------------
Tue Jun 24 21:34:00 CEST 2014 - jeffm@suse.com
- config: disable X86_GOLDFISH
It seems to be built-in and generates loads of messages like:
genirq: Flags mismatch irq 4. 00000000 (serial) vs. 00000080 (goldfish_pdev_bus)
- commit 37599aa
-------------------------------------------------------------------
Tue Jun 24 20:53:39 CEST 2014 - jeffm@suse.com
- patches.suse/stack-unwind: VSYSCALL_START replaced with VSYSCALL_ADDR.
- commit f5ee8e7
-------------------------------------------------------------------
Tue Jun 24 20:10:07 CEST 2014 - jeffm@suse.de
- Update to 3.16-rc2.
- Config changes:
- Generic config options:
* RANDOMIZE_BASE=n (default n)
- With only 9 bits of entropy on 64-bit systems, is it worthwhile?
- System z:
* DIAG288_WATCHDOG=m
- New options specific to ARM (I think), all disabled:
* I2C_RK3X (SoC)
- commit f93db59
-------------------------------------------------------------------
Tue Jun 24 15:32:16 CEST 2014 - ddiss@suse.de
- Refresh patch-mainline tags
patches.fixes/cifs-Do-not-send-ClientGUID-on-SMB2.02-dialect.patch
patches.fixes/cifs-Set-client-guid-on-per-connection-basis.patch
- commit 9b8b77a
-------------------------------------------------------------------
Mon Jun 23 17:10:56 CEST 2014 - jslaby@suse.cz
- asus-wmi: Set WAPF to 4 for Asus X550CA (bnc#882234).
- commit 7d71861
-------------------------------------------------------------------
Mon Jun 23 16:50:48 CEST 2014 - mmarek@suse.cz
- rpm/post.sh: Also check for grub2 config
- commit 0844541
-------------------------------------------------------------------
Mon Jun 23 16:50:15 CEST 2014 - mmarek@suse.cz
- Port ppc64le packaging fixes from 'SLE12' to 'packaging'
- commit c233eb3
-------------------------------------------------------------------
Mon Jun 23 16:28:09 CEST 2014 - ddiss@suse.de
- fs/cifs: Fix broken pathnames with mapchars (bnc#883750).
- commit 44c0fc3
-------------------------------------------------------------------
Mon Jun 23 14:45:53 CEST 2014 - jdelvare@suse.de
- Update config files: Enable Realtek RTL8723AU support
This wireless network chipset is found in hybrid notebooks/tablets
such as the first Lenovo Yoga 13.
- commit 0cf7afd
-------------------------------------------------------------------
Tue Jun 17 11:03:21 CEST 2014 - jslaby@suse.cz
- Linux 3.15.1.
- commit fb8e7ed
-------------------------------------------------------------------
Mon Jun 16 16:40:07 CEST 2014 - jeffm@suse.de
- Update to 3.16-rc1.
- Eliminated 10 patches.
- ARM is disabled.
- Xen is disabled.
- Config changes:
- Generic config options:
* UEVENT_HELPER=y (default y)
- It should be obsolete - needs review
* SGETMASK_SYSCALL=y/n
- default varies by architecture, used default
* DEBUG_PI_LIST=n (default n)
* TRACEPOINT_BENCHMARK=n (default n)
- Only needed if developing tracepoint infrastructure
* TEST_BPF=n (default n)
- New options for existing drivers:
* QLCNIC_HWMON=y (default y)
* ISDN_CAPI_CAPIDRV_VERBOSE=y (helpful messages, cost only in capi.ko)
- New modules:
* SERIAL_SC16IS7XX (i2c)
* SENSORS_SHTC1 (i2c)
* USB_GSPCA_DTCS033 (usb)
* SND_BCD2000 (firewire)
* SND_FIREWORKS (firewire)
* SND_BEBOB (firewire)
* HID_RMI (usb)
* MMC_USDHI6ROL0 (usb)
* MMC_REALTEK_USB (usb)
* MEMSTICK_REALTEK_USB (usb)
* R8192EE (pci)
* INPUT_GPIO_BEEPER (generic gpio)
* NFT_BRIDGE_META (netfilter)
* CAN_GS_USB (USB)
* MTD_SPI_NOR (SPI)
* AMD_XGBE (PCI)
- x86/x86_64:
* DELL_SMO8800=m
* SENSORS_NCT6683=m (found on Intel DQ87PG motherboards)
* INTEL_SOC_DTS_THERMAL=m
* X86_GOLDFISH=y (platform driver, used for virtual Android development)
- KEYBOARD_GOLDFISH_EVENTS=m
- GOLDFISH_TTY=m
- BATTERY_GOLDFISH=m
- MMC_GOLDFISH=m
- GOLDFISH_AUDIO=m
- MTD_GOLDFISH_NAND=m
- GOLDFISH_PIPE=m
* CRYPTO_SKEIN=n (default n)
- staging, only available on 64-bit x86 - usefulness?)
* CRYPTO_THREEFISH=n (same)
* I2C_CROS_EC_TUNNEL=m (also available on ARM)
* X86_16BIT=y (default y, required for wine, minimal runtime savings)
- ppc64le:
* VIRTUALIZATION=y
- KVM_BOOK3S_64=m
- KVM_BOOK3S_64_PR=m
- KVM_XICS=y
- New options specific to ARM (I think), all disabled:
* NFC_ST21NFCA (datasheet says intended for mobile phone use)
* USB_DWC2
* SYSTEMPORT
* KEYBOARD_OMAP4
* PINCTRL_APQ8064
* PINCTRL_IPQ8064
* GENERIC_CPUFREQ_CPU0
* RTC_DRV_XGENE
* MFD_AXP20X
- commit 6ff907a
-------------------------------------------------------------------
Mon Jun 16 13:58:44 CEST 2014 - tiwai@suse.de
- drm/i915, HD-audio: Don't continue probing when nomodeset is
given (bnc#882648).
- commit cc07b60
-------------------------------------------------------------------
Mon Jun 16 11:14:20 CEST 2014 - jdelvare@suse.de
- Update config files: Disable CONFIG_8723AU_P2P
Disable this as it is reported to be totally broken and can cause
a kernel oops.
- commit a014268
-------------------------------------------------------------------
Fri Jun 13 14:04:21 CEST 2014 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Build in a subdirectory of the source tree
So that the build can use relative paths.
- commit 8eb070a
-------------------------------------------------------------------
Tue Jun 10 13:53:14 CEST 2014 - jbeulich@suse.com
- swiotlb: don't assume PA 0 is invalid (bnc#865882).
- Update Xen patches to 3.15 final.
- Update x86-64 Xen config files.
- commit 0a9fc1a
-------------------------------------------------------------------
Tue Jun 10 09:50:42 CEST 2014 - jslaby@suse.cz
- Update config files.
Disable the reverted CONFIG_ACPI_PROCFS_POWER. Nobody seems to
complain, so do not enable it.
- commit 0592a75
-------------------------------------------------------------------
Tue Jun 10 09:10:51 CEST 2014 - jslaby@suse.cz
- Linux 3.14.6 (bnc#880613).
- Delete
patches.drivers/ALSA-hda-Fix-onboard-audio-on-Intel-H97-Z97-chipsets.
- commit f0d0e23
-------------------------------------------------------------------
Mon Jun 9 21:50:14 CEST 2014 - jeffm@suse.de
- Update to 3.15.
- commit 91a9a36
-------------------------------------------------------------------
Thu Jun 5 18:13:28 CEST 2014 - mmarek@suse.cz
- rpm/package-descriptions: kernel-vmi is gone
- rpm/mkspec: Obsolete $flavor-{devel,extra} $flavor-base is obsolete
(bnc#881490)
- rpm/kernel-module-subpackage: Obsolete -trace KMPs (bnc#881490)
- rpm/old-flavors: Obsolete also kernel-trace-base (bnc#881490)
- commit 38d4d09
-------------------------------------------------------------------
Thu Jun 5 15:42:57 CEST 2014 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Do not require hmaccalc on 13.1 and earlier
- commit d7a84f7
-------------------------------------------------------------------
Wed Jun 4 11:56:58 CEST 2014 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Allow find-debuginfo.sh to create vmlinux.debug
- commit 9b3c48f
-------------------------------------------------------------------
Wed Jun 4 08:46:14 CEST 2014 - jslaby@suse.cz
- Linux 3.14.5.
- commit 3ee48e0
-------------------------------------------------------------------
Mon Jun 2 17:56:13 CEST 2014 - tiwai@suse.de
- usb: musb: tusb6010: Use musb->tusb_revision instead of
tusb_get_revision call (bnc#872715).
- usb: musb: tusb6010: Add tusb_revision to struct musb to store
the revision (bnc#872715).
- commit 6141be1
-------------------------------------------------------------------
Mon Jun 2 14:34:56 CEST 2014 - jeffm@suse.de
- Update to 3.15-rc8.
- commit 93f605c
-------------------------------------------------------------------
Mon Jun 2 13:07:44 CEST 2014 - mmarek@suse.cz
- Obsolete kernel-trace by kernel-default
- commit 1eb3f59
-------------------------------------------------------------------
Mon Jun 2 09:48:07 CEST 2014 - jdelvare@suse.de
- Update config files: Disable CONFIG_XO15_EBOOK
The xo15-ebook driver is useless without the rest of OLPC support. So
I left it enabled only in i386/default and i386/vanilla.
- commit 9694fd3
-------------------------------------------------------------------
Fri May 30 10:05:06 CEST 2014 - tiwai@suse.de
- ALSA: hda - Fix onboard audio on Intel H97/Z97 chipsets
(bnc#880613).
- commit 0de0f93
-------------------------------------------------------------------
Wed May 28 17:39:51 CEST 2014 - jdelvare@suse.de
- Update config files: Disable gpio-fan and ntc_thermistor drivers
Disable the gpio-fan and ntc_thermistor hwmon drivers on all
architectures where they are not used.
- commit 96f5b60
-------------------------------------------------------------------
Wed May 28 14:31:02 CEST 2014 - jbeulich@suse.com
- Update Xen patches to 3.15-rc7.
- commit f8382bf
-------------------------------------------------------------------
Tue May 27 15:10:10 CEST 2014 - jeffm@suse.de
- Update to 3.15-rc7.
- Eliminated 1 patch.
- Config changes:
- CX_ECAT=m (default n) for i386/x86_64
- The vendor supplying this hardware only uses 32/64-bit Atom CPUs.
This may need to be revisited if the hardware extends to different
architectures (ARM, probably).
- SENSORS_NTC_THERMISTOR=m (default n)
- Sensor chip that could be found essentially anywhere.
- commit e9c45a3
-------------------------------------------------------------------
Mon May 26 18:56:29 CEST 2014 - ddiss@suse.de
- cifs: fix client guid reuse logic
Client guid reuse across multiple protocol versions causes mount
failures against Windows 2012 servers (bnc#879932).
- patches.fixes/cifs-Set-client-guid-on-per-connection-basis.patch
- patches.fixes/cifs-Do-not-send-ClientGUID-on-SMB2.02-dialect.patch
- commit 289f0e9
-------------------------------------------------------------------
Fri May 23 15:27:33 CEST 2014 - jeffm@suse.de
- config: sync vanilla configs for 3.15-rc6.
- commit acf7dab
-------------------------------------------------------------------
Fri May 23 15:13:50 CEST 2014 - jeffm@suse.de
- Update to 3.15-rc6.
- Config changes:
- ACPI_PROCFS_POWER=y (default n)
- Should eventually be =n once userspace tools have been audited.
- commit d2be7e3
-------------------------------------------------------------------
Fri May 23 14:37:40 CEST 2014 - jdelvare@suse.de
- Update ppc config files: Disable SH_MOBILE media drivers
These are useless on PowerPC.
- commit cacd723
-------------------------------------------------------------------
Fri May 23 12:37:24 CEST 2014 - ddiss@suse.de
- Update config files: enable CONFIG_CIFS_SMB2
cifs.ko is currently built with support for the base SMB1/CIFS dialect
only. SMB1/CIFS support can be disabled in Windows 8.1 and Windows
Server 2012 R2, and is completely unsupported with the Microsoft Azure
File service, leaving cifs.ko users unable to access files on such
servers without this change (fate#317480).
- commit 837860b
-------------------------------------------------------------------
Fri May 23 11:27:56 CEST 2014 - jdelvare@suse.de
- Update config files: Disable remaining R-CAR drivers
These drivers are useless except on arm/shmobile.
- commit 2dd69e8
-------------------------------------------------------------------
Wed May 21 19:34:45 CEST 2014 - jdelvare@suse.de
- Fix a typo in config/ppc/vanilla, sorry
- commit cc68f12
-------------------------------------------------------------------
Wed May 21 19:18:25 CEST 2014 - jdelvare@suse.de
- Update config files: Disable unused RTC platform drivers
- commit 85c0b8b
-------------------------------------------------------------------
Wed May 21 15:48:03 CEST 2014 - jdelvare@suse.de
- Update config files: Disable the rtc-moxart driver
This driver is only needed on Moxa ART systems, which we do not
support.
- commit 9939e8f
-------------------------------------------------------------------
Tue May 20 15:14:35 CEST 2014 - jbeulich@suse.com
- supported.conf: Take care of a few driver renames/splits/etc.
- commit ed5e591
-------------------------------------------------------------------
Tue May 20 08:21:20 CEST 2014 - jbeulich@suse.com
- Update Xen config files: Set compatibility level back to 4.1
(bnc#851338).
- commit 22da852
-------------------------------------------------------------------
Mon May 19 17:13:58 CEST 2014 - jdelvare@suse.de
- Update armv7hl/default config file: CONFIG_DRM_PTN3460=y
Align on what exynos has, in anticipation of both files being
merged someday.
- commit 8ba853c
-------------------------------------------------------------------
Mon May 19 17:12:24 CEST 2014 - jdelvare@suse.de
- Update config files: Disable DRM_PTN3460
Currently it's only needed on arm/exynos.
- commit 6f380e7
-------------------------------------------------------------------
Mon May 19 14:33:16 CEST 2014 - jdelvare@suse.de
- Update armv7hl/exynos config file: CONFIG_DRM_PTN3460=y
DRM_EXYNOS_DP depends on DRM_PTN3460, and we have the former built-in,
so the latter must be built-in as well. Otherwise we get a linker
failure like:
.../linux-3.15-rc5/drivers/gpu/drm/exynos/exynos_dp_core.c:1004:
undefined reference to `ptn3460_init'
- commit bb0a6e6
-------------------------------------------------------------------
Fri May 16 22:05:47 CEST 2014 - jdelvare@suse.de
- Update config files: Disable all EG20T drivers except on i386
EG20T (aka Topcliff PCH) is a chipset for 32-bit x86 processors, so
we only need these drivers on i386.
- commit 923c7d2
-------------------------------------------------------------------
Fri May 16 15:58:47 CEST 2014 - mhocko@suse.cz
- memcg: fix swapcache charge from kernel thread context (bnc#878306).
- commit 8eaaed7
-------------------------------------------------------------------
Fri May 16 14:47:44 CEST 2014 - jdelvare@suse.de
- Update arm64 config file to fix the build
- commit beb76a8
-------------------------------------------------------------------
Fri May 16 12:46:15 CEST 2014 - afaerber@suse.de
- config: armv6hl: Update config to 3.15-rc5
- commit 15546e3
-------------------------------------------------------------------
Fri May 16 12:46:14 CEST 2014 - afaerber@suse.de
- config: armv7hl: Update configs to 3.15-rc5
- commit de0d16f
-------------------------------------------------------------------
Thu May 15 18:23:06 CEST 2014 - jdelvare@suse.de
- Update armv7hl/lpae config file: Enable SERIAL_TEGRA
Despite what the Kconfig text says, the serial-tegra driver works on
all Tegra platforms.
- commit 222a09f
-------------------------------------------------------------------
Thu May 15 17:39:27 CEST 2014 - jdelvare@suse.de
- Update ppc64le config files: Disable DA903x support completely
It makes no sense to support some sub-drivers and not the rest.
- commit 269e033
-------------------------------------------------------------------
Thu May 15 17:02:27 CEST 2014 - duwe@suse.de
- Update config files:
i386/x86_64/ppc64/ppc64le disable:
timeriomem-rng
pwm-pca9685
unsupported RTC drivers
ssd1307fb/da903x_bl
- commit 7725871
-------------------------------------------------------------------
Wed May 14 18:41:03 CEST 2014 - afaerber@suse.de
- config: arm64: Update config for 3.15
- commit 2d96bf1
-------------------------------------------------------------------
Wed May 14 12:01:16 CEST 2014 - jbeulich@suse.com
- Update Xen patches to 3.15-rc5.
- privcmd: actually batch hypercalls for batch ioctls.
- Refresh patches.xen/xen-blkfront-indirect (bnc#876786).
- config.conf: Re-enable Xen configs.
- Update x86 config files.
- commit 9ebd57d
-------------------------------------------------------------------
Wed May 14 11:47:08 CEST 2014 - jbeulich@suse.com
- Refresh patches.suse/stack-unwind (dump_trace_unwind() can be static).
- commit 47a05b6
-------------------------------------------------------------------
Tue May 13 18:16:15 CEST 2014 - jdelvare@suse.de
- Update ec2 config files: Disable CAN support
It was enabled by accident.
- commit 4f49dd9
-------------------------------------------------------------------
Tue May 13 14:36:01 CEST 2014 - jslaby@suse.cz
- Linux 3.14.4 (bnc#871252 bnc#875690 CVE-2014-0196).
- Refresh patches.xen/xen-pcpu.
- Delete
patches.fixes/n_tty-Fix-n_tty_write-crash-when-echoing-in-raw-mode.patch.
- commit bebeb6f
-------------------------------------------------------------------
Tue May 13 08:44:43 CEST 2014 - jbeulich@suse.com
- patches.suse/stack-unwind: Drop bogus (redundant) asmlinkage from
unwind_init_running() definition.
- commit d2673c3
-------------------------------------------------------------------
Mon May 12 18:45:00 CEST 2014 - tiwai@suse.de
- pvpanic: Set high notifier priority (bnc#875220).
- commit da0842c
-------------------------------------------------------------------
Mon May 12 18:28:31 CEST 2014 - tiwai@suse.de
- fbcon: Clean up fbcon data in fb_info on FB_EVENT_FB_UNBIND
with 0 fbs (bnc#875687).
- commit b8d699a
-------------------------------------------------------------------
Mon May 12 15:27:30 CEST 2014 - jeffm@suse.de
- Update to 3.15-rc5.
- Eliminated 2 patches.
- Config changes:
- CAN_C_CAN_STRICT_FRAME_ORDERING=n (default)
- commit 7f2c6bf
-------------------------------------------------------------------
Mon May 12 10:15:01 CEST 2014 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Do not keep debug symbols in vmlinux-*.gz
(bnc#877267)
- commit f928d60
-------------------------------------------------------------------
Wed May 7 15:45:30 CEST 2014 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Package .vmlinuz-*.hmac as well
- commit 3375bd7
-------------------------------------------------------------------
Wed May 7 14:48:12 CEST 2014 - jdelvare@suse.de
- Update x86 config files: Disable unused CAN drivers
Disable platform, grcan and tscan1 CAN drivers. Disable ISA and EG20T
CAN drivers on x86_64. These are believed to be useless, and will
only be enabled upon explicit request.
- commit dab25a2
-------------------------------------------------------------------
Wed May 7 13:56:06 CEST 2014 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Exclude /boot/symtypes* from the base/main package
Also, get rid of the comparison of the base and devel filelist.
- commit f1e303f
-------------------------------------------------------------------
Wed May 7 12:02:52 CEST 2014 - tiwai@suse.de
- ALSA: hda - Add dock pin setups for Thinkpad T440 (bnc#876699).
- commit efc8676
-------------------------------------------------------------------
Wed May 7 12:00:14 CEST 2014 - tiwai@suse.de
- ALSA: hda - Add dock pin setups for Thinkpad T440 (bnc#876699).
- commit 8292525
-------------------------------------------------------------------
Tue May 6 22:19:39 CEST 2014 - jslaby@suse.cz
- Linux 3.14.3.
- commit 2a280a3
-------------------------------------------------------------------
Tue May 6 18:12:01 CEST 2014 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Fix packaging of vmlinux debuginfo
(bnc#875946)
Add the uncompressed vmlinux image as ghost file to the main package, so
that the vmlinux debuginfo generated by rpm natively and put into the
right package.
- commit 26b7a64
-------------------------------------------------------------------
Tue May 6 17:29:41 CEST 2014 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Simplify filelist for files in /boot
- commit ef040ff
-------------------------------------------------------------------
Mon May 5 13:42:10 CEST 2014 - mmarek@suse.cz
- Enable CONFIG_INTEL_TXT on i586 as well (fate#311820, fate#311962,
bnc#707666, bnc#875575)
- commit 403ada2
-------------------------------------------------------------------
Mon May 5 12:33:08 CEST 2014 - jslaby@suse.cz
- Refresh
patches.fixes/n_tty-Fix-n_tty_write-crash-when-echoing-in-raw-mode.patch.
Add commit SHA
- commit 4f0c42a
-------------------------------------------------------------------
Mon May 5 10:24:08 CEST 2014 - jslaby@suse.cz
- n_tty: Fix n_tty_write crash when echoing in raw mode
(bnc#871252 bnc#875690 CVE-2014-0196).
- commit e72b790
-------------------------------------------------------------------
Tue Apr 29 18:00:21 CEST 2014 - guillaume.gardet@oliseo.fr
- Re-eanble ARM kernel in config.conf file and update all ARM configs:
* config/arm64/default:
- Add SATA/PATA support
- Add voltage and regulator support
* config/armv6hl/default:
- Add external I2C controllers
- Add usermode SPI driver
* config/armv7hl/default:
- Add I2C support for Tegra SoC
- Add external I2C controllers
- Add SPI, GPIO and watchdog support to Davinci/Keystone SoC
- Add RTC driver for Tegra and sunxi SoC
- Enhance nVidia Tegra support with MFD_NVEC and KEYBOARD_TEGRA
- Add OMAP4 video input support
- Add IR receiver
- Add iMX HDMI support
- Add Serial support for Tegra SoC
* config/armv7hl/exynos:
- Trivial update
* config/armv7hl/lpae:
- Add I2C support for Tegra SoC
- Add external I2C controllers
- Add SPI, GPIO and watchdog support to Davinci/Keystone SoC
- Remove unused Terga20/Tegra30 SPI support
- Add RTC driver for Tegra and sunxi SoC
- Enhance nVidia Tegra supprt with MFD_NVEC and KEYBOARD_TEGRA
- Add IR receiver
- Add iMX HDMI support
- commit fcd7b3d
-------------------------------------------------------------------
Tue Apr 29 17:29:42 CEST 2014 - jeffm@suse.com
- Update to 3.15-rc3.
- commit 62b5593
-------------------------------------------------------------------
Tue Apr 29 17:25:05 CEST 2014 - jeffm@suse.com
- config: sync PCI changes to s390x/vanilla
- commit 807a685
-------------------------------------------------------------------
Tue Apr 29 17:23:00 CEST 2014 - jdelvare@suse.de
- Update arm config files: Enable all USB-to-serial drivers
Specifically, enable USB_SERIAL_WISHBONE, USB_SERIAL_MXUPORT and
USB_SERIAL_QT2 on all arm flavors.
- commit 589f44f
-------------------------------------------------------------------
Tue Apr 29 14:34:53 CEST 2014 - jdelvare@suse.de
- Update arm64 config file: Disabled 3 unneeded drivers
Align the arm64 config file with the rest of the config files:
CONFIG_ATMEL_SSC is not set
CONFIG_FB_TMIO is not set
CONFIG_FB_GOLDFISH is not set
- commit aaf60ce
-------------------------------------------------------------------
Tue Apr 29 13:52:17 CEST 2014 - jdelvare@suse.de
- Update config files: Enable CONFIG_USB_SERIAL_SIMPLE
This is a unified driver replacing several drivers we were shipping
so far, so we should ship it too.
- commit 0d558c9
-------------------------------------------------------------------
Tue Apr 29 12:13:23 CEST 2014 - mmarek@suse.cz
- Enable CONFIG_INTEL_TXT on x86_64 (fate#311820, fate#311962,
bnc#707666, bnc#875575)
- commit 8f9c4ac
-------------------------------------------------------------------
Mon Apr 28 15:04:49 CEST 2014 - jeffm@suse.com
- unwind: remove check for vdso32 compat range.
This fixes a build failure introduced in 3.15-rc1.
- commit 66cfb7b
-------------------------------------------------------------------
Mon Apr 28 13:12:23 CEST 2014 - mmarek@suse.cz
- rpm/kernel-obs-build.spec.in: Require only perl-Bootloader
- commit 573fc1b
-------------------------------------------------------------------
Mon Apr 28 10:43:14 CEST 2014 - mmarek@suse.cz
- rpm/kernel-obs-qa.spec.in: Use per-flavor path for the logfile
- commit 717e968
-------------------------------------------------------------------
Mon Apr 28 00:08:49 CEST 2014 - jeffm@suse.com
- powerpc: export flush_icache_range.
- commit f47acdb
-------------------------------------------------------------------
Sun Apr 27 23:45:17 CEST 2014 - jeffm@suse.com
- s390: enable PCI to match SLE12 kernel configs
- PCI=y
- HOTPLUG_PCI=y
- HOTPLUG_PCI_S390=y
- PCI_IOV=y
- INFINIBAND=m
- INFINIBAND_USER_ACCESS=m
- MLX4_INFINIBAND=m
- MLX4_EN=m
- RDS_RDMA=m
New devices since 3.12:
- MLX5_CORE=m
- MLX5_INFINIBAND=m
- SUNRPC_XPRT_RDMA_CLIENT=m
- SUNRPC_XPRT_RDMA=m
- SUNRPC_XPRT_RDMA_SERVER=m
- All others defaulted to No.
- commit ae2780e
-------------------------------------------------------------------
Sun Apr 27 22:28:05 CEST 2014 - jeffm@suse.com
- s390: add slab.h for kzalloc/kfree.
- commit 7e349ea
-------------------------------------------------------------------
Sun Apr 27 20:46:18 CEST 2014 - jeffm@suse.com
- patches.suse/ovl05-overlay-filesystem.patch: fix vfs_rename call due to changed prototype
- commit 0a26d0b
-------------------------------------------------------------------
Sun Apr 27 20:19:38 CEST 2014 - jeffm@suse.de
- Update to 3.15-rc2.
- Config changes:
- Generic config options:
* IPMI_SI_PROBE_DEFAULTS=y (default=n)
- older hardware only works with boot option
- New options specific to ARM:
* PINCTRL_BCM281XX=n (default)
- commit c35b255
-------------------------------------------------------------------
Sun Apr 27 20:01:46 CEST 2014 - jeffm@suse.de
- Update to 3.15-rc1.
- Xen is disabled.
- ARM is disabled.
- Eliminated 3 patches.
- Config changes:
- Generic config options:
* USELIB=y (default) - only used for libc5 users, do we care?
* SYSFS_SYSCALL=y (default) - libc doesn't even supported it anymore,
do we care?
* ACPI_REDUCED_HARDWARE_ONLY=n (default)
* CGROUP_NET_PRIO=y (new feature, do we want it?)
* LOCK_TORTURE_TEST=n (default)
* EVM=n (default)
- New options for existing drivers:
* BATMAN_ADV_MCAST=y (default=n) -- optimization w/ better reliability
* BT_6LOWPAN=y (default=n) -- new feature
* MTD_UBI_BLOCK=n (default -- do we even care about UBI?)
* ZRAM_LZ4_COMPRESS=y (new feature)
* BE2NET_VXLAN=y (default)
* MLX4_EN_VXLAN=y (default)
* QLCNIC_VXLAN=y (default=n) - enabled for other drivers
* IWLWIFI_BCAST_FILTERING=n (default)
- New modules:
* IP_SET_HASH_IPMARK
* INTEL_MEI_TXE
* DM_ERA
* ALTERA_TSE
* RTL8723BE
* RSI_91X
- RSI_DEBUGFS=n
- RSI_SDIO=m
- RSI_USB=m
* INPUT_PWM_BEEPER
* SENSORS_LTC2945
* SENSORS_LTC4222
* SENSORS_LTC4260
* SENSORS_ADC128D818
* MFD_RTSX_USB
* IR_SHARP_DECODER
* IR_IMG
- IR_IMG_RAW=n (documented as performance degrading and for debugging)
- IR_IMG_NEC=y
- IR_IMG_JVC=y
- IR_IMG_SONY=y
- IR_IMG_SHARP=y
- IR_IMG_SANYO=y
* DRM_PTN3460
* USB_UAS
* DVB_RTL2832_SDR (USB)
* ALIENWARE_WMI
* PWM_LPSS
* SUNRPC_XPRT_RDMA_CLIENT=m (default)
* SUNRPC_XPRT_RDMA_SERVER=m (default)
- x86_64:
* EFI_MIXED=n (default) -- do we use a bootloader that can support it?
- ppc/ppc64le:
* HV_PERF_CTRS=y (default)
* POWERNV_CPUFREQ=y (default)
- Probably ARM but looks generic, all modules:
* SPMI
* GPIO_DWAPB
* HID_CP2112
* MFD_TPS65218
* GPIO_SYSCON (depends on MFD_SYSCON, which looks to be only used by ARM)
- New options specific to ARM (I think), all disabled:
* IMX_THERMAL
* AHCI_IMX
* PWM_FSL_FTM
* OMAP_CONTROL_PHY
* PHY_SAMSUNG_USB2
* FSL_EDMA
* XILINX_WATCHDOG
* GS_FPGABOOT
* BCMGENET
* SXGBE_ETH
* MFD_BCM590XX
* BCM7XXX_PHY
- New options specific to tablets, all disabled:
* INPUT_SOC_BUTTON_ARRAY (windows tablet)
* R8723AU (Lenovo Yogi)
- Other weird hardware:
* CONFIG_MCB=n (specific to MEN Mikroelektronik FGPA devices)
- Changes undocumented here were changed automatically.
- commit c0815d2
-------------------------------------------------------------------
Sun Apr 27 14:16:13 CEST 2014 - jslaby@suse.cz
- Linux 3.14.2.
- commit 91d5ef4
-------------------------------------------------------------------
Thu Apr 24 17:02:34 CEST 2014 - jdelvare@suse.de
- Update config files: Disable CONFIG_NFC on s390x and ppc64le
NFC support makes little sense on server-class hardware.
- commit 5cbf723
-------------------------------------------------------------------
Thu Apr 24 00:37:16 CEST 2014 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Do not store the buildroot in the .hmac file
- commit ce5bd7a
-------------------------------------------------------------------
Thu Apr 24 00:00:17 CEST 2014 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Add hmaccalc to buildrequires
- commit 9cca2fa
-------------------------------------------------------------------
Wed Apr 23 22:45:04 CEST 2014 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Generate a hmac singature for the kernel
(fate#316930, bnc#856310)
- commit 2659f6f
-------------------------------------------------------------------
Wed Apr 23 22:41:58 CEST 2014 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Drop conditionals for -kdump and -ps3
- commit 01fab08
-------------------------------------------------------------------
Wed Apr 23 16:22:27 CEST 2014 - adrian@suse.de
- require kernel-obs-build
It must be also configured as VMinstall, but is a build requires
as well to avoid that qa and build package build parallel after kernel-default
or kernel-xen have changed.
- commit 7a16a4e
-------------------------------------------------------------------
Wed Apr 23 15:44:45 CEST 2014 - adrian@suse.de
- improve error logging in case the kernel module could not get loaded
- commit 3811667
-------------------------------------------------------------------
Wed Apr 23 15:39:52 CEST 2014 - adrian@suse.de
- add block device modules for xen kernel-obs-build image
- commit 04fbb81
-------------------------------------------------------------------
Wed Apr 23 11:45:24 CEST 2014 - jdelvare@suse.de
- Update arm64 config file
I'm an idiot :-(
- commit 6e9f0a1
-------------------------------------------------------------------
Wed Apr 23 11:10:50 CEST 2014 - jdelvare@suse.de
- Update arm64 config file: Disable CONFIG_IIO
It is disabled in all other non-ARM configuration files.
- commit 8cbbb4c
-------------------------------------------------------------------
Tue Apr 22 23:13:39 CEST 2014 - jdelvare@suse.de
- Update arm64 config file: Disable CONFIG_RTC_DRV_HID_SENSOR_TIME
It forcibly selects CONFIG_IIO, which we don't want.
- commit 9afef89
-------------------------------------------------------------------
Tue Apr 15 16:54:59 CEST 2014 - jdelvare@suse.de
- Update arm config files: Disable Cadence network drivers
Disable ARM_AT91_ETHER in all arm config files, it is only needed on
Atmel AT91 which we don't support.
CONFIG_MACB is useful on armv6hl/default (for ARCH_PICOXCELL) and
armv7hl/default (for ARCH_ZYNQ.) Disable it in all other config files.
- commit 43063d0
-------------------------------------------------------------------
Tue Apr 15 13:52:03 CEST 2014 - jdelvare@suse.de
- Disable CONFIG_NET_CADENCE on non-ARM
- commit 00acb46
-------------------------------------------------------------------
Tue Apr 15 09:10:49 CEST 2014 - guillaume.gardet@opensuse.org
- Update armv7hl configs
* config/armv7hl/default :
- Switch CONFIG_PHYLIB from =m to =y (fix Ethernet on iMX6 boards)
- Enable CONFIG_USB_DWC3* to add USB3 support for keystone SoC only
- Enable CONFIG_USB_CHIPIDEA* to add USB support for iMX boards
* config/armv7hl/exynos :
- Enable CONFIG_USB_DWC3* to add USB3 support for Exynos SoC only
* config/armv7hl/lpae :
- Switch CONFIG_PHYLIB from =m to =y (fix Ethernet on iMX6 boards)
- Enable CONFIG_USB_DWC3* to add USB3 support for keystone boards
- Enable CONFIG_USB_CHIPIDEA* to add USB support for iMX boards
- commit 4337939
-------------------------------------------------------------------
Mon Apr 14 23:26:52 CEST 2014 - mmarek@suse.cz
- Add kernel-obs-qa-xen.spec
- commit f73cebd
-------------------------------------------------------------------
Mon Apr 14 22:10:50 CEST 2014 - jslaby@suse.cz
- Update config files.
- commit 13cc815
-------------------------------------------------------------------
Mon Apr 14 21:23:17 CEST 2014 - jslaby@suse.cz
- Linux 3.14.1.
- commit 117c27b
-------------------------------------------------------------------
Fri Apr 11 11:18:16 CEST 2014 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Only sign the kernel on x86_64
- commit e0d4304
-------------------------------------------------------------------
Thu Apr 10 19:35:43 CEST 2014 - jeffm@suse.com
- config: eliminate trace flavor
With the tracing options synced to the other flavors, we can eliminate
the trace flavor entirely.
This implicitly disables the following options that used to be set
in the trace flavors:
IRQSOFF_TRACER: carries a substantial runtime overhead
MMIOTRACE: really only needed for driver development
FTRACE_SELFTEST: introduces noticible delays during boot
FTRACE_STARTUP_TEST: introduces noticible delays during tracer startup
- commit 8020846
-------------------------------------------------------------------
Thu Apr 10 19:34:44 CEST 2014 - jeffm@suse.com
- config: sync RING_BUFFER_BENCHMARK=m on s390x configs
It is enabled as a module on every other config.
- commit 0b42220
-------------------------------------------------------------------
Thu Apr 10 19:27:20 CEST 2014 - jeffm@suse.com
- config: enable STACK_TRACER on all flavors
It carries no runtime overhead when disabled (and it is by default).
- commit fea9446
-------------------------------------------------------------------
Thu Apr 10 19:22:39 CEST 2014 - jeffm@suse.com
- config: enable FTRACE_SYSCALLS on all flavor files
It incurs no runtime overhead other than a few KB of memory.
- commit 3427d84
-------------------------------------------------------------------
Thu Apr 10 19:20:39 CEST 2014 - jeffm@suse.com
- config: enable SCHED_TRACER on all flavors
It doesn't have any runtime overhead except for a few KB of memory.
- commit 9125183
-------------------------------------------------------------------
Thu Apr 10 19:07:04 CEST 2014 - jeffm@suse.com
- config: enable ftrace features on all flavors
We enable the following function tracing options:
- FUNCTION_TRACER
- DYNAMIC_FTRACE
- FUNCTION_PROFILER
- FUNCTION_GRAPH_TRACER
With dynamic ftrace, there is very little runtime overhead.
FUNCTION_GRAPH_TRACER is disabled only on ARM because it enables
frame pointers there, so there is a real runtime cost even when it
is disabled.
- commit ce4047b
-------------------------------------------------------------------
Thu Apr 10 16:08:01 CEST 2014 - mmarek@suse.cz
- Generate kernel-obs-*.spec from templates
- commit b2e5f7c
-------------------------------------------------------------------
Wed Apr 9 10:33:35 CEST 2014 - jdelvare@suse.de
- Update debug config files: enable TTY_PRINTK
Enabled only in debug kernels for now, as it can't be modular yet.
Once it can be built as a module, we can enable it in other flavors
as well.
- commit 043a9a9
-------------------------------------------------------------------
Tue Apr 8 15:40:52 CEST 2014 - jdelvare@suse.de
- Update arm64 config file: disable CONFIG_USB_DWC3_KEYSTONE
This driver is only needed on Texas Instruments Keystone2 platforms.
- commit 4e4e502
-------------------------------------------------------------------
Tue Apr 8 15:39:57 CEST 2014 - jdelvare@suse.de
- Update ppc config files: disble HW_RANDOM_ATMEL
This driver is only needed on Atmel AT91 systems.
- commit 2cac896
-------------------------------------------------------------------
Tue Apr 8 15:38:28 CEST 2014 - jdelvare@suse.de
- Update arm/exynos config file: disable AHCI_IMX
This driver is only used on Freescale i.MX systems so it isn't needed
on Exynos.
- commit 45d6387
-------------------------------------------------------------------
Tue Apr 8 15:16:36 CEST 2014 - jdelvare@suse.de
- Update arm config files: Disable exynos support
Disable exynos support in all non-exynos configuration files, as we
have a dedicated kernel flavor for exynos.
- commit c65cb20
-------------------------------------------------------------------
Fri Apr 4 16:59:35 CEST 2014 - mmarek@suse.cz
- rpm/kernel-module-subpackage: Regenerated the initrd in %posttrans
(fate#313506)
- commit c0b6219
-------------------------------------------------------------------
Fri Apr 4 16:42:45 CEST 2014 - jbeulich@suse.com
- Refresh Xen patches (bnc#870874, bnc#871827).
- commit 00dd4e3
-------------------------------------------------------------------
Fri Apr 4 09:39:59 CEST 2014 - jdelvare@suse.de
- Update x86_64 config files: disable CONFIG_SBC8360_WDT
The sbc8360 driver is for a Socket 370-based single-board computer,
so it's useless on x86-64.
- commit 47efdd9
-------------------------------------------------------------------
Fri Apr 4 09:13:48 CEST 2014 - adrian@suse.de
- fix building initrd for SLE 12
It does provided and load the critical kernel modules
for building some kiwi images and cross architecture
builds like used for aarch64.
The kernel-obs-build.spec still contains the bits to allow
to use it also for SLE 11.
- commit e9868b7
-------------------------------------------------------------------
Thu Apr 3 18:43:52 CEST 2014 - guillaume.gardet@oliseo.fr
- Refresh patches.rpmify/chipidea-clean-up-dependencies
Replace OF_DEVICE by OF (OF_DEVICE does not exist anymore.)
- commit 299b9cd
-------------------------------------------------------------------
Thu Apr 3 17:44:56 CEST 2014 - duwe@suse.de
- Refresh patches.suse/pstore-backend-autoaction.
Fix valid gcc warnings about an unitialised return code
and printf format problems.
- commit 73e63e4
-------------------------------------------------------------------
Thu Apr 3 15:17:49 CEST 2014 - jdelvare@suse.de
- Update config files: disable all of Timberdale support
Timberdale is a companion chip for Atom CPUs in embedded in-car
infotainment systems. We don't need that in openSUSE, really.
- commit 47e54c8
-------------------------------------------------------------------
Wed Apr 2 18:16:22 CEST 2014 - jdelvare@suse.de
- Update config files: disable CONFIG_I2C_SI470X
I2C access to the SI470X radio chip is only needed on embedded systems
so it makes no sense to enable it in most of our kernel configuration
files.
- commit b60e271
-------------------------------------------------------------------
Wed Apr 2 12:43:32 CEST 2014 - jbeulich@suse.com
- Refresh patches.xen/xen3-patch-3.7 (fix another AR build issue).
- commit 59b27eb
-------------------------------------------------------------------
Wed Apr 2 09:28:39 CEST 2014 - jdelvare@suse.de
- Update config files: re-enable twofish crypto support
Software twofish crypto support was disabled in several architectures
since openSUSE 10.3. For i386 and x86_64 it was on purpose, because
hardware-accelerated alternatives exist. However for all other
architectures it was by accident.
Re-enable software twofish crypto support in all configuration files,
to guarantee that at least one implementation is always available,
(bnc#871325).
- commit 8f37fd4
-------------------------------------------------------------------
Wed Apr 2 09:24:16 CEST 2014 - mmarek@suse.cz
- rpm/kernel-syms.spec.in: Require pesign-obs-integration for signing KMPs
- commit e68d5fc
-------------------------------------------------------------------
Tue Apr 1 21:14:35 CEST 2014 - jslaby@suse.cz
- Delete patches.arch/arm-omap3isp.patch.
superseded by 31036441d785359999e45bf410c778f64ffcab64
- commit fd18f9e
-------------------------------------------------------------------
Tue Apr 1 17:20:06 CEST 2014 - ohering@suse.de
- Fix typo in netfront, in type check of unplug code
- commit 6623a43
-------------------------------------------------------------------
Tue Apr 1 14:36:47 CEST 2014 - jdelvare@suse.de
- Update config files: disable CONFIG_TOUCHSCREEN_W90X900
The w90p910_ts driver only makes sense on the W90x900 architecture,
which we do not support.
- commit 4ebef59
-------------------------------------------------------------------
Tue Apr 1 14:33:41 CEST 2014 - jbeulich@suse.com
- Update Xen patches to 3.14-final and c/s 1256.
- blkback: allow using indirect request segment descriptors
(fate#316871).
- blkfront: allow using indirect request segment descriptors
(fate#316871).
- commit fbd23cd
-------------------------------------------------------------------
Tue Apr 1 08:53:56 CEST 2014 - jdelvare@suse.de
- Update config files: CONFIG_HID_BATTERY_STRENGTH=y
CONFIG_HID_BATTERY_STRENGTH was already enabled on i386, x86_64 and
most of arm. Enable this feature on all other architectures which can
benefit from it.
- commit aec4432
-------------------------------------------------------------------
Mon Mar 31 15:15:36 CEST 2014 - jeffm@suse.de
- Update to 3.14.
- commit 5795bd9
-------------------------------------------------------------------
Fri Mar 28 18:34:36 CET 2014 - jdelvare@suse.de
- Update i386/desktop config file: enable CONFIG_RCU_FAST_NO_HZ
All other x86 kernels have CONFIG_RCU_FAST_NO_HZ enabled so the
i386/desktop kernel should too.
- commit 05ea8f5
-------------------------------------------------------------------
Fri Mar 28 18:25:28 CET 2014 - jdelvare@suse.de
- Update ppc config files: adjust filesystems modularity
Build reiserfs, fat and vfat support as modules as we do on every
other architecture. Also align the reiserfs debugging features on
x86_64.
- commit 0985b71
-------------------------------------------------------------------
Wed Mar 26 10:22:42 CET 2014 - jdelvare@suse.de
- Update ec2 config files: disable HID device drivers
I don't think the HID drivers for non-standard keyboards and mice
are needed at all on EC2.
- commit 9fdef61
-------------------------------------------------------------------
Tue Mar 25 17:14:55 CET 2014 - jeffm@suse.com
- Update to 3.14-rc8.
- commit b356c6a
-------------------------------------------------------------------
Tue Mar 25 16:59:27 CET 2014 - jdelvare@suse.de
- Update x86 config files: enable CONFIG_HID_BATTERY_STRENGTH
Looks like a cool feature to support on desktop systems. As it has
to be built-in, I'm only enabling it on x86 for now, because I don't
know for sure how useful it would be on other architectures. Feel
free to enable on any other architecture where it would be useful.
- commit 1edfe71
-------------------------------------------------------------------
Tue Mar 25 15:53:35 CET 2014 - jdelvare@suse.de
- Update ec2 config files: disable CONFIG_INPUT_FF_MEMLESS
This helper module is useless on EC2.
- commit c2808f3
-------------------------------------------------------------------
Tue Mar 25 13:34:51 CET 2014 - jdelvare@suse.de
- Update i386 config files: Modularize all HID device drivers
HID device drivers are modularized in all config files except arm*
and desktop, so i386/pae, i386/trace and i386/xen should do the same.
- commit 3c2834b
-------------------------------------------------------------------
Tue Mar 25 13:31:56 CET 2014 - jdelvare@suse.de
- Update i386 config files: CONFIG_HID=y
Align the CONFIG_HID setting of the i386/debug, i386/default and
i386/vanilla config files with what is done in every other config
file (but s390x and ec2.)
- commit 177a262
-------------------------------------------------------------------
Mon Mar 24 15:11:38 CET 2014 - jdelvare@suse.de
- Refresh patches.suse/kconfig-automate-kernel-desktop.
Options CGROUPS and CGROUP_SCHED are no longer disabled in desktop
kernel.
- commit 148e111
-------------------------------------------------------------------
Mon Mar 24 09:34:14 CET 2014 - jslaby@suse.cz
- Linux 3.13.7.
- Refresh patches.xen/xen3-auto-common.diff.
- Delete patches.arch/ppc64le-ibmveth.patch.
- commit a68bc7c
-------------------------------------------------------------------
Thu Mar 20 13:41:34 CET 2014 - jdelvare@suse.de
- Update s390x config files: disable CONFIG_INPUT_FF_MEMLESS
It was set by mistake, obviously.
- commit 89fa272
-------------------------------------------------------------------
Wed Mar 19 09:35:32 CET 2014 - tiwai@suse.de
- Enable Socketcan again for i386 and x86_64 (bnc#858607)
- commit d1f1027
-------------------------------------------------------------------
Tue Mar 18 18:11:46 CET 2014 - jdelvare@suse.de
- Update vanilla config files: enable console rotation
It's enabled in all other kernel flavors so it should be enabled in
vanilla too.
- commit 1384611
-------------------------------------------------------------------
Tue Mar 18 17:25:37 CET 2014 - jdelvare@suse.de
- config: set CONFIG_X86_INTEL_LPSS in trace and vanilla
Trying to keep the trace and vanilla kernels in sync with default.
- commit 09e4895
-------------------------------------------------------------------
Tue Mar 18 15:46:00 CET 2014 - jdelvare@suse.de
- Align the x86_64 debug config file on the default config
For real this time.
- commit 0b5593a
-------------------------------------------------------------------
Mon Mar 17 22:03:51 CET 2014 - jeffm@suse.de
- Update to 3.14-rc7.
- Eliminated 1 patch.
- commit 56ad46a
-------------------------------------------------------------------
Mon Mar 17 14:56:11 CET 2014 - jdelvare@suse.de
- Align the x86_64 debug config file on the default config
This makes it much easier to validate that the debug config file only
diverges from default by debug and testing options.
- commit 37f104e
-------------------------------------------------------------------
Mon Mar 17 10:26:10 CET 2014 - jdelvare@suse.de
- config.conf: ia64 is history
- Delete config/ia64/debug.
- Delete config/ia64/default.
- Delete config/ia64/trace.
- Delete config/ia64/vanilla.
- commit 9e606b5
-------------------------------------------------------------------
Mon Mar 17 10:13:42 CET 2014 - tiwai@suse.de
- Enable CONFIG_IRDA_FAST_RR=y (bnc#860502)
- commit 0509ce5
-------------------------------------------------------------------
Mon Mar 17 10:06:45 CET 2014 - tiwai@suse.de
- Enable CONFIG_IRDA_FAST_RR=y (bnc#860502)
- commit 3659420
-------------------------------------------------------------------
Sat Mar 15 13:23:40 CET 2014 - jdelvare@suse.de
- Update x86_64 config files: Disable AMD Elan SC520 drivers
The AMD Elan SC520 is a 32-bit x86 processor, related drivers are
useless on other architectures.
- commit e5b4397
-------------------------------------------------------------------
Sat Mar 15 13:23:06 CET 2014 - jdelvare@suse.de
- Update x86_64 and ia64 config files: Disable SC1200 drivers
The SC1200 is a SoC based on the Geode GX1 32-bit x86 processor, so
its drivers are useless on other architectures.
- commit 1058f71
-------------------------------------------------------------------
Fri Mar 14 22:58:59 CET 2014 - jdelvare@suse.de
- Update config files: Restore CONFIG_THERMAL_HWMON
Setting CONFIG_THERMAL=y in commit e60ddcfe had the side effect of
disabling CONFIG_THERMAL_HWMON in many configs that didn't have
CONFIG_HWMON=y. So revert that change in all affected config files.
Sorry for overlooking that originally.
- commit b197f4a
-------------------------------------------------------------------
Fri Mar 14 14:31:40 CET 2014 - jdelvare@suse.de
- Update config files: Drop all Geode-related drivers
The Geode was a 32-bit x86 CPU, so all drivers for its companion
chips (CS55xx) are useless on other architectures. Same for OT200
drivers, as the Bachmann OT200 device was based on a Geode CPU.
- commit 0bdb147
-------------------------------------------------------------------
Fri Mar 14 13:43:05 CET 2014 - jdelvare@suse.de
- Update arm and ppc config files: Drop OLPC-related driver
OLPC drivers are only useful on i386.
- commit c98f904
-------------------------------------------------------------------
Thu Mar 13 15:11:01 CET 2014 - jdelvare@suse.de
- Update debug config files: mac80211 debug options
Enable all mac80211 debug options in all debug kernel flavors.
- commit 855178e
-------------------------------------------------------------------
Wed Mar 12 21:37:31 CET 2014 - guillaume.gardet@opensuse.org
- config: Fix version string for armv7hl/lpae
- commit d1a7ba5
-------------------------------------------------------------------
Wed Mar 12 10:21:33 CET 2014 - guillaume.gardet@opensuse.org
- ARM: Re-enable and update configs
- commit d73f266
-------------------------------------------------------------------
Tue Mar 11 15:08:02 CET 2014 - jbeulich@suse.com
- Update Xen patches to 3.14-rc6.
- commit cc55130
-------------------------------------------------------------------
Mon Mar 10 20:22:55 CET 2014 - jeffm@suse.com
- Update to 3.14-rc6.
- commit e9d20d4
-------------------------------------------------------------------
Fri Mar 7 17:16:53 CET 2014 - mmarek@suse.cz
- rpm/mkspec: Generate a per-architecture per-package _constraints file
- commit 65a07f7
-------------------------------------------------------------------
Fri Mar 7 17:07:55 CET 2014 - mmarek@suse.cz
- rpm/mkspec: Remove dead code
- commit 3fc95f5
-------------------------------------------------------------------
Fri Mar 7 08:30:35 CET 2014 - jslaby@suse.cz
- Linux 3.13.6.
- commit 4727218
-------------------------------------------------------------------
Thu Mar 6 10:53:14 CET 2014 - jdelvare@suse.de
- Update config files: CONFIG_THERMAL=y
The generic thermal module is used by several ACPI components
(processor, fan, thermal and video) and a few other drivers. So
in practice it will end up being loaded on almost all systems
which support ACPI. It is small so build it into the kernel to
improve boot speed on all ACPI-enabled architectures (i386,
x86_64 and ia64.)
- commit e60ddcf
-------------------------------------------------------------------
Wed Mar 5 15:04:50 CET 2014 - jeffm@suse.com
- Update to 3.14-rc5.
- commit 4126853
-------------------------------------------------------------------
Tue Mar 4 11:03:11 CET 2014 - jdelvare@suse.de
- Update ppc64le config files: disable STE_MODEM_RPROC
Disable config option STE_MODEM_RPROC on all ppc64le flavors, because
it draws dependencies which aren't available on ppc64le:
warning: (REMOTEPROC && RPMSG) selects VIRTUALIZATION which has unmet direct dependencies (!CPU_LITTLE_ENDIAN)
- commit 712ca17
-------------------------------------------------------------------
Sat Mar 1 21:10:51 CET 2014 - jslaby@suse.cz
- rpc_pipe: fix cleanup of dummy gssd directory when notification
fails (bnc#866437).
- sunrpc: add an "info" file for the dummy gssd pipe (bnc#866437).
- rpc_pipe: remove the clntXX dir if creating the pipe fails
(bnc#866437).
- nfs: check if gssd is running before attempting to use krb5i
auth in SETCLIENTID call (bnc#866437).
- sunrpc: replace sunrpc_net->gssd_running flag with a more
reliable check (bnc#866437).
- sunrpc: create a new dummy pipe for gssd to hold open
(bnc#866437).
- commit 5bb4797
-------------------------------------------------------------------
Fri Feb 28 23:44:12 CET 2014 - mmarek@suse.cz
- rpm/mkspec: Fix whitespace in NoSource lines
- commit 6320617
-------------------------------------------------------------------
Fri Feb 28 23:21:10 CET 2014 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Do not zero modules.dep before using it
(bnc#866075)
- commit b67b660
-------------------------------------------------------------------
Fri Feb 28 21:09:09 CET 2014 - jdelvare@suse.de
- Update config files: modularize BLK_DEV_MD, disable MD_AUTODETECT
Michal Marek says:
I think that the reason why BLK_DEV_MD is built in is
config MD_AUTODETECT
bool "Autodetect RAID arrays during kernel boot"
depends on BLK_DEV_MD=y
but since we compile the raid presonalities as modules, this cannot
work. Also, we use the initrd even in the trivial cases, so why bother
about root-on-md.
- commit db76347
-------------------------------------------------------------------
Fri Feb 28 20:09:35 CET 2014 - jdelvare@suse.de
- Update config files.
Modularize the following helper drivers:
INET_LRO, NET_CLS_CGROUP, DNS_RESOLVER
They had to be built-in before due to dependencies, but this is no
longer the case, so we can modularize them again.
- commit a970646
-------------------------------------------------------------------
Fri Feb 28 18:40:13 CET 2014 - jdelvare@suse.de
- Update x86 config files: CONFIG_X86_MSR=m
The msr driver creates /dev/cpu/*/msr through which you can poke
at all the MSRs if you have CAP_SYS_RAWIO. We prefer to be able
to remove that module if it is not needed.
- commit 9e6b04a
-------------------------------------------------------------------
Fri Feb 28 16:45:53 CET 2014 - mmarek@suse.cz
- rpm/kernel-obs-build.spec: Drop useless ExclusiveArch statement
- commit 5cdf1da
-------------------------------------------------------------------
Fri Feb 28 14:30:53 CET 2014 - guillaume.gardet@oliseo.fr
- config: Update armv7/exynos
- Add sound support
- Add I2C/SPI support
- commit 93b38ce
-------------------------------------------------------------------
Fri Feb 28 14:27:47 CET 2014 - tiwai@suse.de
- ALSA: hda/sigmatel - Allow auto-switching for dock line-in of
HP laptops (bnc#866100).
- commit 6367fe8
-------------------------------------------------------------------
Fri Feb 28 11:42:42 CET 2014 - jdelvare@suse.de
- Update config files: CONFIG_ISO9660_FS=m
There is no good reason why isofs would be built into the kernel.
Most systems don't need it after installation, and reportedly
mkinitrd etc. can deal with it as a module just fine.
- commit 3dd8a1a
-------------------------------------------------------------------
Tue Feb 25 22:51:43 CET 2014 - jdelvare@suse.de
- Update config files: CONFIG_AUTOFS4_FS=y
systemd requires autofs, so autofs4.ko will always be loaded on boot.
For performance reasons, it makes sense to build it into the kernel
(bnc#863771).
- commit 70247d1
-------------------------------------------------------------------
Tue Feb 25 13:55:21 CET 2014 - jbeulich@suse.com
- Update Xen patches to 3.14-rc4 and c/s 1252.
- privcmd: allow preempting long running user-mode originating
hypercalls (bnc#861093).
- config.conf: Re-enable Xen configs.
- Update x86 config files.
- scripts/check-cvs-add: Disable config checking for now.
- commit d5aea30
-------------------------------------------------------------------
Mon Feb 24 18:24:15 CET 2014 - tiwai@suse.de
- Obsolete compat-wireless, rts5229 and rts_pstor KMPs
These are found in SLE11-SP3, now replaced with the upstream drivers.
- commit 37738dd
-------------------------------------------------------------------
Mon Feb 24 16:10:47 CET 2014 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Do not obsolete ocfs2-kmp (bnc#865259)865259
- commit c8661b5
-------------------------------------------------------------------
Mon Feb 24 14:34:29 CET 2014 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Obsolete the -base package from SLE11 (bnc#865096)
- commit 3f926c3
-------------------------------------------------------------------
Mon Feb 24 13:42:29 CET 2014 - jeffm@suse.com
- config: disable PINCTRL_MSM and PINCTRL_MSM8X4
These options were enable accidentally during the 3.14-rc1 update for
just the i386/default config.
- commit 2ded0ed
-------------------------------------------------------------------
Mon Feb 24 04:17:01 CET 2014 - jeffm@suse.com
- Update to 3.14-rc4.
- Eliminated 1 patch.
- commit 16d4242
-------------------------------------------------------------------
Sun Feb 23 22:27:13 CET 2014 - jslaby@suse.cz
- Linux 3.13.5.
- commit 4e9ddcc
-------------------------------------------------------------------
Thu Feb 20 20:57:32 CET 2014 - jslaby@suse.cz
- Linux 3.13.4.
- commit 6eda950
-------------------------------------------------------------------
Wed Feb 19 03:39:35 CET 2014 - jeffm@suse.com
- dmraid45: removed driver.
This functionality should be offered by the dmraid driver.
- commit 9a83d77
-------------------------------------------------------------------
Tue Feb 18 10:55:55 CET 2014 - mszeredi@suse.cz
- cifs: ensure that uncached writes handle unmapped areas
correctly (bnc#864025 CVE-2014-00691).
- commit 79c42c5
-------------------------------------------------------------------
Mon Feb 17 09:33:20 CET 2014 - jeffm@suse.com
- config: CONFIG_BCM_KONA_USB2_PHY=n on s390
- commit f30c873
-------------------------------------------------------------------
Mon Feb 17 09:32:04 CET 2014 - jeffm@suse.com
- acpi: Complete fix for thinkpad RSDT blacklist
- commit 2e62bb9
-------------------------------------------------------------------
Mon Feb 17 09:26:53 CET 2014 - jeffm@suse.com
- Update to 3.14-rc3.
- commit 058f759
-------------------------------------------------------------------
Mon Feb 17 09:13:29 CET 2014 - jeffm@suse.com
- dmraid45: updated to use new bvec iterators.
- commit 9e25806
-------------------------------------------------------------------
Mon Feb 17 09:12:33 CET 2014 - jeffm@suse.com
- acpi: Updated acpi=rsdt dmi blacklist to new variable name.
- commit 4bd9031
-------------------------------------------------------------------
Mon Feb 17 08:22:27 CET 2014 - jeffm@suse.com
- Update vanilla config files.
- commit b49cb75
-------------------------------------------------------------------
Fri Feb 14 16:08:11 CET 2014 - mmarek@suse.cz
- rpm/kernel-obs-build.spec: Do not mount /sys, the build script does it
- commit f11b02a
-------------------------------------------------------------------
Fri Feb 14 11:01:06 CET 2014 - jdelvare@suse.de
- Update config files: Disable TS5500-specific drivers
These drivers are useless without TS5500 board support: mtd-ts5500,
gpio-ts5500 and max197.
- commit 2a03456
-------------------------------------------------------------------
Fri Feb 14 09:53:00 CET 2014 - jslaby@suse.cz
- Linux 3.13.3 (bnc#799216).
- Refresh patches.xen/xen-x86-EFI.
- Refresh patches.xen/xen3-patch-3.12.
- Delete
patches.drivers/drm-cirrus-Correct-register-values-for-16bpp.
- Update config files.
- commit d6e2c2f
-------------------------------------------------------------------
Thu Feb 13 12:27:29 CET 2014 - dvaleev@suse.de
- rpm/kernel-obs-build.spec: Fix for ppc64le
- commit 50c4205
-------------------------------------------------------------------
Wed Feb 12 16:38:14 CET 2014 - mq@suse.cz
- Scripts: .nosrc.rpm should contain only the specfile (bnc #639379)
- commit afe62e0
-------------------------------------------------------------------
Wed Feb 12 16:16:23 CET 2014 - jdelvare@suse.de
- Drop outdated comment
- commit 65bab82
-------------------------------------------------------------------
Wed Feb 12 14:14:04 CET 2014 - jbeulich@suse.com
- Refresh patches.xen/xen3-patch-3.13 (fix net{back,front} checksum setup).
- commit a23672f
-------------------------------------------------------------------
Wed Feb 12 10:04:20 CET 2014 - lpechacek@suse.cz
- doc/README.PATCH-POLICY.SUSE: updated links
- commit ac58062
-------------------------------------------------------------------
Tue Feb 11 13:19:54 CET 2014 - mmarek@suse.cz
- Delete unused patches.arch/ppc64le-fix-copytofrom.patch
- commit ce3dbc3
-------------------------------------------------------------------
Tue Feb 11 12:46:43 CET 2014 - tiwai@suse.de
- drm/cirrus: Fix cirrus drm driver for fbdev + qemu (bnc#856760).
- commit ee73224
-------------------------------------------------------------------
Tue Feb 11 12:25:39 CET 2014 - mq@suse.cz
- Spec: zeroing modules.dep to get identical builds among different machines
- commit a1c5879
-------------------------------------------------------------------
Tue Feb 11 10:13:52 CET 2014 - mmarek@suse.cz
- rpm/guards: Report which config file has problem in --check mode
- commit b39543d
-------------------------------------------------------------------
Mon Feb 10 15:07:10 CET 2014 - jeffm@suse.com
- Updated patch headers for 3.14-rc2.
- commit d0ea44a
-------------------------------------------------------------------
Mon Feb 10 15:06:01 CET 2014 - jeffm@suse.com
- Update to 3.14-rc2.
- Eliminated 1 patch.
- commit a5ab7bf
-------------------------------------------------------------------
Mon Feb 10 14:37:59 CET 2014 - jslaby@suse.cz
- rpm/config.sh: switch to building against 13.1
- commit b59b809
-------------------------------------------------------------------
Mon Feb 10 13:00:30 CET 2014 - mmarek@suse.cz
- doc/README.SUSE: Update to match the current package layout
- commit f357abb
-------------------------------------------------------------------
Mon Feb 10 13:00:12 CET 2014 - mmarek@suse.cz
- Add the README.SUSE file to the packaging branch
- commit 11905ea
-------------------------------------------------------------------
Thu Feb 6 21:55:26 CET 2014 - jslaby@suse.cz
- Linux 3.13.2 (bnc#860993 CVE-2014-0038).
- Delete patches.fixes/compat_sys_recvmmsg-x32-fix.patch.
- Refresh patches.xen/xen3-patch-2.6.37.
- Refresh patches.xen/xen3-patch-3.10.
- commit 7e6b5de
-------------------------------------------------------------------
Wed Feb 5 16:47:11 CET 2014 - mmarek@suse.cz
- Change also BuildRequires from module-init-tools to modutils
- commit f650166
-------------------------------------------------------------------
Tue Feb 4 22:54:38 CET 2014 - jeffm@suse.com
- Updated patch headers for 3.14-rc1.
- commit 967bc40
-------------------------------------------------------------------
Tue Feb 4 22:44:44 CET 2014 - jeffm@suse.com
- Update to 3.14-rc1.
- 19 patches eliminated.
- Xen is disabled.
- ARM is disabled.
- commit 691c6b1
-------------------------------------------------------------------
Fri Jan 31 09:00:26 CET 2014 - adrian@suse.de
- avoid double /sys mounts for kernel-obs-build, OBS is doing this meanwhile
- commit 3ea39a4
-------------------------------------------------------------------
Thu Jan 30 18:23:25 CET 2014 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Require 'modutils' also in the subpackages
- commit 91f0a2e
-------------------------------------------------------------------
Thu Jan 30 18:16:52 CET 2014 - mmarek@suse.cz
- Reformat the spec files to what the buildservice expects
- commit 0b9e9a1
-------------------------------------------------------------------
Wed Jan 29 17:28:42 CET 2014 - bp@suse.de
- compat_sys_recvmmsg X32 fix (bnc#860993 CVE-2014-0038).
- commit b4ff60b
-------------------------------------------------------------------
Wed Jan 29 17:04:23 CET 2014 - jslaby@suse.cz
- Linux 3.13.1.
- commit 01e2360
-------------------------------------------------------------------
Mon Jan 27 10:27:23 CET 2014 - mmarek@suse.cz
- rpm/kernel-source.spec.in: Add README.PATCH-POLICY.SUSE as source file
- commit 9c9ce61
-------------------------------------------------------------------
Mon Jan 27 10:20:14 CET 2014 - mmarek@suse.cz
- Drop the _constraints file, we are using the prjconf setting for now
- commit a0aa890
-------------------------------------------------------------------
Fri Jan 24 16:41:02 CET 2014 - jbeulich@suse.com
- Refresh Xen patches (fix PV driver build).
- commit d9213ce
-------------------------------------------------------------------
Thu Jan 23 16:23:01 CET 2014 - jkosina@suse.cz
- floppy: bail out in open() if drive is not responding to block0
read (bnc#773058).
- commit 1d92189
-------------------------------------------------------------------
Wed Jan 22 16:40:30 CET 2014 - jbeulich@suse.com
- Update Xen patched to 3.13-final and c/s 1248.
- Update EC2 config files (restore CONFIG_DEBUG_INFO).
- commit a770ad7
-------------------------------------------------------------------
Tue Jan 21 05:18:52 CET 2014 - jeffm@suse.de
- Update to 3.13.
- commit ff46e6a
-------------------------------------------------------------------
Mon Jan 20 12:01:34 CET 2014 - jslaby@suse.cz
- Linux 3.12.8.
- commit 2caf20a
-------------------------------------------------------------------
Fri Jan 17 19:39:49 CET 2014 - ohering@suse.de
- xen: pv-on-hvm: skip initialization of emulated devices
(fate#311487).
- commit f011587
-------------------------------------------------------------------
Fri Jan 17 15:09:40 CET 2014 - tiwai@suse.de
- ACPI: Blacklist Win8 OSI for some HP laptop 2013 models
(bnc#856294).
- commit 0223704
-------------------------------------------------------------------
Fri Jan 17 11:35:12 CET 2014 - mmarek@suse.cz
- Revert "rpm/config.sh: Drop the ARM and PPC repositories"
This was actually wrong, the ports repository is broken.
- commit a983897
-------------------------------------------------------------------
Fri Jan 17 11:31:25 CET 2014 - mmarek@suse.cz
- rpm/config.sh: Drop the ARM and PPC repositories
The architectures are provided by the ports repository
- commit 96b9cec
-------------------------------------------------------------------
Tue Jan 14 13:25:19 CET 2014 - mmarek@suse.cz
- rpm/kernel-source.spec.in: Require kernel-devel%variant
- commit 810ea41
-------------------------------------------------------------------
Tue Jan 14 09:47:00 CET 2014 - mhocko@suse.cz
- Delete patches.fixes/remount-no-shrink-dcache.
the optimization is no longer needed because dcache_lock is long
gone and replaced by RCU (see b5c84bf6f6 fs: dcache remove
dcache_lock and others).
- commit 0092763
-------------------------------------------------------------------
Tue Jan 14 09:45:55 CET 2014 - mhocko@suse.cz
- Delete patches.fixes/oom-warning.
The additional warning message is not worth carrying. Highorder
or atomic allocations which are expected to fail should use
__GFP_NOWARN to not pollute logs with allocation failures which are
acceptable.
- commit c07fd34
-------------------------------------------------------------------
Tue Jan 14 09:43:08 CET 2014 - mhocko@suse.cz
- Update config files.
Disable CONFIG_MEMCG_SWAP_ENABLED because it got enabled by accident.
The CONFIG_MEMCG_SWAP is enabled but the accounting has to be explicitly
allowed by swap_account=1 kernel command line parameter
- commit 402a841
-------------------------------------------------------------------
Mon Jan 13 19:25:01 CET 2014 - jeffm@suse.com
- Update to 3.13-rc8.
- commit 44ad2a9
-------------------------------------------------------------------
Mon Jan 13 15:57:16 CET 2014 - jslaby@suse.cz
- efifb: prevent null-deref when iterating dmi_list (bnc#858404).
- commit 78b11e9
-------------------------------------------------------------------
Sat Jan 11 00:00:10 CET 2014 - ohering@suse.de
- hv: set guest os id (bnc#814005).
- commit e3d0b5d
-------------------------------------------------------------------
Fri Jan 10 17:03:48 CET 2014 - mmarek@suse.cz
- rpm/mkspec: Fix for older Perl
- commit ecf021e
-------------------------------------------------------------------
Fri Jan 10 16:30:07 CET 2014 - mmarek@suse.cz
- rpm/mkspec: Add .changes file for kernel-obs-*.spec as well
- commit bd3c299
-------------------------------------------------------------------
Fri Jan 10 16:19:59 CET 2014 - ro@suse.de
- rpm/kernel-obs-build.spec: Fix building in chroot instead of Xen
- commit 57e89b6
-------------------------------------------------------------------
Fri Jan 10 11:40:32 CET 2014 - jslaby@suse.cz
- Linux 3.12.7.
- commit 2424219
-------------------------------------------------------------------
Thu Jan 9 14:41:51 CET 2014 - jdelvare@suse.de
- Disable CONFIG_MODULE_FORCE_UNLOAD (bnc#857673)
Forcing module unloading can't lead to anything good. If reference
counting is wrong then it should be fixed. If the reference count is
right and a regular (unforced) unloading doesn't work, then a forced
unloading can only lead to chaos and ultimately a kernel crash.
- commit 3d57f73
-------------------------------------------------------------------
Thu Jan 9 13:14:25 CET 2014 - afaerber@suse.de
- config: armv6hl: Extend default config for Raspberry Pi
Enable CONFIG_FB_SIMPLE as that's what U-Boot exposes in DT.
- commit c7b8797
-------------------------------------------------------------------
Wed Jan 8 18:20:00 CET 2014 - afaerber@suse.de
- config: armv6hl: Extend default config for Raspberry Pi
Activate CONFIG_ARM_ATAG_DTB_COMPAT for it to receive U-Boot bootargs.
Enable BCM2835_{I2C,WDT}, MMC_SDHCI_BCM2835, USB_HCD_BCMA as modules.
- commit 57a2f1c
-------------------------------------------------------------------
Wed Jan 8 17:23:31 CET 2014 - jbeulich@suse.com
- Update Xen patches to 3.13-rc7 and c/s 1245.
- netback: bump tx queue length (bnc#849404).
- config.conf: Re-enable Xen configs.
- Update config files.
- commit 0e71aa4
-------------------------------------------------------------------
Tue Jan 7 15:46:28 CET 2014 - agraf@suse.de
- Delete config/armv7hl/cubox.
- commit 85bdaf6
-------------------------------------------------------------------
Mon Jan 6 16:36:04 CET 2014 - jeffm@suse.com
- Update to 3.13-rc7.
- Eliminated 1 patch.
- commit fed42b5
-------------------------------------------------------------------
Mon Jan 6 15:20:24 CET 2014 - jdelvare@suse.de
- Disable CONFIG_I2C_VIA in config/x86_64/desktop too
- commit febc20c
-------------------------------------------------------------------
Mon Jan 6 15:08:44 CET 2014 - jdelvare@suse.de
- Disable CONFIG_I2C_VIA, no 64-bit system would need it
- commit 95d3d3d
-------------------------------------------------------------------
Fri Jan 3 11:40:27 CET 2014 - mmarek@suse.cz
- Switch to linux-*.tar.xz
- commit 27d9f61
-------------------------------------------------------------------
Fri Jan 3 11:01:58 CET 2014 - mmarek@suse.cz
- Add support for linux-*.tar.xz to the spec files
- commit d12c880
-------------------------------------------------------------------
Thu Jan 2 17:01:46 CET 2014 - agraf@suse.de
- ARM: Reenable and update configs
- commit 0d77ece
-------------------------------------------------------------------
Thu Jan 2 16:35:07 CET 2014 - jeffm@suse.de
- Update to 3.13-rc6.
- commit 63236e5
-------------------------------------------------------------------
Thu Dec 26 19:57:00 CET 2013 - jeffm@suse.de
- Update to 3.13-rc5.
- Eliminated 3 patches.
- commit 7127d5f
-------------------------------------------------------------------
Mon Dec 23 20:31:50 CET 2013 - dvaleev@suse.com
- Run add_vmlinux for ppc64le
- commit ae96e26
-------------------------------------------------------------------
Mon Dec 23 02:42:55 CET 2013 - agraf@suse.de
- ibmveth: Fix more little endian issues.
- powerpc: Don't return to BE mode when we are already there.
- powerpc: Add hack to make ppc64le work on hosts without ILE.
- powerpc: Add relocation code for fixups.
- powerpc: Add global exports for all interrupt vectors.
- commit 3d0a348
-------------------------------------------------------------------
Sat Dec 21 18:43:27 CET 2013 - jeffm@suse.de
- Update to 3.13-rc4.
- ppc64le/vanilla appears to have not been resynced when copied.
- commit 82b44e7
-------------------------------------------------------------------
Sat Dec 21 10:29:21 CET 2013 - jslaby@suse.cz
- Linux 3.12.6.
- Update config files.
- commit 080d0df
-------------------------------------------------------------------
Fri Dec 20 01:14:25 CET 2013 - agraf@suse.de
- Update config files: Disable relocation on ppc64le (it's broken)
- commit fbe0eb5
-------------------------------------------------------------------
Thu Dec 19 18:39:34 CET 2013 - agraf@suse.de
- Update config files: Disable kvm for ppc64le (not implemented upstream)
- commit 7fa2dfd
-------------------------------------------------------------------
Thu Dec 19 18:17:44 CET 2013 - agraf@suse.de
- powerpc: PTRACE_PEEKUSR always returns FPR0.
- commit c9829ea
-------------------------------------------------------------------
Thu Dec 19 18:17:17 CET 2013 - agraf@suse.de
- PPC: Build only vmlinux target.
- commit 04c2cde
-------------------------------------------------------------------
Thu Dec 19 18:15:37 CET 2013 - agraf@suse.de
- powerpc: Make 64-bit non-VMX __copy_tofrom_user bi-endian.
- commit 0044320
-------------------------------------------------------------------
Thu Dec 19 18:14:07 CET 2013 - agraf@suse.de
- Add ppc64le support (configs are a copy of the ppc64 ones with LE enabled)
- commit d86dbed
-------------------------------------------------------------------
Thu Dec 19 18:13:02 CET 2013 - agraf@suse.de
- sched: Remove PREEMPT_NEED_RESCHED from generic code. (fixes ppc)
- commit 605502a
-------------------------------------------------------------------
Thu Dec 19 18:11:43 CET 2013 - agraf@suse.de
- Disable 32-on-64 ptrace patch, it breaks for ppc64le
- commit a943cf8
-------------------------------------------------------------------
Thu Dec 19 18:10:46 CET 2013 - agraf@suse.de
- Update config files
- remove epapr bytechan interface, we don't support booke
- commit 68ab0ab
-------------------------------------------------------------------
Wed Dec 18 15:10:19 CET 2013 - tiwai@suse.de
- Disable simplefb and x86 sysfb due to breakage (bnc#855821)
- commit 3848a14
-------------------------------------------------------------------
Wed Dec 18 14:30:00 CET 2013 - adrian@suse.de
- Add kernel-obs-build to use the distribution kernel within OBS VM builds.
Add kernel-obs-qa to test that the kernel is booting within OBS VMs. It may run also further tests later on.
- commit e9cfd5c
-------------------------------------------------------------------
Tue Dec 17 21:14:03 CET 2013 - mmarek@suse.cz
- Port module signing changes from SLE11-SP3 (fate#314508)
- commit 8a264a3
-------------------------------------------------------------------
Mon Dec 16 15:39:56 CET 2013 - ohering@suse.de
- fbmem: really support wildcard video=options for all fbdev
drivers.
- commit 5b170d7
-------------------------------------------------------------------
Mon Dec 16 15:36:07 CET 2013 - ohering@suse.de
- Delete
patches.suse/suse-hv-Drivers-hv-util-Fix-a-bug-in-util-version-negotiatio.patch.
- commit b3125ee
-------------------------------------------------------------------
Mon Dec 16 13:32:15 CET 2013 - jkosina@suse.cz
- doc/README.PATCH-POLICY.SUSE: add patch policy / best practices document
- commit 60893d6
-------------------------------------------------------------------
Fri Dec 13 16:02:31 CET 2013 - tiwai@suse.de
- Add missing CONFIG_PINCTRL_SINGLE kconfig setups
- commit 39ea148
-------------------------------------------------------------------
Thu Dec 12 13:53:00 CET 2013 - jdelvare@suse.de
- config.conf: s390 is history
- Delete config/s390/s390.
- Delete config/s390/trace.
- Delete config/s390/vanilla.
- commit 2bf5161
-------------------------------------------------------------------
Thu Dec 12 10:24:11 CET 2013 - jdelvare@suse.de
- Delete patches.suse/ida-remove-warning-dump-stack.patch.
Already included since kernel 3.11 (WARN calls dump_stack.)
- commit 8e04564
-------------------------------------------------------------------
Thu Dec 12 08:12:35 CET 2013 - jslaby@suse.cz
- Linux 3.12.5.
- commit 48b587a
-------------------------------------------------------------------
Wed Dec 11 18:55:53 CET 2013 - jdelvare@suse.de
- rpm/guards: Spelling fix
- commit 2e074cb
-------------------------------------------------------------------
Wed Dec 11 18:55:24 CET 2013 - jdelvare@suse.de
- rpm/guards: Delete dead code
- commit 98077a9
-------------------------------------------------------------------
Wed Dec 11 18:24:25 CET 2013 - jdelvare@suse.de
- rpm/guards: Typo fix from upstream
- commit ce654f8
-------------------------------------------------------------------
Wed Dec 11 02:46:26 CET 2013 - stefan.bruens@rwth-aachen.de
- config: set CONFIG_X86_INTEL_LPSS and CONFIG_I2C_DESIGNWARE_PLATFORM
Enable INTEL LPSS (Low Power SubSystem) for LynxPoint chipsets (bnc#849125)
and DesignWare (platform) i2c bus driver (bnc#849338).
The DesignWare i2c driver depends on the clock subsystem.
- commit 3a6fe23
-------------------------------------------------------------------
Tue Dec 10 20:40:13 CET 2013 - jslaby@suse.cz
- Linux 3.12.4.
- Refresh patches.suse/connector-read-mostly.
- Refresh patches.xen/xen3-auto-common.diff.
- commit 87dc4f5
-------------------------------------------------------------------
Mon Dec 9 12:40:58 CET 2013 - hare@suse.de
- Delete patches.suse/scsi-error-test-unit-ready-timeout:
Superseded by commit 0816c9251a7180383bb7811e1a1545f7b78e5374.
- commit 44df1ce
-------------------------------------------------------------------
Mon Dec 9 12:32:26 CET 2013 - hare@suse.de
- iscsi_target: race condition on shutdown (bnc#850072).
- commit c4710fd
-------------------------------------------------------------------
Sun Dec 8 20:02:23 CET 2013 - jeffm@suse.com
- Update to 3.13-rc3.
- commit 82b4383
-------------------------------------------------------------------
Fri Dec 6 16:56:31 CET 2013 - mmarek@suse.cz
- rpm/kernel-source.spec.in: Add the OBS _constraints file as source
- commit 17282e4
-------------------------------------------------------------------
Wed Dec 4 21:43:40 CET 2013 - jslaby@suse.cz
- Linux 3.12.3.
- Refresh
patches.suse/0001-vfs-Hooks-for-more-fine-grained-directory-permission.patch.
- commit f37dca6
-------------------------------------------------------------------
Wed Dec 4 20:20:22 CET 2013 - jeffm@suse.de
- config: enable CONFIG_EXPERT
3.10 introduced Kconfig rules that automatically builds in
uncommon HID drivers if EXPERT is not set.
This commit does not introduce any other config changes.
- commit 30a8773
-------------------------------------------------------------------
Wed Dec 4 19:57:54 CET 2013 - jeffm@suse.com
- README: Update to document typical patch naming
- commit d2a2470
-------------------------------------------------------------------
Wed Dec 4 16:43:58 CET 2013 - tiwai@suse.de
- config: CONFIG_CHECKPOINT_RESTORE=y and CONFIG_MEM_SOFT_DIRTY=y on x86_64
- commit d99342f
-------------------------------------------------------------------
Mon Dec 2 04:25:25 CET 2013 - jeffm@suse.com
- Revert "init: define console_initcall when built as a module."
This reverts commit 9eb723d9911b941e71381831812b7c7bd17d24f3.
- commit c172b07
-------------------------------------------------------------------
Mon Dec 2 03:55:26 CET 2013 - jeffm@suse.com
- init: define console_initcall when built as a module.
- commit 9eb723d
-------------------------------------------------------------------
Mon Dec 2 03:23:04 CET 2013 - jeffm@suse.com
- overlayfs: update for 3.13 delegated_inode API changes.
- commit 3d88c7f
-------------------------------------------------------------------
Mon Dec 2 02:29:42 CET 2013 - jeffm@suse.com
- Update to 3.13-rc2.
- Eliminated 1 patch.
- commit 75ac3c3
-------------------------------------------------------------------
Fri Nov 29 21:29:19 CET 2013 - jslaby@suse.cz
- Linux 3.12.2.
- Refresh patches.xen/xen3-patch-2.6.29.
- Delete
patches.suse/btrfs-relocate-csums-properly-with-prealloc-extents.patch.
- commit 3b81e61
-------------------------------------------------------------------
Fri Nov 29 11:35:21 CET 2013 - tiwai@suse.de
- Build mei and mei_me as modules (bnc#852656)
- commit 1a570dd
-------------------------------------------------------------------
Thu Nov 28 15:01:08 CET 2013 - jdelvare@suse.de
- supported.conf: dd drivers/hwmon/smsc47b397
That one is very simple and reliable and found in a number of
enterprise-grade workstations. I believe it would make sense to support
it.
- commit 3a2ac9d
-------------------------------------------------------------------
Wed Nov 27 17:59:14 CET 2013 - duwe@suse.de
- pstore: automatically dump and clean dmesg entries
(fate#315232).
- Update config files.
- commit 9525160
-------------------------------------------------------------------
Tue Nov 26 17:28:52 CET 2013 - agraf@suse.de
- omapdrm: fix compile with dma_addr_t > u32.
- commit 611f4eb
-------------------------------------------------------------------
Sat Nov 23 01:43:38 CET 2013 - jeffm@suse.com
- Update to 3.13-rc1.
- Eliminated 7 patches.
- Xen is disabled.
- ARM needs config updates.
- commit 2dfdca4
-------------------------------------------------------------------
Thu Nov 21 11:10:31 CET 2013 - guillaume.gardet@oliseo.fr
- Add USB PHY support (needed to get USB and Ethernet working on beagle and panda boards) Add CONFIG_PINCTRL_SINGLE=y to be able to use Device tree (at least for beagle and panda boards) Add ARM SoC sound support Add SPI bus support Add user-space access to I2C and SPI
- commit b3c966e
-------------------------------------------------------------------
Thu Nov 21 10:50:28 CET 2013 - guillaume.gardet@oliseo.fr
- Set CONFIG_GPIO_TWL4030 as built-in (instead of module) as a requirement to boot on SD card on beagleboard xM
- commit 5b7fb61
-------------------------------------------------------------------
Thu Nov 21 00:04:25 CET 2013 - jslaby@suse.cz
- Linux 3.12.1.
- commit 1e06fbe
-------------------------------------------------------------------
Wed Nov 20 14:47:00 CET 2013 - jslaby@suse.cz
- Update config files (fate#315172).
- commit a0984ed
-------------------------------------------------------------------
Wed Nov 20 13:15:58 CET 2013 - jslaby@suse.cz
- x86/dumpstack: Fix printk_address for direct addresses
(bnc#845621).
- Refresh patches.suse/stack-unwind.
- Refresh patches.xen/xen-x86_64-dump-user-pgt.
- commit ce55b22
-------------------------------------------------------------------
Tue Nov 19 16:52:03 CET 2013 - jbohac@suse.cz
- supported.conf: marked net/netfilter/xt_set as supported (bnc#851066)
xt_set should have been enabled together with the ip_set modules in
fate#313309
- commit c2e046e
-------------------------------------------------------------------
Fri Nov 15 23:53:40 CET 2013 - jeffm@suse.com
- config: build uncommon HID drivers as modules
- commit 92db3f1
-------------------------------------------------------------------
Fri Nov 15 23:33:44 CET 2013 - jeffm@suse.com
- config: sync HID=y on x86_64/debug config
- commit 2437d0f
-------------------------------------------------------------------
Tue Nov 12 14:09:24 CET 2013 - jdelvare@suse.de
- armv6hl, armv7hl: Update config files.
Set CONFIG_BATMAN_ADV_BLA=y as all other kernel configuration files
have.
- commit e7c00d8
-------------------------------------------------------------------
Fri Nov 8 17:27:48 CET 2013 - jdelvare@suse.de
- Update config files:
* CONFIG_BATMAN_ADV_NC=y, because other BATMAN_ADV options are all
enabled so why not this one.
* CONFIG_GPIO_SCH=m, CONFIG_GPIO_PCH=m, because we support all other
features of these pieces of hardware.
* CONFIG_INTEL_POWERCLAMP=m, because this small driver might be
useful in specific cases, and there's no obvious reason not to
include it.
- commit 79e7a03
-------------------------------------------------------------------
Thu Nov 7 14:39:46 CET 2013 - jbeulich@suse.com
- Update Xen patches to 3.12-final.
- commit ec28986
-------------------------------------------------------------------
Wed Nov 6 17:58:38 CET 2013 - duwe@suse.de
- fate#316581: CONFIG_IBM_BSR y -> m
- commit f5eba64
-------------------------------------------------------------------
Mon Nov 4 13:54:39 CET 2013 - jslaby@suse.cz
- Delete patches.kernel.org/patch-3.11.1.
- commit ec24572
-------------------------------------------------------------------
Mon Nov 4 03:19:40 CET 2013 - jeffm@suse.com
- Update config files.
- commit f7c27a2
-------------------------------------------------------------------
Mon Nov 4 02:20:43 CET 2013 - jeffm@suse.com
- Update to 3.12-final.
- commit bd2bcf1
-------------------------------------------------------------------
Mon Nov 4 02:19:32 CET 2013 - jeffm@suse.com
- Refresh
patches.suse/btrfs-add-ability-to-query-change-feature-bits-online-ioctl.
- commit a58c8c5
-------------------------------------------------------------------
Mon Nov 4 02:16:56 CET 2013 - jeffm@suse.com
- Refresh patches.suse/btrfs-add-tracing-for-failed-reservations.
- Refresh patches.suse/btrfs-publish-allocation-data-in-sysfs.
- No code change: missing 'quilt add' caused a chunk to be
in the wrong patch.
- commit 0346b5c
-------------------------------------------------------------------
Wed Oct 30 17:49:05 CET 2013 - tiwai@suse.de
- ALSA: hda - Add extra chmap for 2.1 outputs on ASUS laptops
(bnc#846531).
- commit 5fe5110
-------------------------------------------------------------------
Wed Oct 30 12:36:05 CET 2013 - tiwai@suse.de
- ALSA: hda - Add a fixup for ASUS N76VZ (bnc#846529).
- commit c8ca4fb
-------------------------------------------------------------------
Tue Oct 29 14:04:37 CET 2013 - jbeulich@suse.com
- Update Xen patches to 3.12-rc7 and c/s 1240.
- commit 49141ff
-------------------------------------------------------------------
Mon Oct 28 15:04:30 CET 2013 - jeffm@suse.de
- Update to 3.12-rc7.
- commit c59f68d
-------------------------------------------------------------------
Tue Oct 22 21:20:23 CEST 2013 - jeffm@suse.com
- nouveau: Fix race with fence signaling (bnc#844177).
- commit db1113e
-------------------------------------------------------------------
Tue Oct 22 21:19:59 CEST 2013 - jeffm@suse.com
- btrfs: add ioctl to export size of global metadata reservation.
- btrfs: add tracing for failed reservations.
- btrfs: publish allocation data in sysfs.
- btrfs: publish device membership in sysfs.
- btrfs: publish fs label in sysfs.
- btrfs: publish per-super attributes in sysfs.
- btrfs: publish per-super features in sysfs.
- btrfs: publish supported featured in sysfs.
- btrfs: publish unknown feature bits in sysfs.
- kobject: export kobj_sysfs_ops.
- Refresh
patches.suse/btrfs-add-ability-to-change-features-via-sysfs.
- Refresh
patches.suse/btrfs-use-feature-attributes-to-print-names-in-errors.
- Delete
patches.suse/btrfs-add-per-super-attribute-publishing-to-sysfs.
- Delete
patches.suse/btrfs-add-publishing-of-unknown-features-in-sysfs.
- Delete patches.suse/btrfs-export-supported-featured-to-sysfs.
- Delete patches.suse/btrfs-publish-per-super-features-to-sysfs.
- Delete patches.suse/introduce-kobj_completion.
- commit 28f62b4
-------------------------------------------------------------------
Mon Oct 21 22:40:08 CEST 2013 - jeffm@suse.com
- Update to 3.12-rc6.
- commit 7244867
-------------------------------------------------------------------
Fri Oct 18 22:19:21 CEST 2013 - jslaby@suse.cz
- Linux 3.11.6 (bnc#841645).
- Delete patches.drivers/ALSA-hda-Add-fixup-for-ASUS-N56VZ.
- commit 9ca6827
-------------------------------------------------------------------
Wed Oct 16 12:17:14 CEST 2013 - jbeulich@suse.com
- Update x86 Xen config files (RTC_DRV_EFI=y).
- commit ac42dba
-------------------------------------------------------------------
Wed Oct 16 11:35:46 CEST 2013 - jbeulich@suse.com
- Update Xen patches to 3.12-rc5.
- config.conf: Re-enable Xen configs.
- Update config files.
- Delete patches.xen/xen3-010-acpi_initrd_override_tables.patch.
- commit 8673f3f
-------------------------------------------------------------------
Tue Oct 15 15:26:01 CEST 2013 - oneukum@suse.de
- sha256_ssse3: also test for BMI2 (bnc#842459).
- commit 243990f
-------------------------------------------------------------------
Mon Oct 14 18:45:32 CEST 2013 - jeffm@suse.com
- config: enable HAVE_BOOTMEM_INFO_NODE on ppc64 to match 3.11.5 (vanilla)
- commit 53efb9c
-------------------------------------------------------------------
Mon Oct 14 18:21:41 CEST 2013 - jeffm@suse.com
- config: enable HAVE_BOOTMEM_INFO_NODE on ppc64 to match 3.11.5
- commit 22f9877
-------------------------------------------------------------------
Mon Oct 14 17:40:49 CEST 2013 - jeffm@suse.com
- btrfs: Fix creation of per-super sysfs directories when using multiple
subvolumes (bnc#845774)
- commit adcee6c
-------------------------------------------------------------------
Mon Oct 14 17:34:08 CEST 2013 - jeffm@suse.com
- btrfs: Fix creation of per-super sysfs directories when using multiple
subvolumes (bnc#845774).
- commit 0801062
-------------------------------------------------------------------
Mon Oct 14 17:15:35 CEST 2013 - jeffm@suse.com
- Update to 3.12-rc5.
- commit 6c5465f
-------------------------------------------------------------------
Mon Oct 14 10:57:07 CEST 2013 - oneukum@suse.de
- sha256_ssse3: also test for BMI2 (bnc#842459).
- commit 626459d
-------------------------------------------------------------------
Mon Oct 14 09:42:07 CEST 2013 - jslaby@suse.cz
- Linux 3.11.5.
- Delete
patches.suse/btrfs-0005-reset-ret-in-record_one_backref.patch.
- Delete
patches.suse/btrfs-0010-change-how-we-queue-blocks-for-backref-checkin.patch.
- Delete
patches.suse/btrfs-0022-skip-subvol-entries-when-checking-if-we-ve-cre.patch.
- Delete
patches.suse/btrfs-0033-remove-ourselves-from-the-cluster-list-under-l.patch.
- commit c00734a
-------------------------------------------------------------------
Fri Oct 11 18:35:04 CEST 2013 - jeffm@suse.com
- Update to 3.12-rc4.
- commit 8fdbb19
-------------------------------------------------------------------
Fri Oct 11 13:45:25 CEST 2013 - tiwai@suse.de
- drm/i915: Undo the PIPEA quirk for i845 (bnc#845503).
- commit e401a74
-------------------------------------------------------------------
Tue Oct 8 20:02:53 CEST 2013 - tiwai@suse.de
- ALSA: hda - Add fixup for ASUS N56VZ (bnc#841645).
- commit 9f1b1d6
-------------------------------------------------------------------
Mon Oct 7 23:46:39 CEST 2013 - jack@suse.cz
- supported.conf: Update support info
- commit fe619b0
-------------------------------------------------------------------
Sat Oct 5 18:59:29 CEST 2013 - jslaby@suse.cz
- Linux 3.11.4.
- commit 40d7ca3
-------------------------------------------------------------------
Fri Oct 4 20:14:16 CEST 2013 - bp@suse.de
- supported.conf: Remove IOMMU v2
This is only needed for HSA.
- commit 1d0217b
-------------------------------------------------------------------
Fri Oct 4 19:53:33 CEST 2013 - bp@suse.de
- supported.conf: Add a bunch of AMD-specific drivers to the list
+ sb_edac which people wanted since SLE11-SP2.
- commit 942c03a
-------------------------------------------------------------------
Fri Oct 4 19:41:56 CEST 2013 - bpoirier@suse.de
- supported.conf: update for network related modules
based on FATE#313655
+ drivers/net/ethernet/intel/i40e/i40e
vhost_net depends on vhost
+ drivers/vhost/vhost
nf_nat is now nf_nat_ipv4, _ipv6 was introduced in v3.7
- net/ipv4/netfilter/nf_nat
+ net/ipv4/netfilter/nf_nat_ipv4
+ net/ipv6/netfilter/nf_nat_ipv6
based on the rationale that we already package `ss` and support inet_diag:
+ net/ipv4/udp_diag
+ net/netlink/netlink_diag
+ net/packet/af_packet_diag
+ net/unix/unix_diag
- commit 07a54e6
-------------------------------------------------------------------
Fri Oct 4 17:04:15 CEST 2013 - mmarek@suse.cz
- supported.conf: Add newly requested drivers
- commit 199c169
-------------------------------------------------------------------
Fri Oct 4 14:53:01 CEST 2013 - mmarek@suse.cz
- supported.conf: More updates from SLE11-SP3
- commit 45bc81a
-------------------------------------------------------------------
Fri Oct 4 13:04:43 CEST 2013 - mmarek@suse.cz
- rpm/split-modules: Check module support only if the -extra package is requested
- commit add86bf
-------------------------------------------------------------------
Fri Oct 4 13:02:48 CEST 2013 - mmarek@suse.cz
- rpm/split-modules: Remove unused options
- commit 3736315
-------------------------------------------------------------------
Fri Oct 4 12:57:44 CEST 2013 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Fix creating the kernel-*-extra package
CONFIG_ENTERPRISE_SUPPORT has been renamed to CONFIG_SUSE_KERNEL_SUPPORTED
- commit 9e5b6fe
-------------------------------------------------------------------
Fri Oct 4 11:48:44 CEST 2013 - mmarek@suse.cz
- supported.conf: Copy some missing changes from SLE11-SP3
- commit fdeb1a7
-------------------------------------------------------------------
Fri Oct 4 10:47:37 CEST 2013 - mmarek@suse.cz
- supported.conf: Remove the kernel/ prefix from module paths.
- commit 9649d9f
-------------------------------------------------------------------
Fri Oct 4 10:45:53 CEST 2013 - mmarek@suse.cz
- modpost: Allow wildcards in the Module.supported file.
- commit a464383
-------------------------------------------------------------------
Fri Oct 4 10:38:42 CEST 2013 - mmarek@suse.cz
- modpost: Fix matching of dashes and underscores in Module.supported (bnc#719090).
- commit cab1abc
-------------------------------------------------------------------
Thu Oct 3 17:25:25 CEST 2013 - mmarek@suse.cz
- Enable CONFIG_DRM_QXL and mark qxl.ko as supported (fate#316469)
- commit acad9db
-------------------------------------------------------------------
Wed Oct 2 07:54:02 CEST 2013 - jslaby@suse.cz
- Linux 3.11.3.
- Refresh patches.xen/xen3-patch-3.4.
- commit 485f0d1
-------------------------------------------------------------------
Tue Oct 1 20:08:51 CEST 2013 - jeffm@suse.com
- Update to 3.12-rc3.
- commit e5ef0d0
-------------------------------------------------------------------
Tue Oct 1 12:04:02 CEST 2013 - mmarek@suse.cz
- Disable CONFIG_FB_TMIO (Sharp Zaurus) on non-arm configs.
- commit 977b235
-------------------------------------------------------------------
Tue Oct 1 10:06:04 CEST 2013 - mmarek@suse.cz
- Disable CONFIG_FB_GEODE, as the individual GEODE options are already disabled
- commit d10fe5f
-------------------------------------------------------------------
Mon Sep 30 17:08:45 CEST 2013 - mmarek@suse.cz
- Always include the git commit in KOTD builds
This allows us not to set it explicitly in builds submitted to the
official distribution (bnc#821612, bnc#824171).
- commit a80e5ef
-------------------------------------------------------------------
Mon Sep 30 16:35:24 CEST 2013 - mmarek@suse.cz
- Do not build udlfb, to avoid conflict with udl (fate#316407)
- commit 678681d
-------------------------------------------------------------------
Mon Sep 30 16:21:15 CEST 2013 - mmarek@suse.cz
- Disable fb drivers that have been blacklisted for a long time
via /etc/modprobe.d/50-blacklist.conf.
- commit b34e737
-------------------------------------------------------------------
Mon Sep 30 13:53:44 CEST 2013 - dsterba@suse.cz
- Btrfs: relocate csums properly with prealloc extents.
- commit 6cf855e
-------------------------------------------------------------------
Mon Sep 30 13:49:46 CEST 2013 - dsterba@suse.cz
- Btrfs: relocate csums properly with prealloc extents.
- commit cb5d5b5
-------------------------------------------------------------------
Sat Sep 28 18:00:10 CEST 2013 - ohering@suse.de
- Refresh
patches.suse/suse-hv-X86-Hyper-V-Get-the-local-APIC-timer-frequency-from-.patch.
actually assign the divide result to hv_lapic_frequency
- commit 420e19b
-------------------------------------------------------------------
Sat Sep 28 11:51:54 CEST 2013 - ohering@suse.de
- Refresh
patches.suse/suse-hv-X86-Hyper-V-Get-the-local-APIC-timer-frequency-from-.patch.
actually assign the divide result to hv_lapic_frequency
- commit 9e0a852
-------------------------------------------------------------------
Fri Sep 27 23:46:35 CEST 2013 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Add obsolete KMPs from sle11-sp1
- commit 221133c
-------------------------------------------------------------------
Fri Sep 27 23:16:48 CEST 2013 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Make sure that all KMP obsoletes are
versioned (bnc#821465).
- commit d802bf2
-------------------------------------------------------------------
Fri Sep 27 23:07:09 CEST 2013 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Provide obsoleted KMPs (bnc#753353)
- commit f5449e6
-------------------------------------------------------------------
Fri Sep 27 22:59:13 CEST 2013 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Remove unversioned provides/obsoletes
for packages that were only seen in openSUSE releases up to 11.0.
(bnc#821465).
- commit 7e1f4b6
-------------------------------------------------------------------
Fri Sep 27 22:11:47 CEST 2013 - jeffm@suse.com
- config: disable FB_UDL in favor of DRM_UDL (bnc#836782).
- commit 68c5865
-------------------------------------------------------------------
Fri Sep 27 18:13:45 CEST 2013 - ohering@suse.de
- Refresh
patches.suse/suse-hv-X86-Hyper-V-Get-the-local-APIC-timer-frequency-from-.patch.
- commit 83e7e53
-------------------------------------------------------------------
Fri Sep 27 17:35:01 CEST 2013 - ohering@suse.de
- Refresh
patches.suse/suse-hv-X86-Hyper-V-Get-the-local-APIC-timer-frequency-from-.patch.
- commit ff1e29f
-------------------------------------------------------------------
Fri Sep 27 16:24:13 CEST 2013 - ohering@suse.de
- X86: Hyper-V: Get the local APIC timer frequency from the
hypervisor.
- commit 4f400e1
-------------------------------------------------------------------
Fri Sep 27 16:22:49 CEST 2013 - ohering@suse.de
- Input: add a driver to support Hyper-V synthetic keyboard
(fate#315887).
- Update config files.
- commit 7affb28
-------------------------------------------------------------------
Fri Sep 27 16:19:51 CEST 2013 - ohering@suse.de
- X86: Hyper-V: Get the local APIC timer frequency from the
hypervisor.
- commit ca351ea
-------------------------------------------------------------------
Fri Sep 27 16:10:26 CEST 2013 - ohering@suse.de
- Input: add a driver to support Hyper-V synthetic keyboard
(fate#315887).
- Update config files.
- commit 0b0a3fa
-------------------------------------------------------------------
Fri Sep 27 15:40:04 CEST 2013 - jeffm@suse.com
- config: disable DGAP on s390
It requires ioremap, which isn't available on s390. It's a staging
driver anyway.
- commit cf0bc41
-------------------------------------------------------------------
Fri Sep 27 14:52:18 CEST 2013 - mmarek@suse.cz
- rpm/old-flavors, rpm/mkspec: Add version information to obsolete
flavors (bnc#821465).
- rpm/kernel-binary.spec.in: Move the xenpae obsolete to the
old-flavors file.
- commit 25c1b0d
-------------------------------------------------------------------
Fri Sep 27 14:09:37 CEST 2013 - mmarek@suse.cz
- rpm/old-flavors: Convert the old-packages.conf file to a flat
list.
- rpm/mkspec: Adjust.
- rpm/old-packages.conf: Delete.
- commit 4037e16
-------------------------------------------------------------------
Fri Sep 27 13:20:24 CEST 2013 - mmarek@suse.cz
- rpm/old-packages.conf: Drop bogus obsoletes for "smp" (bnc#821465)
- commit 50c4c23
-------------------------------------------------------------------
Fri Sep 27 11:05:13 CEST 2013 - jslaby@suse.cz
- README.BRANCH: fix typo
- commit 4c1fc5a
-------------------------------------------------------------------
Fri Sep 27 10:34:30 CEST 2013 - jslaby@suse.cz
- Linux 3.11.2.
- Refresh patches.xen/pci-guestdev.
- Refresh patches.xen/xen3-patch-2.6.27.
- Delete
patches.rpmify/powerpc-add-missing-include-to-book3s_xics-c.
- commit d1e8a21
-------------------------------------------------------------------
Fri Sep 27 10:29:30 CEST 2013 - jdelvare@suse.de
- config/*/debug: Enable FSCACHE_DEBUG and CACHEFILES_DEBUG.
- commit 5068fbc
-------------------------------------------------------------------
Thu Sep 26 17:32:40 CEST 2013 - rgoldwyn@suse.com
- rpm/kernel-binary.spec.in: Remove Obsoletes ocfs2-kmp
This is done to enable install of ocfs2-kmp-* alongwith the
kernel until the patches are reviewed.
- commit 9d2b4ca
-------------------------------------------------------------------
Thu Sep 26 16:27:42 CEST 2013 - jeffm@suse.com
- config.conf: Re-enable s390x and ia64 configs
- commit 954d4c1
-------------------------------------------------------------------
Thu Sep 26 16:10:40 CEST 2013 - jeffm@suse.com
- config.conf: Re-enable s390x and ia64 configs
- commit adb564f
-------------------------------------------------------------------
Thu Sep 26 16:10:27 CEST 2013 - jeffm@suse.com
- patches.rpmify/documentation-fix-bad-merge-with-tmpfile-documentation:
Updated Patch-mainline
- commit a1513a8
-------------------------------------------------------------------
Thu Sep 26 15:29:57 CEST 2013 - jdelvare@suse.de
- Build gpio-ucb1400 as a module
- commit 68bb010
-------------------------------------------------------------------
Thu Sep 26 11:53:35 CEST 2013 - jdelvare@suse.de
- Build gpio-ucb1400 as a module
- commit fc372c0
-------------------------------------------------------------------
Thu Sep 26 11:47:04 CEST 2013 - mmarek@suse.cz
- Update README.BRANCH
- commit 4d432eb
-------------------------------------------------------------------
Wed Sep 25 16:55:12 CEST 2013 - dsterba@suse.cz
- Disable patches.suse/btrfs-0034-optimize-key-searches-in-btrfs_search_slot.patch
- commit e74b2a8
-------------------------------------------------------------------
Wed Sep 25 16:25:49 CEST 2013 - agraf@suse.de
- ARM: Update config files: Use lpae for multiarch, exynos for LPAE exynos5
- commit 957e65c
-------------------------------------------------------------------
Wed Sep 25 16:09:40 CEST 2013 - agraf@suse.de
- Clean up ARM patches:
- Refresh patches.arch/arm-arndale-dma.patch.
- Delete patches.arch/arm-exynos-nosparse.patch.
- Delete
patches.arch/arm-xen-0001-arm-introduce-psci_smp_ops.patch.
- Delete
patches.arch/arm-xen-0002-ARM-Enable-selection-of-SMP-operations-at-boot-time.patch.
- Delete
patches.arch/arm-xen-0006-xen-arm-disable-cpuidle-when-linux-is-running-as-dom.patch.
- Delete
patches.arch/arm-xen-0007-arm-choose-debug-uncompress.h-include-when-uncompres.patch.
- Delete
patches.arch/arm-xen-0008-xen-arm-enable-PV-control-for-ARM.patch.
- commit 1cdc83d
-------------------------------------------------------------------
Wed Sep 25 16:01:31 CEST 2013 - agraf@suse.de
- config.conf:
- Update config files for ARM on 3.12.
- commit 2503bec
-------------------------------------------------------------------
Tue Sep 24 18:47:46 CEST 2013 - trenn@suse.de
- Delete patches.arch/010-acpi_initrd_override_tables.patch.
This old one alone broke acpi initrd override -> delete it.
- commit a75f081
-------------------------------------------------------------------
Tue Sep 24 18:10:03 CEST 2013 - jeffm@suse.com
- lustre/lloop: don't change the logical size for the queue.
- commit 4a2006a
-------------------------------------------------------------------
Tue Sep 24 16:30:50 CEST 2013 - jeffm@suse.com
- Update to 3.12-rc2.
- Eliminated 3 patches.
- commit d7d9943
-------------------------------------------------------------------
Tue Sep 24 15:55:10 CEST 2013 - jeffm@suse.com
- Update to 3.12-rc1.
- Eliminated 42 patches.
- Xen is disabled.
- ARM is disabled.
- commit c58d853
-------------------------------------------------------------------
Mon Sep 23 21:33:48 CEST 2013 - jeffm@suse.com
- patches.suse/btrfs-add-per-super-attribute-publishing-to-sysfs: Fix issue where
the error case would still attempt to create sysfs dir.
- commit 129c02b
-------------------------------------------------------------------
Fri Sep 20 21:16:16 CEST 2013 - jslaby@suse.cz
- power_supply: Prevent suspend until power supply events are
processed (bnc#841596).
- commit 11b28da
-------------------------------------------------------------------
Wed Sep 18 14:41:04 CEST 2013 - duwe@suse.de
- Increase NR_CPUS on ppc64 to 2048 (fate#315438)
- commit 8a38aef
-------------------------------------------------------------------
Tue Sep 17 19:55:19 CEST 2013 - jslaby@suse.cz
- Update config files.
Fix ZSWAP on vanillas.
- commit da42424
-------------------------------------------------------------------
Tue Sep 17 18:05:34 CEST 2013 - jeffm@suse.com
- scripts/sequence-patch.sh: Fix for Xen detection with --vanilla
When we hit the limit code to handle $SKIP_XEN and there aren't
any Xen patches to apply anyway, we'll fail because LIMIT is unset.
- commit 1d7b879
-------------------------------------------------------------------
Tue Sep 17 17:44:49 CEST 2013 - jeffm@suse.com
- scripts/tar-up.sh: Disable Xen patches when Xen configs are disabled
Similar to the change for scripts/sequence-patch.sh, this change
disables the Xen patches with +noxen in series.conf when creating
the kernel-source package.
- commit 3104a04
-------------------------------------------------------------------
Tue Sep 17 17:14:19 CEST 2013 - jeffm@suse.com
- scripts/sequence-patch.sh: Skip Xen patches when Xen configs are disabled.
When updating the base kernel version, the Xen patches must be updated
separately. This allows us to skip the Xen patches until they're resynced
just by disabling the configs.
- commit d9673e9
-------------------------------------------------------------------
Tue Sep 17 16:31:27 CEST 2013 - jeffm@suse.com
- btrfs: use feature attribute names to print better error
messages.
- btrfs: add ability to change features via sysfs.
- btrfs: add publishing of unknown features in sysfs.
- btrfs: publish per-super features to sysfs.
- btrfs: add per-super attributes to sysfs.
- btrfs: export supported featured to sysfs.
- kobject: introduce kobj_completion.
- btrfs: add ioctls to query/change feature bits online.
- btrfs: use btrfs_commit_transaction when setting fslabel.
- commit 7e40f1e
-------------------------------------------------------------------
Tue Sep 17 16:28:02 CEST 2013 - jeffm@suse.com
- config: Update missing ZSWAP configs.
- commit 85bd223
-------------------------------------------------------------------
Mon Sep 16 18:40:22 CEST 2013 - dsterba@suse.cz
- Btrfs: optimize key searches in btrfs_search_slot.
- Btrfs: remove ourselves from the cluster list under lock.
- Btrfs: do not clear our orphan item runtime flag on eexist.
- Btrfs: fix printing of non NULL terminated string.
- Btrfs: fix memory leak of orphan block rsv.
- Btrfs: don't miss inode ref items in BTRFS_IOC_INO_LOOKUP.
- Btrfs: add missing error code to BTRFS_IOC_INO_LOOKUP handler.
- Btrfs: move btrfs_free_qgroup_config() out of spin_lock and
fix comments.
- Btrfs: fix oops when writing dirty qgroups to disk.
- Btrfs: fix heavy delalloc related deadlock.
- Btrfs: fix the error handling wrt orphan items.
- Btrfs: don't allow a subvol to be deleted if it is the default
subovl.
- Btrfs: skip subvol entries when checking if we've created a
dir already.
- Btrfs: fix possible memory leak in find_parent_nodes().
- Btrfs: return ENOSPC when target space is full.
- Btrfs: don't ignore errors from btrfs_run_delayed_items.
- Btrfs: fix inode leak on kmalloc failure in tree-log.c.
- Btrfs: stop using GFP_ATOMIC when allocating rewind ebs.
- Btrfs: deal with enomem in the rewind path.
- Btrfs: check our parent dir when doing a compare send.
- Btrfs: handle errors when doing slow caching.
- Btrfs: add missing error handling to read_tree_block.
- Btrfs: add missing error check to find_parent_nodes.
- Btrfs: don't bug_on when we fail when cleaning up transactions.
- Btrfs: change how we queue blocks for backref checking.
- Btrfs: add missing mounting options in btrfs_show_options().
- Btrfs: use u64 for subvolid when parsing mount options.
- Btrfs: don't bother autodefragging if our root is going away.
- Btrfs: cleanup reloc roots properly on error.
- Btrfs: reset ret in record_one_backref.
- btrfs: fix get set label blocking against balance.
- Btrfs: set qgroup_ulist to be null after calling ulist_free().
- Btrfs: add missing error checks to add_data_references.
- Btrfs: Release uuid_mutex for shrink during device delete.
- commit ded2bc7
-------------------------------------------------------------------
Sat Sep 14 20:49:04 CEST 2013 - jslaby@suse.cz
- Linux 3.11.1.
- commit 1383321
-------------------------------------------------------------------
Thu Sep 12 22:55:16 CEST 2013 - jeffm@suse.com
- config: Enable CONFIG_ZSWAP (bnc#839064).
It's built-in but a no-op without zswap.enabled=1 on the kernel
command line.
- commit 1441e59
-------------------------------------------------------------------
Thu Sep 12 22:53:40 CEST 2013 - jeffm@suse.com
- Update
patches.fixes/reiserfs-locking-handle-nested-locks-properly.
- Update
patches.fixes/reiserfs-locking-push-write-lock-out-of-xattr-code.
- Update
patches.fixes/reiserfs-locking-release-lock-around-quota-operations.
- commit fd0a178
-------------------------------------------------------------------
Fri Sep 6 15:54:37 CEST 2013 - rgoldwyn@suse.de
- Update config files to enable GFS DLM locking.
- commit 712cff0
-------------------------------------------------------------------
Thu Sep 5 11:42:00 CEST 2013 - ohering@suse.de
- Drivers: hv: util: Fix a bug in util version negotiation code
(bnc#838346).
- commit 938aca5
-------------------------------------------------------------------
Wed Sep 4 14:06:51 CEST 2013 - jbeulich@suse.com
- Update Xen patches to final 3.11.
- commit e71a103
-------------------------------------------------------------------
Tue Sep 3 17:25:07 CEST 2013 - jeffm@suse.com
- Update to 3.11-final.
- commit 7561c6f
-------------------------------------------------------------------
Thu Aug 29 21:20:20 CEST 2013 - jslaby@suse.cz
- Linux 3.10.10.
- commit 8038aea
-------------------------------------------------------------------
Tue Aug 27 21:34:49 CEST 2013 - jeffm@suse.com
- rpm/kernel-docs.spec.in: Fix missing whitespace error in description
- commit 280c88e
-------------------------------------------------------------------
Tue Aug 27 15:32:27 CEST 2013 - jeffm@suse.com
- Update to 3.11-rc7.
- commit 99e1318
-------------------------------------------------------------------
Wed Aug 21 11:21:00 CEST 2013 - jbeulich@suse.com
- Update Xen patches to 3.11-rc6.
- commit 7ee85be
-------------------------------------------------------------------
Wed Aug 21 09:31:18 CEST 2013 - jslaby@suse.cz
- Linux 3.10.9.
- commit a0841e3
-------------------------------------------------------------------
Wed Aug 21 09:29:19 CEST 2013 - jslaby@suse.cz
- Linux 3.10.8.
- commit e77e7a7
-------------------------------------------------------------------
Mon Aug 19 17:55:35 CEST 2013 - jeffm@suse.com
- Update to 3.11-rc6.
- commit b474038
-------------------------------------------------------------------
Thu Aug 15 15:46:32 CEST 2013 - jbeulich@suse.com
- Update Xen patches to 3.11-rc5.
- config.conf: Re-enable Xen configs
- Delete patches.xen/xen-sections.
- commit adcd98b
-------------------------------------------------------------------
Thu Aug 15 09:42:59 CEST 2013 - jslaby@suse.cz
- Linux 3.10.7.
- commit 771dec3
-------------------------------------------------------------------
Tue Aug 13 17:03:09 CEST 2013 - guillaume.gardet@oliseo.fr
- config: update armv6 default config to multi-arch armv6
- commit 25b5917
-------------------------------------------------------------------
Mon Aug 12 15:26:59 CEST 2013 - jeffm@suse.com
- Update to 3.11-rc5.
- commit c33c981
-------------------------------------------------------------------
Mon Aug 12 08:07:06 CEST 2013 - jslaby@suse.cz
- Linux 3.10.6.
- commit bd99dce
-------------------------------------------------------------------
Sun Aug 11 15:39:39 CEST 2013 - jslaby@suse.cz
- Update config files.
Enable acpi pci hotplug again.
- commit f2022c3
-------------------------------------------------------------------
Thu Aug 8 12:05:35 CEST 2013 - guillaume.gardet@oliseo.fr
- arm: update default config for 3.11.0-rc4
- Add sound modules
- Add TI wifi modules
- commit 448a93c
-------------------------------------------------------------------
Thu Aug 8 09:28:39 CEST 2013 - dmueller@suse.com
- config/arm: update and reenable ARM64 config
- commit 327e5fc
-------------------------------------------------------------------
Thu Aug 8 09:23:41 CEST 2013 - dmueller@suse.com
- Reenable ARMv6/ARMv7 configs
- commit 461df98
-------------------------------------------------------------------
Wed Aug 7 19:07:39 CEST 2013 - jeffm@suse.com
- config: disable RCU_USER_QS
RCU_USER_QS is used for hacking on debugging the full dynticks model,
which we've disabled.
- commit 910e01d
-------------------------------------------------------------------
Mon Aug 5 22:59:55 CEST 2013 - jeffm@suse.com
- reiserfs: locking, release lock around quota operations
(bnc#815320).
- reiserfs: locking, handle nested locks properly (bnc#815320).
- reiserfs: locking, push write lock out of xattr code
(bnc#815320).
- commit 01695c8
-------------------------------------------------------------------
Mon Aug 5 14:30:18 CEST 2013 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Avoid hardcoded runtime dependency on
module-init-tools.
- commit 2889cef
-------------------------------------------------------------------
Mon Aug 5 04:24:06 CEST 2013 - jeffm@suse.com
- Update to 3.11-rc4.
- commit 38e9077
-------------------------------------------------------------------
Sun Aug 4 13:35:35 CEST 2013 - jslaby@suse.cz
- Linux 3.10.5 (bnc#829539 bnc#830492).
- Delete
patches.fixes/drm-i915-Fix-write-read-race-with-multiple-rings.patch.
- Delete
patches.fixes/drm-i915-correctly-restore-fences-with-objects-attac.patch.
- Delete
patches.suse/suse-hv-Drivers-hv-balloon-Do-not-post-pressure-status-if-in.patch.
- Delete
patches.suse/suse-hv-Drivers-hv-balloon-Fix-a-bug-in-the-hot-add-code.patch.
- commit 4e0ffc2
-------------------------------------------------------------------
Tue Jul 30 07:43:15 CEST 2013 - jslaby@suse.cz
- Delete patches.drivers/elousb.patch.
- Delete patches.kernel.org/patch-3.10.1-2.
They are both obsolete.
- commit e924b54
-------------------------------------------------------------------
Mon Jul 29 14:45:28 CEST 2013 - jeffm@suse.com
- Update to 3.11-rc3.
- Eliminated 3 patches.
- commit c0a4742
-------------------------------------------------------------------
Mon Jul 29 08:26:48 CEST 2013 - jslaby@suse.cz
- Linux 3.10.4.
- Refresh patches.suse/no-frame-pointer-select.
- commit d58862b
-------------------------------------------------------------------
Fri Jul 26 07:54:19 CEST 2013 - jslaby@suse.cz
- Linux 3.10.3 (bnc#802347 bnc#830492).
- Delete
patches.fixes/drm-i915-Only-clear-write-domains-after-a-successful.patch.
- Delete
patches.fixes/drm-nouveau-use-vmalloc-for-pgt-allocation.patch.
- Delete
patches.kernel.org/revert-cpufreq-Fix-cpufreq-regression-after-suspend-.patch.
- commit ec6c1d9
-------------------------------------------------------------------
Thu Jul 25 22:55:37 CEST 2013 - jeffm@suse.com
- btrfs: check index in extent_buffer_page.
- commit 15eacb9
-------------------------------------------------------------------
Thu Jul 25 19:01:09 CEST 2013 - jdelvare@suse.de
- Hu, OK, RapidIO can't be fully modularized on PPC (yet.)
- commit 4c2611f
-------------------------------------------------------------------
Thu Jul 25 17:58:15 CEST 2013 - jdelvare@suse.de
- Update config files: build RapidIO support as modules
- commit 1c6c425
-------------------------------------------------------------------
Thu Jul 25 02:08:31 CEST 2013 - jeffm@suse.com
- rpm/kernel-binary.spec.in: Obsolete microcode_ctl
The kernel can request CPU microcode itself now and microcode_ctl is
no longer required.
- commit 43e5ff5
-------------------------------------------------------------------
Thu Jul 25 00:51:31 CEST 2013 - jeffm@suse.com
- config: enable early CPU microcode loading.
- commit 0911270
-------------------------------------------------------------------
Wed Jul 24 19:12:20 CEST 2013 - jeffm@suse.com
- btrfs: fall back to global reservation when removing subvolumes.
- commit 026dc43
-------------------------------------------------------------------
Wed Jul 24 08:25:45 CEST 2013 - jslaby@suse.cz
- drm/i915: correctly restore fences with objects attached
(bnc#830492).
- commit 49a8c47
-------------------------------------------------------------------
Tue Jul 23 03:35:59 CEST 2013 - jeffm@suse.com
- config: disable USB_CHIPIDEA
- commit 00cdcf9
-------------------------------------------------------------------
Tue Jul 23 03:08:18 CEST 2013 - jeffm@suse.com
- Refresh patches.suse/dmraid45-api-updates.
- commit 8e1fa8b
-------------------------------------------------------------------
Tue Jul 23 02:45:54 CEST 2013 - jeffm@suse.com
- Refresh patches.suse/ovl05-overlay-filesystem.patch.
- commit 27c899f
-------------------------------------------------------------------
Tue Jul 23 01:54:04 CEST 2013 - jeffm@suse.com
- Update to 3.11-rc2.
- Eliminated 1 patch.
- commit f6f5941
-------------------------------------------------------------------
Mon Jul 22 08:49:02 CEST 2013 - jslaby@suse.cz
- Refresh
patches.arch/arm-xen-0001-arm-introduce-psci_smp_ops.patch.
- Refresh
patches.arch/arm-xen-0002-ARM-Enable-selection-of-SMP-operations-at-boot-time.patch.
Update usptream status.
- commit 17cddd7
-------------------------------------------------------------------
Mon Jul 22 08:46:05 CEST 2013 - jslaby@suse.cz
- Linux 3.10.2.
- Delete
patches.arch/arm-xen-0003-ARM-let-the-ASID-allocator-handle-suspended-animatio.patch.
- Delete
patches.arch/arm-xen-0004-ARM-prevent-risks-of-out-of-bound-access-in-ASID-all.patch.
- Delete
patches.arch/arm-xen-0005-ARM-Cortex-A15-fix-erratum-798181-implementation.patch.
- commit 16a6c33
-------------------------------------------------------------------
Mon Jul 22 08:34:41 CEST 2013 - jslaby@suse.cz
- drm/i915: Only clear write-domains after a successful wait-seqno
(bnc#830492).
- drm/i915: Fix write-read race with multiple rings (bnc#830492).
- commit d76b800
-------------------------------------------------------------------
Fri Jul 19 16:39:26 CEST 2013 - ohering@suse.de
- Drivers: hv: balloon: Do not post pressure status if interrupted
(bnc#829539).
- Drivers: hv: balloon: Fix a bug in the hot-add code
(bnc#829539).
- Drivers: hv: util: Fix a bug in version negotiation code for
util services (bnc#828714).
- commit 0cd5432
-------------------------------------------------------------------
Thu Jul 18 12:23:36 CEST 2013 - jbeulich@suse.com
- Refresh patches.xen/xen3-patch-3.7 (bnc#804198).
- commit 123639e
-------------------------------------------------------------------
Tue Jul 16 22:16:18 CEST 2013 - jslaby@suse.cz
- Refresh patches.drivers/elousb.patch.
- commit abd0978
-------------------------------------------------------------------
Tue Jul 16 22:10:25 CEST 2013 - jslaby@suse.cz
- drm/nouveau: use vmalloc for pgt allocation (bnc#802347).
- commit 114f846
-------------------------------------------------------------------
Tue Jul 16 16:28:12 CEST 2013 - jeffm@suse.com
- Updated patch-mainline headers.
- commit ba04848
-------------------------------------------------------------------
Tue Jul 16 16:21:01 CEST 2013 - jeffm@suse.com
- Update to 3.10-rc1.
- Eliminated 13 patches.
- Xen needs updating and is disabled.
- commit 8ffe6ba
-------------------------------------------------------------------
Tue Jul 16 00:41:12 CEST 2013 - jeffm@suse.com
- config: revert NO_HZ_FULL=y to NO_HZ_IDLE=y (bnc#826946)
NO_HZ_FULL mode was introduced in v3.10 but still has some
problems to be worked out. Most notably are issues with
CPU accounting and how it interacts with power states.
We'll re-enable it when it's been proven to be stable.
- commit 80c46a2
-------------------------------------------------------------------
Mon Jul 15 13:52:23 CEST 2013 - jbeulich@suse.com
- Refresh Xen patches (bnc#814211, bnc#826374).
- commit 56bb9c2
-------------------------------------------------------------------
Mon Jul 15 10:05:50 CEST 2013 - jslaby@suse.cz
- Revert "cpufreq: Fix cpufreq regression after suspend/resume".
- commit 3bcd588
-------------------------------------------------------------------
Sun Jul 14 09:09:42 CEST 2013 - jslaby@suse.cz
- Linux 3.10.1.
- commit 062e800
-------------------------------------------------------------------
Mon Jul 8 15:15:51 CEST 2013 - mmarek@suse.cz
- Require 14GB of free space in the buildservice
- commit e7a8371
-------------------------------------------------------------------
Mon Jul 8 13:37:50 CEST 2013 - jdelvare@suse.de
- patches.suse/ida-remove-warning-dump-stack.patch: Add upstream commit ID
- commit 4fa2dc2
-------------------------------------------------------------------
Sat Jul 6 03:31:40 CEST 2013 - agraf@suse.de
- ARM: Enable xen for lpae target
- arm: introduce psci_smp_ops.
- ARM: Enable selection of SMP operations at boot time.
- ARM: let the ASID allocator handle suspended animation.
- ARM: prevent risks of out-of-bound access in ASID allocator.
- ARM: Cortex-A15: fix erratum 798181 implementation.
- xen/arm: disable cpuidle when linux is running as dom0.
- arm: choose debug/uncompress.h include when uncompress debug
is disabled.
- xen/arm: enable PV control for ARM.
- Update config files.
- Refresh patches.xen/xen3-fixup-xen.
- commit 5c7a1e8
-------------------------------------------------------------------
Fri Jul 5 17:10:43 CEST 2013 - agraf@suse.de
- MMC: Exynos: Add module alias for dw mmc.
- commit 182d5ca
-------------------------------------------------------------------
Wed Jul 3 17:27:06 CEST 2013 - agraf@suse.de
- ARM: Enable Arndale board with LPAE config
- ARM: EXYNOS: Set 64-bit DMA mask for EXYNOS5250.
- usb: phy: Fix phy-samsung-usb when built as module.
- USB: ehci-s5p: Fix phy reset.
- ARM: Update config files: Make LPAE Exynos only until Multiarch comes
- commit c521db7
-------------------------------------------------------------------
Tue Jul 2 11:34:13 CEST 2013 - jbeulich@suse.com
- Update Xen patches to 3.10-final.
- commit c5ad3f9
-------------------------------------------------------------------
Mon Jul 1 03:49:16 CEST 2013 - jeffm@suse.com
- Update to 3.10-final.
- commit f080c74
-------------------------------------------------------------------
Sat Jun 29 17:14:01 CEST 2013 - dmueller@suse.com
- arm: cubox, use timer initialization directly in the machine descriptor
Now that the only field in struct sys_timer is .init, delete the struct,
and replace the machine descriptor .timer field with the
initialization function itself.
- commit d522780
-------------------------------------------------------------------
Sat Jun 29 12:59:07 CEST 2013 - dmueller@suse.com
- arm: add and reenable armv6hl
We've recently decided to remove the softfp
targets from the openSUSE ARM portfolio. Add
a armv6hl default kernel flavor and remove
traces of armv5tel.
- commit 51c5edf
-------------------------------------------------------------------
Fri Jun 28 01:52:25 CEST 2013 - jslaby@suse.cz
- Linux 3.9.8.
- commit f3348a8
-------------------------------------------------------------------
Thu Jun 27 18:09:43 CEST 2013 - mszeredi@suse.cz
- Refresh patches.suse/ovl02-vfs-export-do_splice_direct-to-modules.patch.
- Refresh patches.suse/ovl05-overlay-filesystem.patch.
- commit 0e47df9
-------------------------------------------------------------------
Thu Jun 27 18:07:54 CEST 2013 - mszeredi@suse.cz
- Revert "overlayfs: api: do_splice_direct has changed."
This reverts commit 6cbfbd0e38cefde22aef0daf8be435a26aa840f7.
This does not work as new_file->f_pos will not get updated so the file position
will never advance.
- commit 93bc660
-------------------------------------------------------------------
Thu Jun 27 17:14:19 CEST 2013 - jeffm@suse.com
- overlayfs: api: do_splice_direct has changed.
- commit 6cbfbd0
-------------------------------------------------------------------
Thu Jun 27 09:33:57 CEST 2013 - guillaume.gardet@oliseo.fr
- arm: build lpae kernel as zImage
- commit d8f6242
-------------------------------------------------------------------
Wed Jun 26 23:16:51 CEST 2013 - jeffm@suse.com
- Update to 3.10-rc7.
- Eliminated 1 patch.
- commit 888aa58
-------------------------------------------------------------------
Wed Jun 26 17:45:49 CEST 2013 - dmueller@suse.com
- arm: fix cubox build by reverting removal of mach-types
- commit ff0165e
-------------------------------------------------------------------
Fri Jun 21 16:54:34 CEST 2013 - jbeulich@suse.com
- Update Xen patches to 3.10-rc6 and c/s 1235.
- commit 20cc894
-------------------------------------------------------------------
Thu Jun 20 21:38:08 CEST 2013 - jslaby@suse.cz
- Linux 3.9.7.
- Update config files.
- commit fccf19c
-------------------------------------------------------------------
Mon Jun 17 20:58:06 CEST 2013 - jeffm@suse.com
- config: update vanilla configs for -rc6.
- commit ca41cec
-------------------------------------------------------------------
Mon Jun 17 20:47:40 CEST 2013 - jeffm@suse.com
- Update to 3.10-rc6.
- commit dbed8c7
-------------------------------------------------------------------
Thu Jun 13 21:23:10 CEST 2013 - jslaby@suse.cz
- Linux 3.9.6.
- Refresh patches.xen/xen3-patch-3.8.
- commit 8ead728
-------------------------------------------------------------------
Tue Jun 11 16:51:44 CEST 2013 - dmueller@suse.com
- arm: topology: export cpu_topology
- commit e3dca27
-------------------------------------------------------------------
Mon Jun 10 19:32:09 CEST 2013 - dmueller@suse.com
- Update and reenable armv7hl configs
- commit 2d22236
-------------------------------------------------------------------
Mon Jun 10 16:28:11 CEST 2013 - jeffm@suse.com
- Update to 3.10-rc5.
- commit 1ce1338
-------------------------------------------------------------------
Mon Jun 10 12:46:05 CEST 2013 - schwab@suse.de
- Update arm64/default and reenable it
- commit 4d0acbd
-------------------------------------------------------------------
Sun Jun 9 20:00:41 CEST 2013 - jslaby@suse.cz
- Linux 3.9.5.
- Refresh patches.xen/xen3-fixup-xen.
- Refresh patches.xen/xen3-patch-2.6.39.
- Delete
patches.fixes/reiserfs-fix-deadlock-with-nfs-racing-on-create-lookup.
- commit 08531e3
-------------------------------------------------------------------
Wed Jun 5 17:55:02 CEST 2013 - jeffm@suse.com
- powerpc: add missing include to book3s_xics.c.
- commit fc06ef7
-------------------------------------------------------------------
Wed Jun 5 15:41:12 CEST 2013 - jbeulich@suse.com
- Update Xen patches to 3.10-rc4.
- use vCPU time info registration to support vread_pvclock().
- Delete patches.xen/xen-netback-nr-irqs.
- config.conf: Re-enable Xen flavors.
- Update x86 config files.
- commit 4967b19
-------------------------------------------------------------------
Wed Jun 5 15:28:47 CEST 2013 - jbeulich@suse.com
- Refresh and re-enable patches.arch/x86_64-unwind-annotations.
- commit 8f640b2
-------------------------------------------------------------------
Wed Jun 5 12:37:10 CEST 2013 - mszeredi@suse.cz
- Refreshed overlayfs patches
- commit 0c856a9
-------------------------------------------------------------------
Mon Jun 3 16:57:04 CEST 2013 - jeffm@suse.com
- Update to 3.10-rc4.
- Eliminated 4 patches.
- commit b3d0118
-------------------------------------------------------------------
Mon Jun 3 16:53:24 CEST 2013 - jeffm@suse.com
- vtime: Use consistent clocks among nohz accounting.
- commit 731983f
-------------------------------------------------------------------
Fri May 31 22:24:48 CEST 2013 - jeffm@suse.com
- reiserfs: fix spurious multiple-fill in reiserfs_readdir_dentry
(bnc#822722).
- commit 244eade
-------------------------------------------------------------------
Fri May 31 22:23:36 CEST 2013 - jeffm@suse.com
- reiserfs: fix problems with chowning setuid file w/ xattrs
(bnc#790920).
- commit 951bebc
-------------------------------------------------------------------
Fri May 31 15:47:46 CEST 2013 - jeffm@suse.com
- iscsi-target: fix heap buffer overflow on error (CVE-2013-2850,
bnc#821560).
- commit 0799911
-------------------------------------------------------------------
Wed May 29 17:45:41 CEST 2013 - jdelvare@suse.de
- Update config files: Modularize HID drivers
Modularize all HID drivers which can easily be. Some couldn't due to
weird Kconfig dependencies.
- commit 02ccd70
-------------------------------------------------------------------
Wed May 29 10:42:00 CEST 2013 - jdelvare@suse.de
- Update config files: disable RADIO_SI476X
RADIO_SI476X selects SND_SOC_SI476X, which depends on SND_SOC which we do
not have.
- commit e75c4a8
-------------------------------------------------------------------
Tue May 28 17:10:30 CEST 2013 - jeffm@suse.com
- Update to 3.10-rc3.
- 2 patches eliminated.
- commit b74ef38
-------------------------------------------------------------------
Fri May 24 21:52:42 CEST 2013 - jslaby@suse.cz
- Linux 3.9.4.
- Delete
patches.kernel.org/x86-Fix-bit-corruption-at-CPU-resume-time.patch.
- commit 51bf0ff
-------------------------------------------------------------------
Wed May 22 21:52:19 CEST 2013 - jslaby@suse.cz
- Refresh
patches.fixes/misdn-add-support-for-group-membership-check.
Let's use the new UID/GID API.
- commit 17413bd
-------------------------------------------------------------------
Wed May 22 21:34:32 CEST 2013 - jeffm@suse.com
- Remove unused 3.9-stable patches.
- commit d28ac96
-------------------------------------------------------------------
Wed May 22 18:20:05 CEST 2013 - jslaby@suse.cz
- x86: Fix bit corruption at CPU resume time.
- commit 06ad9d8
-------------------------------------------------------------------
Wed May 22 17:28:56 CEST 2013 - jeffm@suse.com
- config: remove sparc64 configs
The sparc64 configs have been completely unmaintained for two years.
If someone wants to resurrect support, we'll accept patches.
- commit 17364b5
-------------------------------------------------------------------
Wed May 22 17:21:27 CEST 2013 - jeffm@suse.com
- sparc64: revert accidental changes during the 3.9-rc2 update
- commit b120c5a
-------------------------------------------------------------------
Wed May 22 10:58:24 CEST 2013 - schwab@suse.de
- Update group-source-files.pl to handle symlink to directory
Also add arch/[^/]+/boot/dts/include/dt-bindings to the list of devel
files and avoid listing README.SUSE twice.
- commit af248e4
-------------------------------------------------------------------
Tue May 21 14:44:17 CEST 2013 - jeffm@suse.com
- Update to 3.10-rc2.
- commit e454a38
-------------------------------------------------------------------
Mon May 20 10:50:12 CEST 2013 - jslaby@suse.cz
- Linux 3.9.3.
- Update config files.
- Refresh patches.xen/xen3-patch-2.6.21.
- Refresh patches.xen/xen3-patch-2.6.24.
- Refresh patches.xen/xen3-patch-2.6.36.
- commit 00c4cdd
-------------------------------------------------------------------
Fri May 17 15:24:18 CEST 2013 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Avoid duplicates in the certifiate list.
- commit 9d687ad
-------------------------------------------------------------------
Fri May 17 15:20:53 CEST 2013 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Do an exit 0 at the end of each scriptlet
(bnc#809617).
- commit 7d1a48f
-------------------------------------------------------------------
Thu May 16 12:08:22 CEST 2013 - mmarek@suse.cz
- rpm/config.sh: Use openSUSE:Factory:{ARM,PowerPC}
The openSUSE:Factory/ports repository does not work.
- commit 756ee56
-------------------------------------------------------------------
Thu May 16 10:42:09 CEST 2013 - jdelvare@suse.de
- Update config files: CONFIG_ZSMALLOC=y
Zsmalloc support can no longer be built as a module, so change
CONFIG_ZSMALLOC=m to =y in all configuration files to fix the build.
- commit 861551b
-------------------------------------------------------------------
Tue May 14 15:47:00 CEST 2013 - jeffm@suse.com
- Update to 3.10-rc1.
- Eliminated 10 patches.
- Xen is disabled.
- ARM configs are disabled pending updates.
- In-kernel Bootsplash has been removed.
- EXT4 rich acls are re-enabled after having the index officially reserved.
- commit 0ead2af
-------------------------------------------------------------------
Tue May 14 14:42:28 CEST 2013 - jdelvare@suse.de
- Change CONFIG_OF_MDIO from y to m as needed
Fix build after my previous commit, OF_MDIO depends on PHYLIB so when
turning the latter into a module I should have done so with the former
as well.
- commit 7e7365d
-------------------------------------------------------------------
Tue May 14 13:04:27 CEST 2013 - jdelvare@suse.de
- Update config files : build PHYLIB as a module.
All but armv7hl/cubox: make PHYLIB modular. Most systems don't
need it, and it registers a mdio_bus driver. As a side effect,
we have to disable PHY emulation support, as it is only availble
when PHYLIB is built-in. This driver is rather weird anyway and
hopefully no system we want to support needs it, so it should be
OK.
- CONFIG_PHYLIB=m
- CONFIG_FIXED_PHY=n
- commit 49e25f5
-------------------------------------------------------------------
Sun May 12 14:21:25 CEST 2013 - jslaby@suse.cz
- Linux 3.9.2.
- commit 7bb3aa9
-------------------------------------------------------------------
Fri May 10 16:38:41 CEST 2013 - jbeulich@suse.com
- Update Xen patches to 3.9.1 and c/s 1231.
- commit 0eb4d0d
-------------------------------------------------------------------
Wed May 8 09:25:25 CEST 2013 - jslaby@suse.cz
- Linux 3.9.1.
- Delete patches.arch/arm-highbank-sata.patch.
- commit 733bf04
-------------------------------------------------------------------
Wed May 8 09:23:53 CEST 2013 - jslaby@suse.cz
- Refresh patches.rpmify/ptp-pch-depends-on-x86.
Update upstream status -- it was rejected.
- commit 4173967
-------------------------------------------------------------------
Wed May 8 07:19:57 CEST 2013 - neilb@suse.de
- Delete
patches.fixes/nfsd-06-sunrpc-cache-retry-cache-lookups-that-return-ETIMEDO.patch.
Patchset went upstream around about
commit f16b6e8d838b2e2bb4561201311c66ac02ad67df
in a form that didn't need this patch.
- commit 226d6be
-------------------------------------------------------------------
Wed May 8 07:16:56 CEST 2013 - neilb@suse.de
- Delete patches.fixes/nfs-connect-timeout.
Problem fixed upstream by
commit 3ed5e2a2c394df4e03a680842c2d07a8680f133b
Author: Trond Myklebust <Trond.Myklebust@netapp.com>
Date: Mon Mar 4 17:29:33 2013 -0500
SUNRPC: Report network/connection errors correctly for SOFTCONN rpc tasks
- commit afa0a59
-------------------------------------------------------------------
Tue May 7 18:35:02 CEST 2013 - dmueller@suse.com
- Fix -devel package for armv7hl
armv7hl kernel flavors in the non-multiplatform configuration
(which is the default for our openSUSE 12.3 release), needs
more header files from the machine specific directories to
be included in kernel-devel.
- commit 882120e
-------------------------------------------------------------------
Tue May 7 16:32:41 CEST 2013 - jslaby@suse.cz
- Delete patches.fixes/nfs-adaptive-readdir-plus.
Superseded by d69ee9b85541a69a1092f5da675bd23256dc62af.
- commit f35dac6
-------------------------------------------------------------------
Tue May 7 15:55:05 CEST 2013 - jslaby@suse.cz
- Delete
patches.fixes/fs-partitions-efi-c-corrupted-guid-partition-tables-can-cause-kernel-oops.
Superseded by 3eb8e74ec72736b9b9d728bad30484ec89c91dde.
- commit 7838036
-------------------------------------------------------------------
Tue May 7 14:47:49 CEST 2013 - jslaby@suse.cz
- Delete
patches.fixes/ia64-configure-HAVE_UNSTABLE_SCHED_CLOCK-for-SGI_SN.patch.
Superseded by 0773a6cf673316440999752e23f8c3d4f85e48b9.
- commit 36f8ff4
-------------------------------------------------------------------
Mon May 6 21:14:02 CEST 2013 - jeffm@suse.com
- config.conf: disable s390 support for openSUSE kernels
There is no community project to build openSUSE on s390 so we don't need
to waste resources building the kernel for it.
- commit 5e6ccae
-------------------------------------------------------------------
Mon May 6 10:58:55 CEST 2013 - jdelvare@suse.de
- S390: Disable CONFIG_PHYLIB on 32-bit kernels
libphy depends on irq code which is only available on 64-bit S390,
so disable it on 32-bit kernels.
- commit dd79db9
-------------------------------------------------------------------
Sun May 5 11:46:16 CEST 2013 - jdelvare@suse.de
- idr: Print a stack dump after ida_remove warning
(http://lists.opensuse.org/opensuse-kernel/2013-04/msg00102.html).
- commit 4c487a5
-------------------------------------------------------------------
Sun May 5 09:59:48 CEST 2013 - jdelvare@suse.de
- Update config files: enable CC_STACKPROTECTOR
All other major Linux distributions are building their kernel
with -fstack-protector, so do the same. See the discussion at:
http://lists.opensuse.org/opensuse-kernel/2012-06/msg00088.html
http://lists.opensuse.org/opensuse-kernel/2012-07/msg00000.html
http://lists.opensuse.org/opensuse-kernel/2013-05/msg00013.html
- CONFIG_CC_STACKPROTECTOR=y
- commit fc6f988
-------------------------------------------------------------------
Sat May 4 00:56:33 CEST 2013 - mmarek@suse.cz
- rpm/config.sh: Drop the ARM repository in Kernel:HEAD
Use the "ports" repository of openSUSE:Factory instead.
- commit 6fc0bd5
-------------------------------------------------------------------
Fri May 3 16:48:52 CEST 2013 - mmarek@suse.cz
- rpm/mkspec: Stop generating the get_release_number.sh file
It was only needed for the old KOTD.
- commit ee71b69
-------------------------------------------------------------------
Thu May 2 11:46:28 CEST 2013 - mmarek@suse.cz
- rpm/kernel-spec-macros: Properly handle KOTD release numbers with
.g<commit> suffix
- commit ccb20c3
-------------------------------------------------------------------
Thu May 2 11:26:20 CEST 2013 - mmarek@suse.cz
- rpm/kernel-spec-macros: Drop the %release_num macro
We no longer put the -rcX tag into the release string.
- commit b88e78f
-------------------------------------------------------------------
Tue Apr 30 00:02:00 CEST 2013 - jeffm@suse.de
- Update to 3.9-final.
- commit b4b0bc4
-------------------------------------------------------------------
Mon Apr 29 15:10:50 CEST 2013 - agraf@suse.de
- Fix highbank sata proc_name.
- commit 1c2686d
-------------------------------------------------------------------
Mon Apr 29 10:32:23 CEST 2013 - jslaby@suse.cz
- TTY: fix atime/mtime regression (bnc#815745).
- commit 5e42670
-------------------------------------------------------------------
Fri Apr 26 16:05:32 CEST 2013 - jbeulich@suse.com
- Update Xen patches to 3.9-rc8 and c/s 1229.
- commit dd1fbd8
-------------------------------------------------------------------
Fri Apr 26 09:39:09 CEST 2013 - jslaby@suse.cz
- efi: Export efi_query_variable_store() for efivars.ko
(bnc#815170).
- commit 02dfd8e
-------------------------------------------------------------------
Fri Apr 26 09:29:12 CEST 2013 - jslaby@suse.cz
- Update config files.
- commit 25b8212
-------------------------------------------------------------------
Fri Apr 26 09:21:44 CEST 2013 - jslaby@suse.cz
- x86/Kconfig: Make EFI select UCS2_STRING (bnc#815170).
- Refresh patches.xen/xen-x86-EFI.
- Refresh patches.xen/xen3-auto-xen-kconfig.diff.
- commit ae13122
-------------------------------------------------------------------
Fri Apr 26 08:56:33 CEST 2013 - jslaby@suse.cz
- Linux 3.8.9.
- commit 3f8cfc6
-------------------------------------------------------------------
Wed Apr 24 18:30:54 CEST 2013 - jeffm@suse.com
- config: disable SCSI_UFSHCD on s390/x
It depends on PCI and can be re-enabled when PCI is enabled
on s390/x. I expect eventually another module will be added to use
a different bus technology so adding a depends PCI on SCSI_UFSHCD
won't really help.
- commit 754e58e
-------------------------------------------------------------------
Wed Apr 24 18:22:20 CEST 2013 - jeffm@suse.com
- patches.arch/ppc-prom-nodisplay.patch: Fix build failure.
Commit 3bf3dbf8 (Update to 3.9-rc2) missed removing an
instance of the now-gone RELOC macro, causing build failures.
- commit 674c7b1
-------------------------------------------------------------------
Wed Apr 24 17:51:17 CEST 2013 - jeffm@suse.com
- Update to 3.9-rc8.
Eliminated 2 patches.
- commit a86daba
-------------------------------------------------------------------
Wed Apr 24 16:08:25 CEST 2013 - mmarek@suse.cz
- rpm/macros.kernel-source: Fix the -c option if -n is not given
- commit d704806
-------------------------------------------------------------------
Wed Apr 24 09:25:25 CEST 2013 - jslaby@suse.cz
- x86,efi: Implement efi_no_storage_paranoia parameter
(bnc#815170).
- efi: Distinguish between "remaining space" and actually used
space (bnc#815170).
- x86,efi: Check max_size only if it is non-zero (bnc#815170).
- efi: Pass boot services variable info to runtime code
(bnc#815170).
- Move utf16 functions to kernel core and rename (bnc#815170).
- x86, efivars: firmware bug workarounds should be in platform
code (bnc#815170).
- commit e166324
-------------------------------------------------------------------
Thu Apr 18 14:23:45 CEST 2013 - mmarek@suse.cz
- Remove set -x and set +e statements in KMP scripts
- commit 5575c3c
-------------------------------------------------------------------
Wed Apr 17 10:48:54 CEST 2013 - jslaby@suse.cz
- Linux 3.8.8.
- commit 193f348
-------------------------------------------------------------------
Fri Apr 12 22:48:25 CEST 2013 - agraf@suse.de
- cpuidle: calxeda: disable power gating by default.
- commit 1f54900
-------------------------------------------------------------------
Fri Apr 12 22:35:01 CEST 2013 - jslaby@suse.cz
- Linux 3.8.7.
- Refresh patches.xen/pci-guestdev.
- Delete patches.arch/arm-fix-build-ux500-module.diff.
- commit 9785c05
-------------------------------------------------------------------
Thu Apr 11 15:58:30 CEST 2013 - sleep_walker@suse.cz
- Enable FRAMEBUFFER_CONSOLE_ROTATION in our kernels
More and more monitors have insane aspect ratio and can be better used when
rotated. This may help when X.org is not running and for boot.
- commit f592a19
-------------------------------------------------------------------
Tue Apr 9 16:58:57 CEST 2013 - jeffm@suse.com
- Refresh patches.xen/xen3-patch-3.9-rc5.
Previous commit removed a move of a variable declaration to an ifdef section.
- commit 372aad5
-------------------------------------------------------------------
Tue Apr 9 16:17:57 CEST 2013 - jeffm@suse.com
- Update to 3.9-rc6.
Eliminated 1 patch.
- commit 68d380d
-------------------------------------------------------------------
Tue Apr 9 06:38:22 CEST 2013 - agraf@suse.de
- Refresh patches.arch/arm-fec.patch.
- commit 41b3194
-------------------------------------------------------------------
Tue Apr 9 06:19:41 CEST 2013 - agraf@suse.de
- ARM: cache-l2x0: fix masking of RTL revision numbering and
set_debug init.
- commit bd5dc17
-------------------------------------------------------------------
Mon Apr 8 14:20:48 CEST 2013 - tiwai@suse.de
- Refresh patches.suse/SUSE-bootsplash.
Fix bootsplash breakage due to stable fix (bnc#813963)
- commit 5d7fc50
-------------------------------------------------------------------
Mon Apr 8 13:04:43 CEST 2013 - agraf@suse.de
- ARM: Exynos: Update config files: Use DRM instead of FB
- commit 7e7c136
-------------------------------------------------------------------
Sun Apr 7 17:04:31 CEST 2013 - agraf@suse.de
- ARM: DMA: PL330: Add check if device tree compatible.
- commit 73c94ff
-------------------------------------------------------------------
Sun Apr 7 14:04:19 CEST 2013 - agraf@suse.de
- ARM: exynos: only use device tree when it exists.
- commit afed1f3
-------------------------------------------------------------------
Sun Apr 7 13:25:33 CEST 2013 - agraf@suse.de
- rpm/package-descriptions: add lpae config for ARM
- ARM: Update config files (fix default, split out lpae).
- ARM: xen: Add missing define.
- config.conf: add lpae config for ARM
- rpm/package-descriptions: add lpae config for ARM
- commit 958fe54
-------------------------------------------------------------------
Fri Apr 5 20:48:02 CEST 2013 - jslaby@suse.cz
- Linux 3.8.6.
- Refresh patches.xen/xen3-fixup-xen.
- Refresh patches.xen/xen3-patch-3.1.
- Refresh patches.xen/xen3-patch-3.7.
- commit 713918b
-------------------------------------------------------------------
Fri Apr 5 14:13:15 CEST 2013 - jbeulich@suse.com
- Update Xen patches to 3.9-rc5.
- config.conf: Re-enable Xen configs.
- Update config files.
- commit 0100be6
-------------------------------------------------------------------
Fri Apr 5 13:52:08 CEST 2013 - jdelvare@suse.de
- Update config files: enable ViperBoard drivers
All kernels which include core (MFD) support for the ViperBoard
should also include the actual drivers for its functions:
- CONFIG_I2C_VIPERBOARD=m
- CONFIG_GPIO_VIPERBOARD=m
- commit 688764f
-------------------------------------------------------------------
Fri Apr 5 13:39:18 CEST 2013 - jdelvare@suse.de
- Update config files: disable UCB1400 on all but ARM
Currently UCB1400 is only used on ARM OMAP systems, and part of the
code is dead code that can't even be modularized.
- CONFIG_UCB1400_CORE=n
- CONFIG_TOUCHSCREEN_UCB1400=n
- CONFIG_GPIO_UCB1400=n
- commit c81a0de
-------------------------------------------------------------------
Thu Apr 4 21:46:05 CEST 2013 - jslaby@suse.cz
- drm/nouveau: Fix typo in init_idx_addr_latched() (bnc#800686).
- commit 6c8af4a
-------------------------------------------------------------------
Wed Apr 3 02:53:21 CEST 2013 - jeffm@suse.com
- Update to 3.9-rc5.
EFI_VARS_PSTORE is disabled. It is potentially useful but can brick
certain models of notebooks. Until it's been confirmed as safe, we
should keep this disabled.
- commit 7fd0ea3
-------------------------------------------------------------------
Sat Mar 30 13:18:59 CET 2013 - jdelvare@suse.de
- Update config files: Build DSA drivers as modules
DSA drivers can be built as modules since kernel v3.3, so do that:
- CONFIG_NET_DSA=m
- CONFIG_NET_DSA_MV88E6XXX=m
- CONFIG_NET_DSA_MV88E6060=m
- CONFIG_NET_DSA_MV88E6131=m
- CONFIG_NET_DSA_MV88E6123_61_65=m
- commit ab03c84
-------------------------------------------------------------------
Fri Mar 29 17:10:15 CET 2013 - jdelvare@suse.de
- Update config file config/sparc64/default: drop X86-only GPIO drivers
- commit 931180e
-------------------------------------------------------------------
Fri Mar 29 16:27:41 CET 2013 - jeffm@suse.com
- config: enable GPIO_[PS]CH, disable INTEL_MID_DMAC
- commit 46bdeb9
-------------------------------------------------------------------
Fri Mar 29 15:37:26 CET 2013 - jdelvare@suse.de
- Update config files: CONFIG_I2C_COMPAT
Disable compatibility with lm-sensors <= 3.1.1, version 3.1.2 was
released 3 years ago.
- commit c1b3c2d
-------------------------------------------------------------------
Thu Mar 28 20:40:03 CET 2013 - jslaby@suse.cz
- Linux 3.8.5 (bnc#808829,CVE-2013-0913).
- Delete
patches.drivers/drm-i915-bounds-check-execbuffer-relocation-count.
- Update config files.
- commit acb103a
-------------------------------------------------------------------
Wed Mar 27 22:11:11 CET 2013 - mmarek@suse.cz
- rpm/kernel-cert-subpackage: Fix description and summary.
- commit a4cd5e1
-------------------------------------------------------------------
Tue Mar 26 12:35:11 CET 2013 - agraf@suse.de
- Delete config/armv7hl/u8500.
- commit b9fe3d9
-------------------------------------------------------------------
Mon Mar 25 16:23:30 CET 2013 - jeffm@suse.com
- Updated to 3.9-rc4.
Eliminated 2 patches.
- commit 894fec7
-------------------------------------------------------------------
Sat Mar 23 00:08:34 CET 2013 - jslaby@suse.cz
- rpm/config.sh: build against 12.3
- commit 20fc41c
-------------------------------------------------------------------
Sat Mar 23 00:01:59 CET 2013 - jslaby@suse.cz
- Linux 3.8.4.
- commit a14294f
-------------------------------------------------------------------
Thu Mar 21 10:47:26 CET 2013 - agraf@suse.de
- SMDKV310: Fix compilation.
- commit cf345eb
-------------------------------------------------------------------
Thu Mar 21 06:29:50 CET 2013 - agraf@suse.de
- ARM: FEC: Fix module compilation.
- ARM: kvm: fix IOMMU dependency check.
- omap3isp: fix miscompile.
- VT8500: fix miscompile in clk driver.
- config.conf: Remove imx51 and omap2plus, reenable arm
- Update config files.
- Delete config/armv7hl/imx51.
- Delete config/armv7hl/omap2plus.
- commit 7d60e2d
-------------------------------------------------------------------
Mon Mar 18 16:38:35 CET 2013 - jeffm@suse.com
- Update to 3.9-rc3.
- 2 patches were eliminated
CONFIG_EXPERIMENTAL was eliminated, so I've updated the Xen patches for
that. DMRAID45 and Rich ACLs also needed updating.
- commit 228c5dd
-------------------------------------------------------------------
Mon Mar 18 10:14:30 CET 2013 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Fix sed command with multiple certificates
- commit 59405a2
-------------------------------------------------------------------
Fri Mar 15 16:10:07 CET 2013 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Install the used certificates below
/etc/uefi/certs (fate#314507).
- commit 19b4300
-------------------------------------------------------------------
Fri Mar 15 15:34:11 CET 2013 - mmarek@suse.cz
- rpm/kernel-cert-subpackage: Make the template work with multiple
certificates.
- commit 3bf5898
-------------------------------------------------------------------
Fri Mar 15 09:16:33 CET 2013 - jslaby@suse.cz
- Linux 3.8.3 (bnc#804367 bnc#806966).
- Refresh patches.xen/xen3-fixup-xen.
- Refresh patches.xen/xen3-patch-2.6.23.
- Refresh patches.xen/xen3-patch-2.6.34.
- Delete
patches.fixes/e1000e-fix-pci-device-enable-counter-balance.patch.
- Delete
patches.fixes/revert-USB-EHCI-remove-ASS-PSS-polling-timeout.patch.
- Delete
patches.suse/suse-hv-Drivers-scsi-storvsc-Initialize-the-sglist.patch.
- commit 1ca6928
-------------------------------------------------------------------
Thu Mar 14 17:22:32 CET 2013 - jeffm@suse.com
- Updated to 3.9-rc2.
- Eliminated 52 patches.
- Xen is disabled.
- ARM configs need updating and are disabled.
- commit 3bf3dbf
-------------------------------------------------------------------
Thu Mar 14 16:37:22 CET 2013 - jeffm@suse.com
- Updated Patch-mainline headers for HyperV patches.
- commit 2e0d7a5
-------------------------------------------------------------------
Thu Mar 14 14:41:57 CET 2013 - jeffm@suse.com
- supported-flag: clean up patches and merge into one
The config option is renamed to CONFIG_SUSE_KERNEL_SUPPORTED and
the text has been updated to reflect that SUSE is no longer a
unit of Novell.
- commit 7761647
-------------------------------------------------------------------
Thu Mar 14 12:23:23 CET 2013 - tiwai@suse.de
- drm/i915: bounds check execbuffer relocation count
(bnc#808829,CVE-2013-0913).
- commit 72202f0
-------------------------------------------------------------------
Wed Mar 13 19:30:08 CET 2013 - jeffm@suse.com
- config: disabled CONFIG_SPI and CONFIG_IIO on non-ARM architectures
These devices are found almost entirely on embedded platforms and
end up adding hundreds of drivers for hardware that most users won't
have. On systems using them, they are more likely to be embedded
systems that should probably have a specialized kernel anyway.
- commit 33d736f
-------------------------------------------------------------------
Wed Mar 13 15:17:51 CET 2013 - jeffm@suse.com
- Updated patch-mainline for patches.rpmify/apm-honor-config_apm_cpu_idle-n
- commit 1008856
-------------------------------------------------------------------
Tue Mar 12 14:54:41 CET 2013 - ohering@suse.de
- Drivers: hv: balloon: Do not request completion notification
(fate#314663).
- commit 6b249cb
-------------------------------------------------------------------
Tue Mar 12 14:51:39 CET 2013 - jslaby@suse.cz
- e1000e: fix runtime power management transitions (bnc#806966).
- e1000e: fix pci-device enable-counter balance (bnc#806966).
- e1000e: fix accessing to suspended device (bnc#806966).
- commit 48a333b
-------------------------------------------------------------------
Mon Mar 11 11:57:40 CET 2013 - rw@suse.de
- supported.conf: add drivers/s390/block/scm_block
(fate#314095, bnc#807237)
- commit 09836cb
-------------------------------------------------------------------
Sun Mar 10 22:47:15 CET 2013 - mmarek@suse.cz
- KMP: Add -c <cert> option to the %kernel_module_package macro
This produces an -ueficert subpackage that imports the certificate into
the MokList when installed (fate#314511)
- commit d8f177c
-------------------------------------------------------------------
Fri Mar 8 11:16:27 CET 2013 - mmarek@suse.cz
- KMP: Add the -kmp suffix inside the subpackage definition
- commit 7c6395d
-------------------------------------------------------------------
Tue Mar 5 21:44:13 CET 2013 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Do not require new mkinitrd in kernel-vanilla
- commit 26431d8
-------------------------------------------------------------------
Tue Mar 5 18:16:07 CET 2013 - jbeulich@suse.com
- Update Xen patches to 3.8.2 and c/s 1226.
- commit eee1405
-------------------------------------------------------------------
Tue Mar 5 10:18:01 CET 2013 - jdelvare@suse.de
- gpio-ich: Fix ichx_gpio_check_available() return what callers expect.
Conflicts:
series.conf
- commit 051149b
-------------------------------------------------------------------
Mon Mar 4 16:31:13 CET 2013 - tiwai@suse.de
- Refresh patches.suse/SUSE-bootsplash-mgadrmfb-workaround.
Add the same w/a for ast and cirrus KMS, too (bnc#806990).
- commit 0422575
-------------------------------------------------------------------
Sun Mar 3 23:53:22 CET 2013 - jslaby@suse.cz
- Linux 3.8.2 (bnc#802153).
- Delete patches.arch/009-acpi_initrd_override_tables.patch.
- Delete
patches.fixes/quota-autoload-the-quota_v2-module-for-qfmt_vfs_v1-quota-format.
- commit 3611cf6
-------------------------------------------------------------------
Fri Mar 1 17:52:00 CET 2013 - tiwai@suse.de
- Fix broken VT1 output with mgadrmfb (bnc#806990).
- commit 0934989
-------------------------------------------------------------------
Fri Mar 1 14:02:28 CET 2013 - jslaby@suse.cz
- PCI/PM: Clear state_saved during suspend (bnc#806966).
- commit cdd289b
-------------------------------------------------------------------
Thu Feb 28 19:42:40 CET 2013 - agraf@suse.de
- Add AArch64 support
- commit 09220ef
-------------------------------------------------------------------
Thu Feb 28 17:24:08 CET 2013 - jslaby@suse.cz
- Update config files.
- commit 9ad7236
-------------------------------------------------------------------
Thu Feb 28 16:22:28 CET 2013 - jslaby@suse.cz
- Linux 3.8.1.
- Refresh patches.xen/xen3-patch-2.6.31.
- Delete
patches.suse/suse-hv-register-clocksource-only-if-its-adverti.patch.
- commit 0c01cac
-------------------------------------------------------------------
Thu Feb 28 10:15:39 CET 2013 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Copy kernel signing changes from 12.3 to the
packaging branch
- commit 59d2acf
-------------------------------------------------------------------
Thu Feb 28 10:07:57 CET 2013 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: 'bc' is required since v3.9-rc1
- commit 4a9a926
-------------------------------------------------------------------
Thu Feb 28 10:06:10 CET 2013 - mmarek@suse.cz
- Copy packaging changes from master to the packaging branch
- commit dd227e2
-------------------------------------------------------------------
Wed Feb 27 12:04:52 CET 2013 - jslaby@suse.cz
- Refresh patches.drivers/elousb.patch.
- commit 4687d79
-------------------------------------------------------------------
Tue Feb 26 21:55:05 CET 2013 - agraf@suse.de
- ARM: Update config files: Drop mmap min addr to at most 32k
- commit db497a6
-------------------------------------------------------------------
Tue Feb 26 20:56:34 CET 2013 - agraf@suse.de
- ARM: default: Update config file: Compile RTC drivers =y
- commit dfccf37
-------------------------------------------------------------------
Tue Feb 26 09:08:21 CET 2013 - aj@suse.de
- Mention Linux 3.x as well
- commit 3d86a6c
-------------------------------------------------------------------
Mon Feb 25 11:25:49 CET 2013 - jslaby@suse.cz
- TTY: do not update atime/mtime on read/write (bnc#797175
CVE-2013-0160).
- commit 821f03c
-------------------------------------------------------------------
Thu Feb 21 20:50:30 CET 2013 - ohering@suse.de
- Drivers: hv: balloon: Execute hot-add code in a separate context
(fate#314663).
- Drivers: hv: balloon: Execute balloon inflation in a separate
context (fate#314663).
- commit 2c746be
-------------------------------------------------------------------
Thu Feb 21 20:44:51 CET 2013 - ohering@suse.de
- Drivers: hv: vmbus: Handle channel rescind message correctly
(fate#314665).
- commit bd98cf4
-------------------------------------------------------------------
Thu Feb 21 09:22:37 CET 2013 - jbeulich@suse.com
- update Xen patches to 3.8 final and c/s 1224
- add symbols to hypercall stubs
- commit 88b4228
-------------------------------------------------------------------
Wed Feb 20 16:54:50 CET 2013 - jslaby@suse.cz
- Delete patches.drivers/x86_acpi_cpufreq_autoload.patch.
Substituted by efa17194581bdfca0986dabc178908bd7c21ba00 upstream.
- commit a060c45
-------------------------------------------------------------------
Wed Feb 20 14:40:02 CET 2013 - trenn@suse.de
- Disable efi pstore by default (bnc#804482).
- commit 5986b31
-------------------------------------------------------------------
Wed Feb 20 03:54:06 CET 2013 - jeffm@suse.com
- config: disable ACPI_BGRT on ia64
bgrt_image is only defined on x86.
- commit e252f7f
-------------------------------------------------------------------
Wed Feb 20 03:39:41 CET 2013 - jeffm@suse.com
- config: disable ACPI_INITRD_TABLE_OVERRIDE on ia64
It requires max_low_pfn_mapped, which is x86 only.
- commit 9901a64
-------------------------------------------------------------------
Wed Feb 20 01:18:21 CET 2013 - jeffm@suse.com
- Update to 3.8-final.
- commit c9c649c
-------------------------------------------------------------------
Tue Feb 19 09:08:52 CET 2013 - jslaby@suse.cz
- Revert "USB: EHCI: remove ASS/PSS polling timeout" (bnc#804367).
- commit 83cb8d1
-------------------------------------------------------------------
Tue Feb 19 00:30:27 CET 2013 - jslaby@suse.cz
- drm/nouveau/vm: fix memory corruption when pgt allocation fails
(bnc#802347).
- commit e224175
-------------------------------------------------------------------
Sun Feb 17 20:58:07 CET 2013 - jslaby@suse.cz
- Linux 3.7.9.
- commit c6b8d60
-------------------------------------------------------------------
Sun Feb 17 15:33:22 CET 2013 - ohering@suse.de
- video: Add Hyper-V Synthetic Video Frame Buffer Driver
- Update config files.
- commit f3ee42f
-------------------------------------------------------------------
Thu Feb 14 20:22:25 CET 2013 - jslaby@suse.cz
- Linux 3.7.8.
- Delete
patches.fixes/revert-iwlwifi-fix-the-reclaimed-packet-tracking-upon-flush-queue.
- commit 59f2b98
-------------------------------------------------------------------
Wed Feb 13 10:33:05 CET 2013 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Only sign the x86_64 kernels
- commit d55bd47
-------------------------------------------------------------------
Tue Feb 12 21:38:15 CET 2013 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Add needssslcertforbuild, so that the project
certificate is available when signing the kernel.
- commit f4f3306
-------------------------------------------------------------------
Tue Feb 12 21:06:45 CET 2013 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Sign vmlinuz in the buildservice
- commit b13c7b4
-------------------------------------------------------------------
Mon Feb 11 19:39:04 CET 2013 - jslaby@suse.cz
- Linux 3.7.7.
- commit 67ebb60
-------------------------------------------------------------------
Mon Feb 11 15:18:07 CET 2013 - ohering@suse.de
- Drivers: hv: balloon: Prevent the host from ballooning the
guest too low.
- Drivers: hv: balloon: Add a parameter to delay pressure
reporting.
- commit 77e8d63
-------------------------------------------------------------------
Mon Feb 11 11:28:38 CET 2013 - ohering@suse.de
- Drivers: hv: balloon: Prevent the host from ballooning the
guest too low.
- Drivers: hv: balloon: Add a parameter to delay pressure
reporting.
- Drivers: hv: balloon: Make adjustments to the pressure report.
- commit 31ecddc
-------------------------------------------------------------------
Mon Feb 11 05:55:00 CET 2013 - jeffm@suse.de
- Update to 3.8-rc7.
Eliminated 1 patch.
- commit 82fec2f
-------------------------------------------------------------------
Wed Feb 6 17:38:04 CET 2013 - jbeulich@suse.com
- Refresh.
- commit 1001e0b
-------------------------------------------------------------------
Wed Feb 6 17:36:51 CET 2013 - jbeulich@suse.com
- xen-pciback: rate limit error messages from
xen_pcibk_enable_msi{,x}() (CVE-2013-0231 XSA-43 bnc#801178).
- Update Xen patches to 3.8-rc6 and c/s 1222.
- commit e6b44d3
-------------------------------------------------------------------
Wed Feb 6 16:20:00 CET 2013 - ohering@suse.de
- Drivers: hv: Bind all vmbus interrupts to the boot CPU
(fate#314665).
- Drivers: hv: vmbus: Use the new infrastructure for delivering
VMBUS interrupts (fate#314665).
- Delete patches.suse/suse-hv-fate314665-force-win2008.patch.
- commit 444d3d1
-------------------------------------------------------------------
Tue Feb 5 20:16:43 CET 2013 - ohering@suse.de
- X86: Handle Hyper-V vmbus interrupts as special hypervisor
interrupts (fate#314665).
- hv: deliver vmbus interrupts to all vcpus
- Refresh patches.xen/xen3-patch-2.6.23.
- Refresh patches.xen/xen3-patch-2.6.27.
- commit 3a682f8
-------------------------------------------------------------------
Tue Feb 5 20:04:49 CET 2013 - ohering@suse.de
- X86: Add a check to catch Xen emulation of Hyper-V
(fate#314665).
- commit 3f41db6
-------------------------------------------------------------------
Tue Feb 5 15:52:35 CET 2013 - jeffm@suse.com
- quota: autoload the quota_v2 module for QFMT_VFS_V1 quota format
(bnc#802153).
- commit bdeb401
-------------------------------------------------------------------
Tue Feb 5 15:21:07 CET 2013 - jeffm@suse.com
- quota: autoload the quota_v2 module for QFMT_VFS_V1 quota format
(bnc#802153).
- commit ecd48c7
-------------------------------------------------------------------
Tue Feb 5 14:35:19 CET 2013 - jbeulich@suse.com
- Update Xen patches to 3.7.6 and c/s 1222.
- xen-pciback: rate limit error messages from
xen_pcibk_enable_msi{,x}() (CVE-2013-0231 XSA-43 bnc#801178).
- commit ffecceb
-------------------------------------------------------------------
Mon Feb 4 16:57:47 CET 2013 - jeffm@suse.com
- Revert "iwlwifi: fix the reclaimed packet tracking upon
flush queue".
- commit 8f91af5
-------------------------------------------------------------------
Mon Feb 4 13:06:36 CET 2013 - jslaby@suse.cz
- Linux 3.7.6 (bnc#800701).
- Update config files.
- Delete patches.drivers/alsa-hda-001-Fix-non-snoop-page-handling.
- commit bcd9d42
-------------------------------------------------------------------
Sun Feb 3 17:31:10 CET 2013 - jeffm@suse.com
- Update to 3.8-rc6.
- commit afa3941
-------------------------------------------------------------------
Thu Jan 31 17:08:18 CET 2013 - tiwai@suse.de
- drm/cirrus: Use 16bpp as default (bnc#799216).
- drm/cirrus: Correct register values for 16bpp (bnc#799216).
Conflicts:
series.conf
- drm/cirrus: Use 16bpp as default (bnc#799216).
- drm/cirrus: Correct register values for 16bpp (bnc#799216).
- commit 86d0404
-------------------------------------------------------------------
Wed Jan 30 19:17:39 CET 2013 - ohering@suse.de
- scsi: storvsc: avoid usage of WRITE_SAME.
- Drivers: scsi: storvsc: Initialize the sglist.
- Drivers: hv: Execute shutdown in a thread context.
- commit 133b379
-------------------------------------------------------------------
Wed Jan 30 18:34:26 CET 2013 - jeffm@suse.com
- ptp: PTP_1588_CLOCK_PCH depends on x86.
- commit 99fbe25
-------------------------------------------------------------------
Wed Jan 30 18:33:56 CET 2013 - ohering@suse.de
- scsi: storvsc: avoid usage of WRITE_SAME.
- Drivers: scsi: storvsc: Initialize the sglist.
- Drivers: hv: Execute shutdown in a thread context.
- commit 1b6d4ef
-------------------------------------------------------------------
Wed Jan 30 17:05:28 CET 2013 - jeffm@suse.com
- config: disable CONFIG_MVMDIO on s390/x
CONFIG_MVMDIO is only used by a few ARM SoCs, so we don't need to build
it everywhere else. Not sure why s390 got skipped in the previous commit.
- commit f0b494a
-------------------------------------------------------------------
Wed Jan 30 16:23:24 CET 2013 - jeffm@suse.com
- config: disable CONFIG_MVMDIO on non-ARM arches
CONFIG_MVMDIO is only used by a few ARM SoCs, so we don't need to build
it everywhere else.
- commit 2bf6fb1
-------------------------------------------------------------------
Wed Jan 30 14:59:52 CET 2013 - jbeulich@suse.com
- Update Xen patches to 3.8-rc5 and c/s 1218.
- commit 36b617a
-------------------------------------------------------------------
Wed Jan 30 11:11:05 CET 2013 - tiwai@suse.de
- ALSA: hda - Fix non-snoop page handling (bnc#800701).
- commit 9969bb3
-------------------------------------------------------------------
Wed Jan 30 10:17:51 CET 2013 - jbeulich@suse.com
- Comment out patches.drivers/x86_acpi_cpufreq_autoload.patch as
conflicting with 3.8.-rc5. Refresh patches.xen/xen3-auto-common.diff.
- commit 737e677
-------------------------------------------------------------------
Wed Jan 30 05:57:41 CET 2013 - jeffm@suse.com
- Update to 3.8-rc5.
Eliminated 4 patches.
- commit e8b3b53
-------------------------------------------------------------------
Tue Jan 29 17:44:34 CET 2013 - jeffm@suse.com
- sysctl: Fixed vm.dirty_ratio sysctl name for desktop flavors
- commit 3d41dfd
-------------------------------------------------------------------
Mon Jan 28 13:39:08 CET 2013 - mmarek@suse.cz
- rpm/config.sh: Update buildservice projects.
- commit d9a9e6d
-------------------------------------------------------------------
Mon Jan 28 13:36:28 CET 2013 - mmarek@suse.cz
- rpm/config.sh: Update $IBS_PROJECT_ARM
- commit 3e873c5
-------------------------------------------------------------------
Mon Jan 28 11:24:22 CET 2013 - ohering@suse.de
- Drivers: hv: balloon: Make adjustments to the pressure report.
- commit 2ae0171
-------------------------------------------------------------------
Mon Jan 28 11:18:27 CET 2013 - ohering@suse.de
- Drivers: hv: balloon: Make adjustments to the pressure report.
- commit 3c7bac7
-------------------------------------------------------------------
Mon Jan 28 10:42:26 CET 2013 - jslaby@suse.cz
- Linux 3.7.5 (bnc#756085).
- Refresh patches.suse/stack-unwind.
- Refresh patches.xen/xen3-auto-common.diff.
- Delete patches.drivers/x86_acpi_cpufreq_autoload.patch.
- commit 236d8d7
-------------------------------------------------------------------
Thu Jan 24 16:01:34 CET 2013 - jeffm@suse.com
- Updated to 3.8-rc2.
Eliminated 1 patch.
- commit e466109
-------------------------------------------------------------------
Mon Jan 21 23:52:05 CET 2013 - jslaby@suse.cz
- Linux 3.7.4.
- commit 7f4460e
-------------------------------------------------------------------
Sun Jan 20 23:19:42 CET 2013 - agraf@suse.de
- ARM: fix imx usb driver build issue.
- commit 4f2d1a3
-------------------------------------------------------------------
Sun Jan 20 11:46:11 CET 2013 - agraf@suse.de
- Delete config/armv7hl/highbank (included in default now)
- commit c0e90d8
-------------------------------------------------------------------
Sun Jan 20 11:44:53 CET 2013 - agraf@suse.de
- ARM: Default: Update config file (enable multiarch)
- commit 8a5d039
-------------------------------------------------------------------
Sat Jan 19 13:56:58 CET 2013 - agraf@suse.de
- Delete config/armv7hl/tegra
- commit b63dfab
-------------------------------------------------------------------
Sat Jan 19 13:55:27 CET 2013 - agraf@suse.de
- ARM: IMX51: Update config file (enable drm)
- commit 8e98c0c
-------------------------------------------------------------------
Sat Jan 19 13:51:50 CET 2013 - agraf@suse.de
- ARM: IX51: Update config file (enable drm)
- commit e2d2446
-------------------------------------------------------------------
Sat Jan 19 13:22:14 CET 2013 - agraf@suse.de
- ARM: Exynos: Update config file
- commit e83ffaa
-------------------------------------------------------------------
Sat Jan 19 11:58:08 CET 2013 - agraf@suse.de
- ARM: Exynos: Update config file (set drm+video =y)
- commit 7904ca2
-------------------------------------------------------------------
Sat Jan 19 11:48:14 CET 2013 - agraf@suse.de
- staging/omapdrm: garbage collect OMAP_DSS_DISPLAY_SUSPENDED.
- OMAP: Fix missing usb.h include.
- OMAP: Fix missing cm3xxx.h include.
- commit c3f4aa6
-------------------------------------------------------------------
Sat Jan 19 11:44:06 CET 2013 - agraf@suse.de
- ARM: use zImage for "default" flavor
- commit 0d71552
-------------------------------------------------------------------
Thu Jan 17 20:16:45 CET 2013 - jslaby@suse.cz
- Linux 3.7.3.
- Refresh
patches.suse/0016-ext4-Implement-richacl-support-in-ext4.patch.
- commit 9f86828
-------------------------------------------------------------------
Tue Jan 15 21:20:08 CET 2013 - dmueller@suse.com
- Fix build of omap2plus kernel
- commit 48790e9
-------------------------------------------------------------------
Tue Jan 15 18:49:44 CET 2013 - dmueller@suse.com
- reenable armv7hl configs
- commit a996303
-------------------------------------------------------------------
Tue Jan 15 15:01:22 CET 2013 - ohering@suse.de
- hyperv: force vmbus interrupts to cpu 0. (instead of forcing ws2008 during vmbus negotiation.)
- hyperv: force vmbus interrupts to cpu 0.
(instead of forcing ws2008 during vmbus negotiation.)
- commit a5459d4
-------------------------------------------------------------------
Tue Jan 15 14:45:21 CET 2013 - ohering@suse.de
- x86: Hyper-V: register clocksource only if its advertised (bnc#792500).
- x86: Hyper-V: register clocksource only if its advertised
(bnc#792500).
- commit 2c26304
-------------------------------------------------------------------
Tue Jan 15 08:54:30 CET 2013 - jbeulich@suse.com
- Update Xen patches to 3.8-rc3.
- config.conf: Re-enable Xen flavors.
- Update x86 config files.
- commit 4de2e49
-------------------------------------------------------------------
Fri Jan 11 20:02:21 CET 2013 - jslaby@suse.cz
- Linux 3.7.2.
- commit d62551b
-------------------------------------------------------------------
Thu Jan 10 21:49:22 CET 2013 - jeffm@suse.com
- Update to 3.8-rc3.
- commit 7ce28dd
-------------------------------------------------------------------
Thu Jan 10 17:57:41 CET 2013 - dmueller@suse.com
- config: update armv5tel config for 3.8-rc2
- commit df5a66e
-------------------------------------------------------------------
Mon Jan 7 16:38:18 CET 2013 - ohering@suse.de
- hyperv: force ws2008 during vmbus negotiation.
- force ws2008 during vmbus negotiation.
- commit b93e5a2
-------------------------------------------------------------------
Thu Jan 3 23:27:57 CET 2013 - jeffm@suse.com
- staging/sb105x: remove asm/segment.h dependency.
- commit 473afce
-------------------------------------------------------------------
Thu Jan 3 20:02:00 CET 2013 - jeffm@suse.com
- config: Update vanilla configs.
- commit 0d2a457
-------------------------------------------------------------------
Thu Jan 3 19:39:22 CET 2013 - jeffm@suse.com
- Updated to 3.8-rc2.
- commit 79d206a
-------------------------------------------------------------------
Thu Dec 27 10:07:57 CET 2012 - jslaby@suse.cz
- drm/i915: TLB invalidation with MI_FLUSH_DW requires a post-sync
op v3 (bnc#795963).
- commit db55aa9
-------------------------------------------------------------------
Tue Dec 25 01:57:16 CET 2012 - jeffm@suse.com
- Updated to 3.8-rc1.
- Eliminated 13 patches.
- Xen is disabled.
- ARM configs need updating.
- ext4 richacls are disabled until the upstream index can be reserved.
- commit a952042
-------------------------------------------------------------------
Tue Dec 18 16:39:47 CET 2012 - jbeulich@suse.com
- Update Xen patches to 3.7.1 and c/s 1213.
- commit 756a7d4
-------------------------------------------------------------------
Mon Dec 17 21:22:40 CET 2012 - jslaby@suse.cz
- Linux 3.7.1.
- Delete patches.rpmify/ezusb-add-dependency-to-usb.
- commit 7c5f8a6
-------------------------------------------------------------------
Wed Dec 12 17:26:35 CET 2012 - jeffm@suse.com
- ezusb: add dependency to USB.
This prevents build failures by making it depend on the value of CONFIG_USB.
Commit 36e0f0bd did this for architectures other than ARM manually.
- commit b89db5d
-------------------------------------------------------------------
Tue Dec 11 20:42:22 CET 2012 - ohering@suse.de
- Drivers: hv: balloon: Fix a memory leak.
- commit 26cdad5
-------------------------------------------------------------------
Tue Dec 11 19:29:44 CET 2012 - jeffm@suse.com
- Update to 3.7-final.
- commit 1771a73
-------------------------------------------------------------------
Tue Dec 11 17:00:46 CET 2012 - ohering@suse.de
- Update patch headers for fate314663 and fate314665 changes
- commit 44044f1
-------------------------------------------------------------------
Tue Dec 11 00:30:54 CET 2012 - jack@suse.cz
- Disable ext3 driver in all kernels and use ext4 driver instead. Eventually
ext3 will be removed upstream so let's do the transition.
- commit dadc8ea
-------------------------------------------------------------------
Mon Dec 10 22:32:15 CET 2012 - jslaby@suse.cz
- Linux 3.6.10.
- Refresh patches.xen/xen3-patch-3.4.
- commit cfa7c19
-------------------------------------------------------------------
Fri Dec 7 13:57:47 CET 2012 - guillaume.gardet@oliseo.fr
- Update omap2plus (armv7) config: Enable TWL* USB drivers
- commit 28c09f5
-------------------------------------------------------------------
Fri Dec 7 12:14:08 CET 2012 - jbeulich@suse.com
- rpm/kernel-binary.spec.in: Conditionalize the use of vmlinuz instead
of bzImage for Xen flavors.
- commit 713a73c
-------------------------------------------------------------------
Fri Dec 7 09:45:21 CET 2012 - jbeulich@suse.com
- Update Xen config files (enable XEN_BZIMAGE and EFI_STUB).
- commit d1ec1be
-------------------------------------------------------------------
Fri Dec 7 09:36:08 CET 2012 - jbeulich@suse.com
- Update Xen patches to 3.7-rc7 and c/s 1211.
- allow non-pv-ops kernel to be built as bzImage.
- commit 2a7feb8
-------------------------------------------------------------------
Thu Dec 6 21:51:12 CET 2012 - ohering@suse.de
- fate#314663 hyper-v memory ballooning support
- mm: Export a function to get vm committed memory.
- Drivers: hv: Add Hyper-V balloon driver.
- Drivers: hv: balloon: Fix a bug in the definition of struct
dm_info_msg.
- Update config files.
fate#314665 hyper-v: Update the Vmbus protocol
- Drivers: hv: Implement routines for read side signaling
optimization.
- Drivers: hv: Add state to manage batched reading.
- Drivers: hv: Turn off batched reading for util drivers.
- Drivers: hv: Optimize signaling in the read path.
- Drivers: hv: Optimize the signaling on the write path.
- Drivers: hv: Get rid of hv_get_ringbuffer_interrupt_mask().
- Drivers: hv: Support handling multiple VMBUS versions.
- Drivers: hv: Update the ring buffer structure to match win8
functionality.
- Drivers: hv: Extend/modify vmbus_channel_offer_channel for
win7 and beyond.
- Drivers: hv: Save and export negotiated vmbus version.
- Drivers: hv: Change the signature for hv_signal_event().
- Drivers: hv: Change the signature of vmbus_set_event().
- Drivers: hv: Move vmbus version definitions to hyperv.h.
- Drivers: hv: Manage signaling state on a per-connection basis.
- Drivers: hv: Cleanup vmbus_set_event() to support win7 and
beyond.
- Drivers: hv: Setup a mapping for Hyper-V's notion cpu ID.
- Drivers: hv: Add state to manage incoming channel interrupt
load.
- Drivers: hv: Modify the interrupt handling code to support
win8 and beyond.
- Drivers: hv: Add code to distribute channel interrupt load.
- Drivers: hv: Get rid of the unused global signaling state.
- Drivers: hv: Get rid of unnecessary request for offers.
- Drivers: hv: Manage event tasklets on per-cpu basis.
- Drivers: hv: Handle vmbus interrupts concurrently on all cpus.
- Drivers: hv: Add a check to deal with spurious interrupts.
- Drivers: hv: Enable protocol negotiation with win8 hosts.
- Drivers: hv: Implement flow management on the send side.
- Drivers: hv: Capture the host build information.
- Drivers: hv: Cleanup and consolidate reporting of build/version
info.
- commit 4fccd03
-------------------------------------------------------------------
Wed Dec 5 18:50:57 CET 2012 - jeffm@suse.com
- Update to 3.7-rc8.
- Delete patches.rpmify/net-fix-build-failure-in-xilinx.
- commit 76b3d92
-------------------------------------------------------------------
Tue Dec 4 15:35:23 CET 2012 - ohering@suse.de
- add splash=black option to bootsplash code,
to keep a black background, useful for remote access to VMs
(bnc#773487)
- commit c060ae1
-------------------------------------------------------------------
Mon Dec 3 23:39:37 CET 2012 - jslaby@suse.cz
- Linux 3.6.9.
- commit 9966a2e
-------------------------------------------------------------------
Mon Nov 26 23:50:50 CET 2012 - jslaby@suse.cz
- Linux 3.6.8.
- commit 6fb821e
-------------------------------------------------------------------
Fri Nov 23 10:29:01 CET 2012 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Do not remove fillup from the buildsystem
(bnc#781327)
- commit 841d864
-------------------------------------------------------------------
Tue Nov 20 21:19:31 CET 2012 - jeffm@suse.com
- net: fix build failure in xilinx.
- commit 28be621
-------------------------------------------------------------------
Tue Nov 20 13:01:05 CET 2012 - sjayaraman@suse.com
- Update config files. Enable CONFIG_SMB2 to 'y'.
- commit 25bdfaf
-------------------------------------------------------------------
Mon Nov 19 05:29:23 CET 2012 - jeffm@suse.com
- Update to 3.7-rc6.
- commit daac70a
-------------------------------------------------------------------
Sun Nov 18 13:04:10 CET 2012 - jslaby@suse.cz
- rpm/config.sh: build against 12.2
It should fix the firmware build problem.
- commit d760411
-------------------------------------------------------------------
Sun Nov 18 13:02:29 CET 2012 - jslaby@suse.cz
- Linux 3.6.7.
- commit 2bc3b09
-------------------------------------------------------------------
Fri Nov 16 15:57:55 CET 2012 - mmarek@suse.cz
- rpm/config.sh: Set projects for ARM
- commit 55bac79
-------------------------------------------------------------------
Thu Nov 15 15:24:59 CET 2012 - jbeulich@suse.com
- Refresh patches.xen/xen3-patch-3.7-rc3 (fix FPU handling related
crash in context switch code).
- commit bb1d12d
-------------------------------------------------------------------
Wed Nov 14 15:34:13 CET 2012 - jbeulich@novell.com
- Update to 3.7-rc5.
- Update Xen patches additionally to c/s 1204:
- commit d5de9cf
-------------------------------------------------------------------
Tue Nov 6 20:54:16 CET 2012 - jeffm@suse.com
- config: sync x86_64 configs for NR_UARTS
This commit sets both CONFIG_SERIAL_8250_RUNTIME_UARTS and
CONFIG_SERIAL_8250_NR_UARTS to 32.
- commit ddf88e6
-------------------------------------------------------------------
Tue Nov 6 20:20:37 CET 2012 - jeffm@suse.com
- Delete patches.arch/s390-remove-kvm-para.patch.
- commit f759e1e
-------------------------------------------------------------------
Tue Nov 6 18:30:35 CET 2012 - jeffm@suse.com
- config: sync ppc64 configs for LIB80211, NET_SDA_MV*
This commit changes the following options to be modular:
LIB80211
NET_DSA_MV88E6XXX
NET_DSA_MV88E6060
- commit caa5a52
-------------------------------------------------------------------
Tue Nov 6 18:25:58 CET 2012 - jeffm@suse.com
- config: sync ppc64/debug with ppc64/default
The debug config should be identical to default with the exception of
the debug options. This patch brings ppc64/debug in line with ppc64/default
with the exception of a few things that are modular in debug that should
also be modular in default.
- commit b981fcd
-------------------------------------------------------------------
Tue Nov 6 18:09:20 CET 2012 - jeffm@suse.com
- config: sync kvm options between ppc64 flavors.
- commit 8a389d5
-------------------------------------------------------------------
Tue Nov 6 17:38:32 CET 2012 - jeffm@suse.com
- config: disable HW_RANDOM_AMD on ppc64
This chip is only on AMD hardware and an old ppc64 eval board. We can skip
it on ppc64.
- commit 03001a4
-------------------------------------------------------------------
Tue Nov 6 17:23:40 CET 2012 - jeffm@suse.com
- config: Eliminate unused ppc/ppc64 configs.
The history of the ppc/ppc64 configs is a convoluted one that started
before the kernel source could support multiple machine types with a
single image and before there was a usable 64-bit userspace.
In the subsequent years, the powerpc code has been unified and we've
released a 64-bit powerpc userspace. The "default" and "ppc64" configs
on ppc64 are essentially identical and just waste space. The "ppc64"
config on 32-bit ppc address a long since unnecessary corner case. Users
who still want a 32-bit userspace with a 64-bit kernel can install and
update it themselves using the 64-bit repository like i386/x86_64 users
can do.
This commit can be summarized thusly:
rm config/ppc/ppc64
rm config/ppc/ps3 (already unused)
mv config/ppc64/ppc64 config/ppc64/default
- commit a9cc3d5
-------------------------------------------------------------------
Tue Nov 6 17:19:41 CET 2012 - guillaume.gardet@oliseo.fr
- Add kernel-versatile description
- commit 5424cf2
-------------------------------------------------------------------
Tue Nov 6 17:18:55 CET 2012 - guillaume.gardet@oliseo.fr
- Enable armv5 in arch-symbols
- commit 401de81
-------------------------------------------------------------------
Tue Nov 6 09:27:57 CET 2012 - jslaby@suse.cz
- Linux 3.6.6.
- commit 9d1e567
-------------------------------------------------------------------
Mon Nov 5 22:29:28 CET 2012 - jeffm@suse.com
- config: sync vanilla flavor with default flavor for each platform
The vanilla configs have drifted away from the default configuration
for each platform. This patch brings them back in sync.
- commit 8d4661f
-------------------------------------------------------------------
Mon Nov 5 17:27:25 CET 2012 - jeffm@suse.com
- config: -ec2 still had CONFIG_ENTERPRISE_SUPPORT enabled.
- commit 9907c37
-------------------------------------------------------------------
Mon Nov 5 16:48:35 CET 2012 - jeffm@suse.com
- Updated to 3.7-rc4.
Eliminated 1 patch.
- commit 5168053
-------------------------------------------------------------------
Mon Nov 5 12:37:59 CET 2012 - jbeulich@novell.com
- Refresh patches.xen/xen3-patch-3.7-rc3 (make "unmodified drivers" build again).
- commit 5feff7e
-------------------------------------------------------------------
Fri Nov 2 13:50:13 CET 2012 - jbeulich@novell.com
- Update Xen patches to 3.7-rc3 and c/s 1202.
- extend base interfaces to support multi-page rings for
frontend/backend communication.
- blkback: allow using multiple page frontend communication ring.
- blkfront: allow using multiple page backend communication ring.
- ACPI: Store valid ACPI tables passed via early initrd in
reserved memblock areas.
- ACPI: Fix build when disabled.
- ACPI: Cleanup acpi_initrd_override declaration and remove
ifdefs.
- config.conf: Re-enable Xen configs.
- Update x86 config files.
- Delete patches.xen/xen3-acpi_override_2_2.patch.
- commit f042386
-------------------------------------------------------------------
Fri Nov 2 10:56:46 CET 2012 - jbeulich@novell.com
- Refresh patches.xen/xen-x86-EFI (fix build after update to 3.4.17).
- commit 483130a
-------------------------------------------------------------------
Wed Oct 31 21:15:15 CET 2012 - jslaby@suse.cz
- Linux 3.6.5.
- commit cefb3b0
-------------------------------------------------------------------
Wed Oct 31 15:35:44 CET 2012 - guillaume.gardet@oliseo.fr
- Add support to armv5 versatile kernel
- commit 99d34f3
-------------------------------------------------------------------
Wed Oct 31 00:12:50 CET 2012 - jeffm@suse.com
- Update to 3.7-rc3.
- commit 48e5027
-------------------------------------------------------------------
Tue Oct 30 17:40:35 CET 2012 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Require coreutils in kernel-*-devel (bnc#783615)
- commit 2520563
-------------------------------------------------------------------
Tue Oct 30 01:25:00 CET 2012 - agraf@suse.de
- ARM: Update config files: Fix vexpress (default) config
- commit be072b4
-------------------------------------------------------------------
Sun Oct 28 22:11:41 CET 2012 - jslaby@suse.cz
- Linux 3.6.4.
- commit 0a37c3b
-------------------------------------------------------------------
Thu Oct 25 10:44:17 CEST 2012 - hare@suse.de
- Fixup kvm for s390.
- commit 503388b
-------------------------------------------------------------------
Tue Oct 23 00:27:36 CEST 2012 - agraf@suse.de
- ARM: Update config files: Exynos: Disable 8bit sd mode to support wifi
- commit 336ead7
-------------------------------------------------------------------
Mon Oct 22 23:53:59 CEST 2012 - agraf@suse.de
- Revert "disable non-compiling irrelevant I2C module"
- commit d5a21c5
-------------------------------------------------------------------
Mon Oct 22 23:42:51 CEST 2012 - agraf@suse.de
- thermal: exynos: Fix wrong name of MODULE_DEVICE_TABLE.
- commit 8e108ab
-------------------------------------------------------------------
Mon Oct 22 18:11:12 CEST 2012 - agraf@suse.de
- ARM: Update config files.
- commit 565b450
-------------------------------------------------------------------
Mon Oct 22 18:06:28 CEST 2012 - agraf@suse.de
- Refresh patches.arch/arm-exynos-nosparse.patch.
- commit 55fbf60
-------------------------------------------------------------------
Mon Oct 22 17:56:11 CEST 2012 - agraf@suse.de
- ARM: Update config files.
- commit 118f2f9
-------------------------------------------------------------------
Mon Oct 22 17:43:33 CEST 2012 - agraf@suse.de
- ARM: Exynos: Fix MMC driver
- ARM: Exynos: Update configs
- commit 37a1704
-------------------------------------------------------------------
Mon Oct 22 17:32:24 CEST 2012 - jeffm@suse.com
- config: change CONFIG_USB_EZUSB_FX2 to module
- commit 36e0f0b
-------------------------------------------------------------------
Mon Oct 22 16:59:59 CEST 2012 - jeffm@suse.com
- Actually use kthread_run in crasher.ko.
The previous commit was incomplete.
- commit b8b35ae
-------------------------------------------------------------------
Mon Oct 22 16:28:07 CEST 2012 - jeffm@suse.com
- crasher: use kthread_run() instead of kernel_thread().
Linux 3.7-rc2 no longer exports kernel_thread on all architectures.
- commit f17d925
-------------------------------------------------------------------
Mon Oct 22 14:48:50 CEST 2012 - jeffm@suse.com
- Updated to 3.7-rc2.
- commit e00a663
-------------------------------------------------------------------
Mon Oct 22 01:11:29 CEST 2012 - agraf@suse.de
- ARM: Update config files: Exynos: Enable gfx and highmem
- commit e8b3bd9
-------------------------------------------------------------------
Sun Oct 21 23:17:25 CEST 2012 - jslaby@suse.cz
- Linux 3.6.3.
- Refresh patches.xen/xen3-fixup-xen.
- Refresh patches.xen/xen3-patch-2.6.23.
- Refresh patches.xen/xen3-patch-3.2.
- Refresh patches.xen/xen3-patch-3.6.
- Delete patches.fixes/hv-storvsc-reset-wait.patch.
- Delete
patches.rpmify/x86-kbuild-archscripts-depends-on-scripts_basic.
- commit cfee26b
-------------------------------------------------------------------
Thu Oct 18 21:25:25 CEST 2012 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Use a macro instead of /etc/IGNORE-KABI-BADNESS
- commit 19e7e54
-------------------------------------------------------------------
Thu Oct 18 19:21:35 CEST 2012 - agraf@suse.de
- ARM: Update config files: Fix exynos uart
- commit 1b4b5c5
-------------------------------------------------------------------
Thu Oct 18 16:26:57 CEST 2012 - trenn@suse.de
- Cleanup ACPI table override patches with latest x86-tip commits
and proper git-xy: meta tags:
- lib: Add early cpio decoder.
- x86, acpi: Introduce x86 arch specific arch_reserve_mem_area()
for e820 handling.
- ACPI: Store valid ACPI tables passed via early initrd in
reserved memblock areas.
- ACPI: Implement physical address table override.
- ACPI: Create acpi_table_taint() function to avoid code
duplication.
- ACPI: Document ACPI table overriding via initrd.
- ACPI: Fix build when disabled.
- X86 ACPI: Use #ifdef not #if for CONFIG_X86 check.
- ACPI: Overriding ACPI tables via initrd only works with
an initrd.
- ACPI: Cleanup acpi_initrd_override declaration and remove
ifdefs.
- Delete patches.arch/acpi_fix_custom_method_acc.patch.
- Delete patches.arch/acpi_override_2_2.patch.
- Delete patches.arch/acpi_override_documentation.
- Delete patches.arch/acpi_override_introduce_taint_func.patch.
- Delete patches.arch/acpi_physical_override.patch.
- Delete patches.arch/lib_earlycpio.patch.
- Delete patches.arch/x86_introduce_reserve_mem_area.patch.
- commit c45da8f
-------------------------------------------------------------------
Wed Oct 17 20:02:14 CEST 2012 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Also check for /etc/IGNORE-KABI-BADNESS file
This allows to control the kabi checker from outside of the package.
- commit 0a0900c
-------------------------------------------------------------------
Wed Oct 17 04:21:11 CEST 2012 - jeffm@suse.com
- Update to 3.7-rc1.
- Eliminated 38 patches.
- Xen is disabled.
- ARM configs need updating.
- Module signing is disabled until the build infrastructure can support it.
- commit fce087a
-------------------------------------------------------------------
Sat Oct 13 11:47:44 CEST 2012 - jslaby@suse.cz
- x86/kbuild: archscripts depends on scripts_basic.
- commit 007718d
-------------------------------------------------------------------
Sat Oct 13 00:30:32 CEST 2012 - jslaby@suse.cz
- Linux 3.6.2.
- commit 798d597
-------------------------------------------------------------------
Thu Oct 11 17:17:11 CEST 2012 - guillaume.gardet@oliseo.fr
- ARM (omap2plus) : re-enable OMAP DRM module
- commit 379798d
-------------------------------------------------------------------
Wed Oct 10 21:28:30 CEST 2012 - jslaby@suse.cz
- Delete
patches.suse/msft-hv-0394-tools-hv-Fix-file-handle-leak.patch.
- Delete
patches.suse/msft-hv-0395-tools-hv-Fix-exit-error-code.patch.
- Delete
patches.suse/msft-hv-0396-tools-hv-Check-for-read-write-errors.patch.
These are in 3.6.1.
- commit 2a321c4
-------------------------------------------------------------------
Mon Oct 8 13:40:21 CEST 2012 - dmueller@suse.com
- Remove noncompiling modules
- commit b7cdd5a
-------------------------------------------------------------------
Mon Oct 8 07:36:36 CEST 2012 - agraf@suse.de
- ARM: Update config files: Exynos: Enable serial console
- commit a8d41ec
-------------------------------------------------------------------
Sun Oct 7 20:55:39 CEST 2012 - jslaby@suse.cz
- Linux 3.6.1.
- commit 1f7626a
-------------------------------------------------------------------
Thu Oct 4 15:55:59 CEST 2012 - ohering@suse.de
- rpm/kernel-binary.spec.in: enable Conflicts for
apparmor, udev and lvm2 also for vanilla kernel
- commit a24206f
-------------------------------------------------------------------
Thu Oct 4 15:51:44 CEST 2012 - ohering@suse.de
- rpm/kernel-binary.spec.in: add Conflicht: hyper-v < 4
to make sure the daemon is in sync with the kernel
(bnc#770763 , fate#314441)
- commit 86f9ba3
-------------------------------------------------------------------
Thu Oct 4 15:44:56 CEST 2012 - jbeulich@novell.com
- Update Xen patches to 3.6-final and c/s 1197.
- Update Xen config files.
- commit 338be2c
-------------------------------------------------------------------
Thu Oct 4 13:52:15 CEST 2012 - ohering@suse.de
- Hyper-V KVP IP injection (fate#31441)
- hyperv: Add comments for the extended buffer after RNDIS message.
- Drivers: hv: Cleanup the guest ID computation.
- Drivers: hv: vmbus: Use the standard format string to format
GUIDs.
- Drivers: hv: Add KVP definitions for IP address injection.
- Drivers: hv: kvp: Cleanup error handling in KVP.
- Drivers: hv: Explicitly size elements of protocol structures.
- Drivers: hv: kvp: Support the new IP injection messages.
- Tools: hv: Prepare to expand kvp_get_ip_address() functionality.
- Tools: hv: Further refactor kvp_get_ip_address().
- Tools: hv: Gather address family information.
- Tools: hv: Gather subnet information.
- Tools: hv: Represent the ipv6 mask using CIDR notation.
- Tools: hv: Gather ipv[4,6] gateway information.
- hv: fail the probing immediately when we are not in hyperv
platform.
- hv: vmbus_drv: detect hyperv through x86_hyper.
- Tools: hv: Get rid of some unused variables.
- Tools: hv: Correctly type string variables.
- Tools: hv: Add an example script to retrieve DNS entries.
- Tools: hv: Gather DNS information.
- Drivers: hv: kvp: Copy the address family information.
- Tools: hv: Add an example script to retrieve dhcp state.
- Tools: hv: Gather DHCP information.
- Tools: hv: Add an example script to configure an interface.
- Tools: hv: Implement the KVP verb - KVP_OP_SET_IP_INFO.
- Tools: hv: Rename the function kvp_get_ip_address().
- Tools: hv: Implement the KVP verb - KVP_OP_GET_IP_INFO.
- tools/hv: Fix file handle leak.
- tools/hv: Fix exit() error code.
- tools/hv: Check for read/write errors.
- tools/hv: Parse /etc/os-release.
- hyperv: Fix the max_xfer_size in RNDIS initialization.
- hyperv: Fix the missing return value in
rndis_filter_set_packet_filter().
- hyperv: Fix page buffer handling in rndis_filter_send_request().
- hyperv: Remove extra allocated space for recv_pkt_list elements.
- hyperv: Report actual status in receive completion packet.
- hyperv: Add buffer for extended info after the RNDIS response
message.
- Delete
patches.suse/suse-hv-Cleanup-the-guest-ID-computation.patch.
- commit 08d283b
-------------------------------------------------------------------
Mon Oct 1 15:05:46 CEST 2012 - agraf@suse.de
- ARM: Update config files: Exynos: Disable broken config options
- commit 8d7f8ab
-------------------------------------------------------------------
Mon Oct 1 07:47:54 CEST 2012 - agraf@suse.de
- ARM: Update config files: Exynos: disable VFIO
- commit 80abd68
-------------------------------------------------------------------
Mon Oct 1 05:29:41 CEST 2012 - jeffm@suse.com
- Update to 3.6-final.
- commit 6066894
-------------------------------------------------------------------
Sat Sep 29 12:29:30 CEST 2012 - dmueller@suse.com
- ARM/u5800: Fix build of ux500 driver
- commit 0ea37a0
-------------------------------------------------------------------
Sat Sep 29 10:44:01 CEST 2012 - dmueller@suse.com
- disable non-compiling irrelevant I2C module
- commit 0ba9bac
-------------------------------------------------------------------
Sat Sep 29 10:24:00 CEST 2012 - dmueller@suse.com
- ARM/tegra: Remove optional parts to fix build
- commit d729a16
-------------------------------------------------------------------
Sat Sep 29 10:23:24 CEST 2012 - dmueller@suse.com
- ARM: enable zcache support
- commit 17b147b
-------------------------------------------------------------------
Sat Sep 29 10:22:39 CEST 2012 - dmueller@suse.com
- ARM/cubox: set cachefilesd to module
- commit c1fb243
-------------------------------------------------------------------
Fri Sep 28 17:29:39 CEST 2012 - trenn@suse.de
- Remove old patchset to override ACPI tables via initrd.
Also remove a stale xen patch related to that.
- Delete
patches.arch/acpi_implement_overriding_of_arbitrary_acpi_tables_via_initrd.patch.
- Delete
patches.arch/acpica_fix_wrongly_mapped_acpi_table_header_when_overriding_via_initrd.patch.
- Delete
patches.arch/acpica_introduce_acpi_os_phys_table_override_function.patch.
- Delete
patches.xen/xen3-acpi_implement_overriding_of_arbitrary_acpi_tables_via_initrd.patch.
- commit dd8f1b0
-------------------------------------------------------------------
Fri Sep 28 16:51:10 CEST 2012 - trenn@suse.de
- Refresh patches.arch/acpi_override_2_2.patch.
- commit 80dcdb8
-------------------------------------------------------------------
Fri Sep 28 15:39:11 CEST 2012 - mszeredi@suse.cz
- Update config files to enable building overlayfs as a module.
- commit 42f70e6
-------------------------------------------------------------------
Fri Sep 28 14:37:01 CEST 2012 - trenn@suse.de
- Update config files.
Enable ACPI table override capability via initrd for
all i386/x86_64 flavors:
+CONFIG_ACPI_INITRD_TABLE_OVERRIDE=y
- commit 08721cc
-------------------------------------------------------------------
Fri Sep 28 14:03:34 CEST 2012 - trenn@suse.de
- ACPI: Only allow users with CAP_SYS_RAWIO rights to overwrite
ACPI funcs at runtime.
- ACPI: Document ACPI table overriding via initrd.
- ACPI: Create acpi_table_taint() function to avoid code
duplication.
- ACPI: Implement physical address table override.
- ACPI: Store valid ACPI tables passed via early initrd in
reserved memblock areas.
- X86 ACPI: Introduce x86 arch specific arch_reserve_mem_area()
for e820 handling.
- lib: Add early cpio decoder.
- commit dfdfcd3
-------------------------------------------------------------------
Fri Sep 28 13:44:36 CEST 2012 - mszeredi@suse.cz
- overlayfs: copy up i_uid/i_gid from the underlying inode.
- ovl: switch to __inode_permission().
- vfs: export __inode_permission() to modules.
- overlayfs: create new inode in ovl_link.
- overlayfs: fix possible leak in ovl_new_inode.
- fs: limit filesystem stacking depth.
- overlay: overlay filesystem documentation.
- overlayfs: implement show_options.
- overlayfs: add statfs support.
- overlay filesystem.
- vfs: introduce clone_private_mount().
- vfs: export do_splice_direct() to modules.
- vfs: add i_op->dentry_open().
- commit f3e02da
-------------------------------------------------------------------
Thu Sep 27 05:52:26 CEST 2012 - agraf@suse.de
- Update config files: Disable JTAG HVC, when enabled the kernel hangs on access
- commit cdf0705
-------------------------------------------------------------------
Tue Sep 25 22:29:37 CEST 2012 - jeffm@suse.com
- Update to 3.6-rc7.
Eliminated one patch.
- commit 91c0ddc
-------------------------------------------------------------------
Tue Sep 25 12:22:19 CEST 2012 - mmarek@suse.cz
- Delete scripts/config.sh, rpm/config.sh has all the needed information
- commit 06c7ff0
-------------------------------------------------------------------
Mon Sep 24 23:27:36 CEST 2012 - bpoirier@suse.de
- net: do not disable sg for packets requiring no checksum
(bnc#774859).
- commit f1a1fe2
-------------------------------------------------------------------
Fri Sep 21 22:32:20 CEST 2012 - bpoirier@suse.de
- af_netlink: force credentials passing [CVE-2012-3520]
(bnc#776925 CVE-2012-3520).
Conflicts:
series.conf
- commit 644e37d
-------------------------------------------------------------------
Fri Sep 21 10:12:59 CEST 2012 - jslaby@suse.cz
- cgroup: mark subsystems with broken hierarchy support and
whine if cgroups are nested for them (bnc#781134).
- commit dbeda53
-------------------------------------------------------------------
Fri Sep 21 00:14:05 CEST 2012 - bpoirier@suse.de
- tcp: Apply device TSO segment limit earlier (bnc#774523
CVE-2012-3412).
- sfc: Fix maximum number of TSO segments and minimum TX queue
size (bnc#774523 CVE-2012-3412).
- net: Allow driver to limit number of GSO segments per skb
(bnc#774523 CVE-2012-3412).
- commit 1908f74
-------------------------------------------------------------------
Thu Sep 20 16:23:37 CEST 2012 - jeffm@suse.com
- x86/kbuild: archscripts depends on scripts_basic.
patches.rpmify/makefile-fix-scripts_basic-archscripts-build-order is
now obsolete.
- commit 33eb1e6
-------------------------------------------------------------------
Wed Sep 19 19:38:11 CEST 2012 - jeffm@suse.com
- Makefile: Fix scripts_basic / archscripts build order.
- commit 65590b3
-------------------------------------------------------------------
Wed Sep 19 10:19:49 CEST 2012 - agraf@suse.de
- Delete patches.arch/arm-phys-offset.patch.
- commit 50837bd
-------------------------------------------------------------------
Mon Sep 17 15:57:42 CEST 2012 - jeffm@suse.com
- Update to 3.6-rc6.
- Eliminated 1 patch.
patches.arch/arm-phys-offset.patch needs review.
- commit d6432fe
-------------------------------------------------------------------
Sat Sep 15 10:32:00 CEST 2012 - jslaby@suse.cz
- rpm/kernel-binary.spec.in: avoid fixdep compilation error
Let's see if it helps.
- commit c618b7c
-------------------------------------------------------------------
Sat Sep 15 10:29:40 CEST 2012 - jslaby@suse.cz
- Linux 3.5.4.
- Refresh patches.suse/scsi-error-test-unit-ready-timeout.
- Delete
patches.fixes/i2c-busses-fix-build-error-if-config_i2c_designware_platform-y-config_i2c_design.
- Update config files.
- commit a925c74
-------------------------------------------------------------------
Tue Sep 11 21:09:59 CEST 2012 - dmueller@suse.com
- (ARM/tegra) remove non-building module from config
- commit 4cdd491
-------------------------------------------------------------------
Tue Sep 11 03:39:18 CEST 2012 - jeffm@suse.com
- config: disabled MFD drivers that aren't buildable as modules
This allows MFD_CORE to be modular on all arch/flavors except for
ARM and the non-PAE flavors on i386, since it's used with the OLPC XO-1.
- commit ff91de4
-------------------------------------------------------------------
Mon Sep 10 19:22:00 CEST 2012 - jeffm@suse.com
- Update to 3.6-rc5.
- commit 11b8e7e
-------------------------------------------------------------------
Mon Sep 10 10:20:23 CEST 2012 - jbeulich@novell.com
- patches.arch/s390-message-catalog.diff: Properly handle pr_cont() and fold
in the below two trivial fixes.
- Delete patches.arch/s390-message-catalog-fix.diff.
- Delete patches.arch/s390-message-catalog-perl-fix.diff.
- Refresh patches.arch/kmsg-fix-parameter-limitations.
- commit 71b4cc3
-------------------------------------------------------------------
Fri Sep 7 15:46:33 CEST 2012 - dmueller@suse.com
- fix build of imx51 flavor by removing irrelevant drivers
- commit 7ea6615
-------------------------------------------------------------------
Fri Sep 7 15:42:48 CEST 2012 - dmueller@suse.com
- remove drivers that do not exist and do not build on ARM (default)
- commit 2319db4
-------------------------------------------------------------------
Thu Sep 6 20:35:49 CEST 2012 - ohering@suse.de
- Refresh
patches.suse/suse-hv-identify-virtual-pc-in-ata_piix.patch.
- commit fb4b7f9
-------------------------------------------------------------------
Thu Sep 6 20:12:46 CEST 2012 - ohering@suse.de
- identify Virtual PC guests in ata_piix (bnc#737532).
- commit 13500ed
-------------------------------------------------------------------
Thu Sep 6 14:00:37 CEST 2012 - mmarek@suse.cz
- rpm/package-descriptions: Fix typo
- commit c08c34b
-------------------------------------------------------------------
Thu Sep 6 10:35:01 CEST 2012 - jbeulich@novell.com
- Update Xen patches to 3.6-rc4 and c/s 1188.
- config.conf: Re-enable Xen configs.
- Update x86 config files.
- commit 4cdebd8
-------------------------------------------------------------------
Tue Sep 4 20:29:36 CEST 2012 - jeffm@suse.com
- Update to 3.6-rc4.
- Eliminated one patch.
- commit 9fe3afb
-------------------------------------------------------------------
Tue Sep 4 10:47:47 CEST 2012 - mmarek@suse.cz
- Disable CONFIG_KMSG_IDS on s390x, because it is currently broken
- commit c1db24a
-------------------------------------------------------------------
Mon Sep 3 10:59:37 CEST 2012 - jslaby@suse.cz
- Update config files. (vanilla ones)
- commit ca19bfb
-------------------------------------------------------------------
Fri Aug 31 23:20:23 CEST 2012 - dmueller@suse.com
- ARM(omap2plus): Remove optional parts that don't compile
- commit 77105c2
-------------------------------------------------------------------
Fri Aug 31 15:18:07 CEST 2012 - jslaby@suse.cz
- i2c/busses: Fix build error if CONFIG_I2C_DESIGNWARE_PLATFORM=y
&& CONFIG_I2C_DESIGN.
- Delete
patches.fixes/i2c-busses-fix-build-error-if-config_i2c_designware_platform-y-config_i2c_design.
Move it to rpmify, so that vanilla builds fine too.
- commit 0217ad5
-------------------------------------------------------------------
Thu Aug 30 20:02:21 CEST 2012 - agraf@suse.de
- Update config files: Add highbank (forgot in last patch)
- commit e86b000
-------------------------------------------------------------------
Thu Aug 30 19:28:20 CEST 2012 - agraf@suse.de
- config.conf: Enable ARM
- Update ARM config files.
- Add highbank definition
- commit 835e93d
-------------------------------------------------------------------
Thu Aug 30 11:07:12 CEST 2012 - mmarek@suse.cz
- rpm/kernel-module-subpackage: Provide name-kmp = %version in KMPs
This allows userspace packages to require a specific version of a KMP,
wothout worrying about the _k<kernel version> suffix.
- commit caaaa9a
-------------------------------------------------------------------
Tue Aug 28 04:11:34 CEST 2012 - jeffm@suse.com
- config: Update -vanilla for ChipIdea fix
- commit c45f0ac
-------------------------------------------------------------------
Tue Aug 28 00:15:16 CEST 2012 - jeffm@suse.com
- chipidea: Allow user to select PCI/IMX options.
This allows us to disable the ChipIdea IMX code on powerpc,
where it was causing build problems.
- commit 278cad2
-------------------------------------------------------------------
Tue Aug 28 00:00:35 CEST 2012 - jeffm@suse.com
- config: Disable EXYNOS_VIDEO and HW_RANDOM_EXYNOS on all configs except exynos
This hardware is only found on the Samsung EXYNOS boards.
- commit 429a008
-------------------------------------------------------------------
Mon Aug 27 22:54:06 CEST 2012 - jeffm@suse.com
- Revert "clk.h: Fix shim ifdef guard (HAVE_CLK -> COMMON_CLK)."
This reverts commit 54e1c0025e4757174d8d648e8224bf74009b3c2b.
The issue is either an incomplete clk API on powerpc or clk_devm needs
to be made generic. Discussion continues upstream.
- commit 8c9a4e9
-------------------------------------------------------------------
Mon Aug 27 17:27:10 CEST 2012 - jeffm@suse.com
- clk.h: Fix shim ifdef guard (HAVE_CLK -> COMMON_CLK).
This fixes the clk_devm_get build failures on ppc.
- commit 54e1c00
-------------------------------------------------------------------
Mon Aug 27 15:12:16 CEST 2012 - jeffm@suse.com
- Update to 3.6-rc3.
- commit 0a688a0
-------------------------------------------------------------------
Mon Aug 27 11:56:37 CEST 2012 - jslaby@suse.cz
- Linux 3.5.3.
- commit ffb9fbd
-------------------------------------------------------------------
Tue Aug 21 18:17:16 CEST 2012 - jeffm@suse.com
- config: update vanilla configs for 3.6-rc2.
- commit e0fa472
-------------------------------------------------------------------
Fri Aug 17 14:51:23 CEST 2012 - jeffm@suse.com
- Update to 3.6-rc2.
- Eliminated 1 patch.
- commit 71963b0
-------------------------------------------------------------------
Wed Aug 15 23:49:59 CEST 2012 - jslaby@suse.cz
- Fuck, this time maybe
- commit 4904750
-------------------------------------------------------------------
Wed Aug 15 19:40:51 CEST 2012 - jslaby@suse.cz
- Linux 3.5.2.
- commit 2af8a27
-------------------------------------------------------------------
Fri Aug 10 21:11:59 CEST 2012 - jeffm@suse.com
- printk: Fix calculation of length used to discard records.
- commit cd6596b
-------------------------------------------------------------------
Fri Aug 10 17:20:21 CEST 2012 - mmarek@suse.cz
- Revert "rpm/config.sh: really build against openSUSE:12.1 also in the ibs"
This reverts commit daed3d92. SUSE:openSUSE:12.1:Update:Test does not
exist, which broke automatic builds. scripts/osc_wrapper is now updated
to fall back to openSUSE.org:$OBS_PROJECT if IBS_PROJECT is not set.
- commit 370c9f4
-------------------------------------------------------------------
Thu Aug 9 20:02:33 CEST 2012 - jslaby@suse.cz
- rpm/config.sh: really build against openSUSE:12.1 also in the ibs
- commit daed3d9
-------------------------------------------------------------------
Thu Aug 9 19:36:38 CEST 2012 - jslaby@suse.cz
- ubifs: remove __DATE__ and __TIME__.
- commit 6702208
-------------------------------------------------------------------
Thu Aug 9 19:13:12 CEST 2012 - jslaby@suse.cz
- Refresh
patches.drivers/ALSA-hda-Fix-mute-LED-GPIO-setup-for-HP-Mini-210.
Update git-commit tag.
- commit f3a1eba
-------------------------------------------------------------------
Thu Aug 9 19:06:13 CEST 2012 - jslaby@suse.cz
- Linux 3.5.1.
- Delete
patches.drivers/ALSA-hda-Fix-mute-LED-GPIO-initialization-for-IDT-co.
- Delete
patches.drivers/ALSA-hda-Fix-mute-LED-GPIO-setup-for-HP-Mini-210.
- Delete
patches.drivers/ALSA-hda-Fix-polarity-of-mute-LED-on-HP-Mini-210.
- commit d21661b
-------------------------------------------------------------------
Thu Aug 9 18:52:14 CEST 2012 - jeffm@suse.com
- Update to 3.6-rc2. - Eliminated 2 patches.
- commit b073c66
-------------------------------------------------------------------
Thu Aug 9 18:48:33 CEST 2012 - jeffm@suse.com
- reiserfs: fix deadlock with nfs racing on create/lookup
(bnc#762693).
- commit 5b2dce3
-------------------------------------------------------------------
Thu Aug 9 11:13:30 CEST 2012 - mmarek@suse.cz
- Delete patches.suse/s390-Kerntypes.diff until we get an updated version.
- commit 78f2edc
-------------------------------------------------------------------
Thu Aug 9 10:53:28 CEST 2012 - mmarek@suse.cz
- Disable CONFIG_DRM_NOUVEAU on ia64 due to build errors
- commit 38e08ef
-------------------------------------------------------------------
Wed Aug 8 15:13:37 CEST 2012 - mmarek@suse.cz
- Update config files to fix build.
- commit 9c9630c
-------------------------------------------------------------------
Wed Aug 8 10:13:57 CEST 2012 - dmueller@suse.com
- config: enable various ARM errata workarounds to improve stability
- commit 4e28647
-------------------------------------------------------------------
Tue Aug 7 17:35:09 CEST 2012 - mmarek@suse.cz
- rpm/config.sh: Build against openSUSE:12.1 also in the ibs
- commit 954867f
-------------------------------------------------------------------
Mon Aug 6 10:08:54 CEST 2012 - tiwai@suse.de
- ASoC: omap: Add missing modules aliases to get sound working
on omap devices.
- commit cbda3c2
-------------------------------------------------------------------
Fri Aug 3 20:28:34 CEST 2012 - jeffm@suse.com
- Updated and re-enabled DMRAID45.
- commit 6b8bedd
-------------------------------------------------------------------
Fri Aug 3 20:00:16 CEST 2012 - jeffm@suse.com
- Updated and re-enabled richacl support.
- commit 001594d
-------------------------------------------------------------------
Fri Aug 3 06:22:15 CEST 2012 - jeffm@suse.com
- Update to 3.6-rc1.
- Eliminated 11 patches.
- Rich ACLs are disabled.
- Xen is disabled.
- ARM is disabled.
- DMRAID45 is disabled.
- commit 5807d6b
-------------------------------------------------------------------
Fri Aug 3 03:39:39 CEST 2012 - jeffm@suse.com
- i2c/busses: Fix build error if CONFIG_I2C_DESIGNWARE_PLATFORM=y
&& CONFIG_I2C_DESIGN.
- commit 3bec42b
-------------------------------------------------------------------
Fri Aug 3 03:37:28 CEST 2012 - jeffm@suse.com
- reiserfs: fix deadlocks with quotas.
- commit 77ba55a
-------------------------------------------------------------------
Wed Aug 1 14:14:01 CEST 2012 - jbeulich@novell.com
- Refresh Xen patches (fixing a major bug in the most recent Xen commit).
- commit 5df2b91
-------------------------------------------------------------------
Wed Aug 1 11:15:36 CEST 2012 - jbeulich@novell.com
- Refresh patches.suse/stack-unwind (to work with older tool chains).
- commit 30e4ab0
-------------------------------------------------------------------
Tue Jul 31 20:19:42 CEST 2012 - jeffm@suse.com
- rpm/kernel-binary.spec.in: Add dependency on mkinitrd >= 2.7.1 (bnc#773559).
- commit 2f75710
-------------------------------------------------------------------
Tue Jul 31 11:11:51 CEST 2012 - tiwai@suse.de
- ALSA: hda - Fix mute-LED GPIO initialization for IDT codecs
(bnc#772923).
- ALSA: hda - Fix polarity of mute LED on HP Mini 210
(bnc#772923).
- commit f3c90ee
-------------------------------------------------------------------
Mon Jul 30 23:41:12 CEST 2012 - jeffm@suse.com
- Update config files: HID_GENERIC=y where USBHID=y (bnc#773559).
On flavors where USBHID is built-in statically, we want HID_GENERIC to
be built statically as well. This, notably, includes i386/x86_64's desktop
flavors.
- commit 6d995bc
-------------------------------------------------------------------
Mon Jul 30 23:36:41 CEST 2012 - jeffm@suse.com
- Synced configs after commit 940e57e2 (Delete patches.drivers/input-Add-LED-support-to-Synaptics-device.)
- commit 104f550
-------------------------------------------------------------------
Mon Jul 30 21:00:23 CEST 2012 - dmueller@suse.com
- Enable RTL8150 for omap2plus
Generic USB Network device that also works fine on ARM, so enable it
- commit 400dcb7
-------------------------------------------------------------------
Mon Jul 30 16:57:12 CEST 2012 - ohering@suse.de
- Drivers: hv: Cleanup the guest ID computation.
- hyperv: Add a check for ring_size value.
- hyperv: Add error handling to rndis_filter_device_add().
- Drivers: hv: Change the hex constant to a decimal constant.
- hyperv: Add support for setting MAC from within guests.
- commit 73d4430
-------------------------------------------------------------------
Fri Jul 27 16:36:29 CEST 2012 - trenn@suse.de
- ACPI: Only count valid srat memory structures (bnc#757888).
- ACPI: Untangle a return statement for better readability
(bnc#757888).
- commit 876d6b9
-------------------------------------------------------------------
Fri Jul 27 12:04:24 CEST 2012 - tiwai@suse.de
- Refresh patches.suse/SUSE-bootsplash.
Fix wrong vfree() (bnc#773406)
- commit a637a16
-------------------------------------------------------------------
Thu Jul 26 11:34:49 CEST 2012 - tiwai@suse.de
- Delete patches.drivers/input-Add-LED-support-to-Synaptics-device.
This feature was dropped from 12.2/FACTORY packages due to instability
(bnc#768506,bnc#765524)
- commit 940e57e
-------------------------------------------------------------------
Thu Jul 26 08:26:46 CEST 2012 - tiwai@suse.de
- ALSA: hda - Fix mute-LED GPIO setup for HP Mini 210
(bnc#772923).
- commit 0ac6c27
-------------------------------------------------------------------
Thu Jul 26 03:09:58 CEST 2012 - jeffm@suse.com
- Enabled patches.fixes/brcmsmac-firmware.
- commit 4ed5cb4
-------------------------------------------------------------------
Thu Jul 26 03:08:17 CEST 2012 - jeffm@suse.com
- brcmsmac: document firmware dependencies (bnc#733148).
- commit f489263
-------------------------------------------------------------------
Wed Jul 25 13:16:05 CEST 2012 - jbeulich@novell.com
- Update Xen patches to 3.5-final and c/s 1184.
- Delete patches.xen/xen3-apei_allow_drivers_access_nvs_ram.patch.
- commit 341366d
-------------------------------------------------------------------
Tue Jul 24 15:36:39 CEST 2012 - jeffm@suse.com
- Update to 3.5-final.
patches.suse/dm-raid45-26-Nov-2009.patch needs updating to new kmsg
interface but, since powerpc isn't officially supported by opensuse, the
update can happen later.
- commit fb9c50b
-------------------------------------------------------------------
Mon Jul 23 12:40:26 CEST 2012 - jbeulich@novell.com
- patches.suse/no-frame-pointer-select: Update mainline tag.
- commit 4e207cd
-------------------------------------------------------------------
Fri Jul 20 19:35:32 CEST 2012 - jslaby@suse.cz
- Linux 3.4.6.
- patches.xen/xen3-patch-3.3:
- Delete
patches.fixes/acpica_fix_null_pointer_in_repair_code.patch.
- commit 3689ec0
-------------------------------------------------------------------
Fri Jul 20 03:05:47 CEST 2012 - dsterba@suse.cz
- btrfs: do not update atime for RO snapshots (FATE#306586).
- commit 2073e27
-------------------------------------------------------------------
Wed Jul 18 19:23:41 CEST 2012 - ohering@suse.de
- Delete patches.suse/led_classdev.sysfs-name.patch.
All valid changes in this patch were merged into 2.6.32-rc3
The remaining change to default-on LED trigger changed the name
from default-on to default::on, which is wrong.
- commit 13b12c9
-------------------------------------------------------------------
Wed Jul 18 19:23:03 CEST 2012 - ohering@suse.de
- Delete patches.suse/led_classdev.sysfs-name.patch.
All valid changes in this patch were merged into 2.6.32-rc3
The remaining change to default-on LED trigger changed the name
from default-on to default::on, which is wrong.
- commit a2f36dc
-------------------------------------------------------------------
Tue Jul 17 15:18:49 CEST 2012 - tiwai@suse.de
- Refresh patches.drivers/input-Add-LED-support-to-Synaptics-device.
Fix a typo in the capability bit check (bnc#768506).
- commit 66cae25
-------------------------------------------------------------------
Tue Jul 17 11:19:19 CEST 2012 - jslaby@suse.cz
- Linux 3.4.5.
- Refresh patches.fixes/bridge-module-get-put.patch.
- Delete patches.fixes/acpi_quirk_cover_all_timer_overrides.patch.
- Delete
patches.fixes/fujitsu_amilo_v2030_timeroverride_quirk.patch.
- Delete
patches.fixes/mm-correctly-synchronize-rss-counters-at-exit-exec.patch.
- Delete
patches.fixes/nfs-force-the-legacy-idmapper-to-be-single-threaded.
- Delete
patches.fixes/udf-Avoid-run-away-loop-when-partition-table-length-.patch.
- Delete patches.fixes/udf-Fortify-loading-of-sparing-table.patch.
- Delete
patches.fixes/udf-Use-ret-instead-of-abusing-i-in-udf_load_logical.patch.
- commit 5bbda10
-------------------------------------------------------------------
Mon Jul 16 04:48:56 CEST 2012 - jeffm@suse.com
- Updated to 3.5-rc7.
- Eliminated 1 patch.
- commit 58a8fc5
-------------------------------------------------------------------
Fri Jul 13 03:42:29 CEST 2012 - neilb@suse.de
- Update config files.
Updates vanilla config files to include NFS_DEBUG implied by SUNRPC_DEBUG
- commit f36b6ed
-------------------------------------------------------------------
Fri Jul 13 03:40:53 CEST 2012 - neilb@suse.de
- Update config files.
Update 'vanilla' configs for SUNRPC_DEBUG
- commit 7749430
-------------------------------------------------------------------
Fri Jul 13 02:12:27 CEST 2012 - neilb@suse.de
- Update config files.
Add new option SUNRPC_DEBUG. This functionality was previously
always on, and is needed by "rpcdebug" to enable tracing.
We often ask customers to enable this to analyse NFS issues.
- commit a0aa02e
-------------------------------------------------------------------
Fri Jul 13 02:06:34 CEST 2012 - neilb@suse.de
- Update config files.
Add SUNRPC_DEBUG - a new option for functionality that was
previously always present.
Without this, "rpcdebug" doesn't work.
We often request customers enable this to analyse problems.
- commit b4fa24f
-------------------------------------------------------------------
Thu Jul 12 14:32:41 CEST 2012 - mmarek@suse.cz
- Update vanilla config files.
- commit a0c6b82
-------------------------------------------------------------------
Thu Jul 12 11:57:18 CEST 2012 - agraf@suse.de
- ARM: Add PM support for OMAP4460 (fixes instability issues)
- commit 9149272
-------------------------------------------------------------------
Tue Jul 10 20:52:42 CEST 2012 - jeffm@suse.com
- Enable VMWGFX as module (bnc#769534).
This option affects GNOME performance in VMware virtual machines.
- commit 8442da0
-------------------------------------------------------------------
Tue Jul 10 20:49:10 CEST 2012 - jeffm@suse.com
- Enable VMWGFX as module (bnc#769534).
This option affects GNOME performance in VMware virtual machines.
- commit 0998ae0
-------------------------------------------------------------------
Tue Jul 10 03:27:57 CEST 2012 - agraf@suse.de
- Refresh patches.arch/arm-omap-drm-fix-global-buffer.patch: typo
- commit 65dce23
-------------------------------------------------------------------
Tue Jul 10 03:11:17 CEST 2012 - agraf@suse.de
- Refresh patches.arch/arm-omap-drm-fix-global-buffer.patch: Fix OMAP3
- commit 6df2baf
-------------------------------------------------------------------
Tue Jul 10 02:30:55 CEST 2012 - agraf@suse.de
- ARM: OMAP: Update config files: Enable status LED
- commit afc95c8
-------------------------------------------------------------------
Mon Jul 9 17:05:16 CEST 2012 - jeffm@suse.com
- Updated to 3.5-rc6.
- Eliminated 1 patch.
- commit 93575a7
-------------------------------------------------------------------
Mon Jul 9 15:15:41 CEST 2012 - jeffm@suse.com
- coretemp-xen: Fix usage of X86_FEATURE_DTS -> X86_FEATURE_DTHERM
Upstream commit 4ad33411308596f2f918603509729922a1ec4411 renamed _DTS to
_DTHERM, so fix its usage in the Xen driver.
- commit 1732d37
-------------------------------------------------------------------
Mon Jul 9 07:34:48 CEST 2012 - sjayaraman@suse.com
- Update config files: CONFIG_CIFS_FSCACHE=y.
- commit 72cd989
-------------------------------------------------------------------
Mon Jul 9 07:14:57 CEST 2012 - sjayaraman@suse.com
- Update config files: CONFIG_CIFS_FSCACHE=m.
- commit cdced94
-------------------------------------------------------------------
Mon Jul 9 00:54:29 CEST 2012 - jeffm@suse.com
- Updated to 3.5-rc5.
- Eliminated 2 patches.
- commit ec675c6
-------------------------------------------------------------------
Sat Jul 7 22:43:42 CEST 2012 - agraf@suse.de
- ARM: OMAP: dss: GLOBAL_BUFFER hack.
- commit d70ee95
-------------------------------------------------------------------
Thu Jul 5 12:57:12 CEST 2012 - agraf@suse.de
- Update config files: use PR KVM on ppc/ppc64
- commit c902ea5
-------------------------------------------------------------------
Wed Jul 4 16:02:40 CEST 2012 - hare@suse.de
- mach-dove: define TIMER_PHYS_BASE.
- Update config files.
- commit 0ea0e05
-------------------------------------------------------------------
Wed Jul 4 13:10:29 CEST 2012 - agraf@suse.de
- Update config files.
- commit 97411e1
-------------------------------------------------------------------
Wed Jul 4 13:08:54 CEST 2012 - agraf@suse.de
- Remove non-ARM and Samsung specific CMA patches, we only need the framework for OMAP
- commit a9a5b39
-------------------------------------------------------------------
Wed Jul 4 10:24:59 CEST 2012 - trenn@suse.de
- Fix NULL pointer derference in acpi_ns_check_object_type()
(kernel bug 44171).
- commit 10f1915
-------------------------------------------------------------------
Wed Jul 4 10:23:28 CEST 2012 - trenn@suse.de
- Fix NULL pointer derference in acpi_ns_check_object_type()
(kernel bug 44171).
- commit 36cf667
-------------------------------------------------------------------
Wed Jul 4 09:53:34 CEST 2012 - trenn@suse.de
- Delete patches.arch/dell_latitude_e6xxx_e5xxx__fix_reboot.patch.
- Delete patches.drivers/apei_allow_drivers_access_nvs_ram.patch.
- commit c6e9e42
-------------------------------------------------------------------
Wed Jul 4 09:51:35 CEST 2012 - trenn@suse.de
- Delete patches.arch/acpi_fix_fadt_32_bit_zero_length.patch.
- Delete patches.suse/acpi_osi_sle11_ident.patch.
- commit d8799fb
-------------------------------------------------------------------
Tue Jul 3 22:50:06 CEST 2012 - agraf@suse.de
- Update config files for CMA
- commit 2b3ec9b
-------------------------------------------------------------------
Tue Jul 3 22:46:05 CEST 2012 - agraf@suse.de
- ARM: backport CMA framework (required for omapdrm)
- commit d0c4392
-------------------------------------------------------------------
Tue Jul 3 19:53:10 CEST 2012 - jack@suse.cz
- udf: Fortify loading of sparing table (bnc#769784).
- udf: Avoid run away loop when partition table length is
corrupted (bnc#769784).
- udf: Use 'ret' instead of abusing 'i' in udf_load_logicalvol()
(bnc#769784).
- commit 48e23e1
-------------------------------------------------------------------
Tue Jul 3 01:00:30 CEST 2012 - agraf@suse.de
- omap2+: add drm device for the new Xorg driver.
- omap2+: Revert "mmc: omap_hsmmc: Enable Auto CMD12".
- omap2+: Revert "Fix OMAP EHCI suspend/resume failure (i693)".
- omap2+: add drm device for the new Xorg driver.
- commit babe620
-------------------------------------------------------------------
Mon Jul 2 14:43:09 CEST 2012 - trenn@suse.de
- ACPI: Make acpi_skip_timer_override cover all source_irq==0
cases (bnc#676068).
- ACPI: Add a quirk for "AMILO PRO V2030" to ignore the timer
overriding (bnc#676068).
- Refresh patches.xen/xen3-patch-2.6.27.
- commit ce6a9c8
-------------------------------------------------------------------
Mon Jul 2 14:16:34 CEST 2012 - dsterba@suse.cz
- btrfs: allow cross-subvolume file clone (bnc#698540).
- btrfs: use correct device for maps (bnc#672923 bnc#769545).
- btrfs: allow cross-subvolume file clone (bnc#698540).
- btrfs: use correct device for maps (bnc#672923 bnc#769545).
- commit 25d8571
-------------------------------------------------------------------
Wed Jun 27 09:53:34 CEST 2012 - jbeulich@novell.com
- Update Xen patches to c/s 1182.
- Update Xen and EC2 config files.
- commit 1f9dec5
-------------------------------------------------------------------
Tue Jun 26 15:35:50 CEST 2012 - vojtech@suse.com
- Update post.sh to make the RT kernel default in the bootloader
after installation.
- commit 3c10ce7
-------------------------------------------------------------------
Tue Jun 26 11:31:41 CEST 2012 - guillaume.gardet@oliseo.fr
- Update omap2plus (ARM) config
- commit 466b846
-------------------------------------------------------------------
Mon Jun 25 22:15:56 CEST 2012 - jeffm@suse.com
- Updated Patch-mainline for patches.fixes/mm-correctly-synchronize-rss-counters-at-exit-exec.patch.
- commit d022daf
-------------------------------------------------------------------
Mon Jun 25 22:15:18 CEST 2012 - jeffm@suse.com
- Updated to 3.5-rc4.
- Eliminated 1 patch.
- commit 65cee14
-------------------------------------------------------------------
Mon Jun 25 21:52:07 CEST 2012 - jeffm@suse.com
- NFS: Force the legacy idmapper to be single threaded
(bnc#766514).
- commit b73cb98
-------------------------------------------------------------------
Mon Jun 25 11:16:31 CEST 2012 - jslaby@suse.cz
- Linux 3.4.4.
- Refresh patches.xen/xen3-patch-2.6.35.
- commit 25f83ba
-------------------------------------------------------------------
Sun Jun 24 11:05:03 CEST 2012 - awafaa@opensuse.org
- Add support for Samsung Exynos SoC
- commit 81fc687
-------------------------------------------------------------------
Sun Jun 24 10:44:06 CEST 2012 - awafaa@opensuse.org
- Update configs for cubox/default/imx51/tegra/u8500
- commit 65b18f1
-------------------------------------------------------------------
Tue Jun 19 17:24:20 CEST 2012 - jbeulich@novell.com
- Update Xen patches to 3.5-rc3 and c/s 1182.
- Update x86 config files.
- Delete patches.xen/add-console-use-vt.
- commit d11f278
-------------------------------------------------------------------
Mon Jun 18 20:54:50 CEST 2012 - jeffm@suse.com
- Fixed up post-3.5-merge conflicts.
- patches.drivers/mach-dove-Fixup-ge00-initialisation.patch.
- patches.drivers/spi-orion-convert-to-new-infrastructure.patch.
- commit 7a3a50c
-------------------------------------------------------------------
Mon Jun 18 20:38:05 CEST 2012 - jeffm@suse.com
- Updated to 3.5-rc3.
- commit 3ee859e
-------------------------------------------------------------------
Mon Jun 18 17:45:46 CEST 2012 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Revert "Add provides and make most obsoletes
versioned." (commit e3023c7). This change is wrong, the packages have
their own versioning schemes.
- commit 29957f5
-------------------------------------------------------------------
Mon Jun 18 10:47:15 CEST 2012 - jbeulich@novell.com
- Delete patches.xen/frontswap-config.
- Delete patches.xen/frontswap-core.
- Delete patches.xen/frontswap-header.
- Delete patches.xen/frontswap-hooks.
- commit cb6120e
-------------------------------------------------------------------
Mon Jun 18 10:45:22 CEST 2012 - jbeulich@novell.com
- Refresh an re-enable patches.arch/x86_64-unwind-annotations.
- commit 9ed2387
-------------------------------------------------------------------
Sun Jun 17 22:27:20 CEST 2012 - jslaby@suse.cz
- Linux 3.4.3.
- Delete patches.suse/suse-hv-pata_piix-ignore-disks.patch.
- commit 76639c2
-------------------------------------------------------------------
Fri Jun 15 10:02:46 CEST 2012 - mhocko@suse.cz
- mm: correctly synchronize rss-counters at exit/exec (bnc#767152).
- commit d161b60
-------------------------------------------------------------------
Thu Jun 14 19:07:01 CEST 2012 - jeffm@suse.com
- CONFIG_RTC_DRV_CMOS=y on all x86/x86_64 flavors (bnc#755525).
When rtc_cmos is module, hctosys fails to set the clock on boot, issuing
ominious error messages to the console.
- commit cddcbe7
-------------------------------------------------------------------
Thu Jun 14 16:51:34 CEST 2012 - jbeulich@novell.com
- Update x86 config files (ATA_PIIX=m, bnc#766284).
- commit 8677f43
-------------------------------------------------------------------
Thu Jun 14 12:26:54 CEST 2012 - tiwai@suse.de
- Refresh patches.drivers/input-Add-LED-support-to-Synaptics-device.
Add product ID 0x84 that may have the LED support (bnc#764339)
- commit 6e5a834
-------------------------------------------------------------------
Wed Jun 13 13:21:58 CEST 2012 - hare@suse.de
- Rediff patch
- patches.drivers/mach-dove-Fixup-ge00-initialisation.patch:
Rediff after backport from upstream.
- commit fa58c5e
-------------------------------------------------------------------
Wed Jun 13 12:56:17 CEST 2012 - hare@suse.de
- Fixup patch to apply
- patches.drivers/spi-orion-convert-to-new-infrastructure.patch
backported from upstream.
- commit 2507393
-------------------------------------------------------------------
Wed Jun 13 12:31:48 CEST 2012 - hare@suse.de
- Add SolidRun Cubox configuration
- Update config files.
- Add separate cubox configuration.
- mach-dove: Fixup ge00 initialisation.
- spi-orion: convert to new infrastructure.
- config.conf: Add cubox configuration
- rpm/package-descriptions: Add cubox description
- commit bfddec4
-------------------------------------------------------------------
Mon Jun 11 20:04:20 CEST 2012 - jeffm@suse.com
- Update to 3.5-rc2.
- Xen needs update.
- ARM needs update.
- Eliminated 13 patches.
- commit 3f461b1
-------------------------------------------------------------------
Sat Jun 9 19:55:14 CEST 2012 - jslaby@suse.cz
- Linux 3.4.2.
- commit 16fc260
-------------------------------------------------------------------
Fri Jun 8 10:42:11 CEST 2012 - tiwai@suse.de
- Refresh patches.drivers/input-Add-LED-support-to-Synaptics-device.
Use depends instead of reverse selection for avoiding the inconsitent
Kconfig state.
- commit 316d77d
-------------------------------------------------------------------
Wed Jun 6 17:03:02 CEST 2012 - tiwai@suse.de
- Refresh patches.drivers/input-Add-LED-support-to-Synaptics-device.
Fix the detection of LED on the recent HP laptops (bnc#765524)
- commit 27c3276
-------------------------------------------------------------------
Tue Jun 5 17:12:21 CEST 2012 - ohering@suse.de
- usb/net: rndis: merge command codes.
only net/hyperv part
- usb/net: rndis: remove ambigous status codes.
only net/hyperv part
- usb/net: rndis: break out <linux/rndis.h> defines.
only net/hyperv part
- net/hyperv: Add flow control based on hi/low watermark.
- hv: fix return type of hv_post_message().
- Drivers: hv: util: Properly handle version negotiations.
- Drivers: hv: Get rid of an unnecessary check in vmbus_prep_negotiate_resp().
- HID: hyperv: Set the hid drvdata correctly.
- HID: hid-hyperv: Do not use hid_parse_report() directly.
- commit cfebefe
-------------------------------------------------------------------
Mon Jun 4 22:16:11 CEST 2012 - jslaby@suse.cz
- Linux 3.4.1.
- commit 4415495
-------------------------------------------------------------------
Mon Jun 4 12:19:27 CEST 2012 - tiwai@suse.de
- Update config files: Use CONFIG_SND_HDA_INPUT_BEEP=1 instead of 2
The mode 2 was an ugly hack and shouldn't have been set, but it was so
changed since some time ago.
- commit 695549b
-------------------------------------------------------------------
Mon Jun 4 10:36:59 CEST 2012 - ohering@suse.de
- [SCSI] storvsc: Properly handle errors from the host
(bnc#747404).
- Delete patches.suse/suse-hv-storvsc-ignore-ata_16.patch.
- commit ad28e23
-------------------------------------------------------------------
Fri Jun 1 17:06:59 CEST 2012 - tiwai@suse.de
- Update config files: Use CONFIG_SND_HDA_INPUT_BEEP=1 instead of 2
The mode 2 was an ugly hack and shouldn't have been set, but it was so
changed since some time ago...
- commit 86629f1
-------------------------------------------------------------------
Fri Jun 1 15:44:20 CEST 2012 - guillaume.gardet@oliseo.fr
- Update ARM configs
- commit 550ef37
-------------------------------------------------------------------
Thu May 31 15:34:22 CEST 2012 - ohering@suse.de
- replace our version of this patch with upstream variant:
ata_piix: defer disks to the Hyper-V drivers by default
libata: add a host flag to ignore detected ATA devices.
- commit bf70e35
-------------------------------------------------------------------
Wed May 30 12:20:13 CEST 2012 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Own the right -kdump initrd (bnc#764500)
- commit 5c85db8
-------------------------------------------------------------------
Tue May 29 11:20:13 CEST 2012 - mhocko@suse.cz
- Refresh
patches.apparmor/apparmor-compatibility-patch-for-v5-network-control.
Fix a compile error due to anonymous union initialization not supported
by earlier gcc versions (<4.6.x).
- commit 790f341
-------------------------------------------------------------------
Tue May 29 10:27:32 CEST 2012 - jbeulich@novell.com
- Update Xen config files (XEN_SPINLOCK_ACQUIRE_NESTING=1).
- commit d03820c
-------------------------------------------------------------------
Fri May 25 17:41:50 CEST 2012 - jeffm@suse.com
- Renamed armv7l to armv7hl, following %_cpu_target convention.
- commit 64b97cd
-------------------------------------------------------------------
Wed May 23 15:59:25 CEST 2012 - jbeulich@novell.com
- Update Xen patches to 3.4-final and c/s 1177.
- commit a3c5f2c
-------------------------------------------------------------------
Tue May 22 14:21:24 CEST 2012 - trenn@suse.de
- X86 acpi_cpufreq: Do not use request_module for autoloading
(bnc#756085).
- Refresh patches.xen/xen3-auto-common.diff.
- patches.xen/xen3-patch-3.3:
- commit caf270e
-------------------------------------------------------------------
Mon May 21 17:20:43 CEST 2012 - jslaby@suse.cz
- Let's build against 12.1
Otherwise we are getting build errors.
- commit 6966f52
-------------------------------------------------------------------
Mon May 21 14:49:11 CEST 2012 - jeffm@suse.com
- Update to 3.4-final.
- commit 91b2c6e
-------------------------------------------------------------------
Tue May 15 18:53:53 CEST 2012 - agraf@suse.de
- Update config files: ppc64: use PR instead of HV KVM which is usable by more people
- commit 5e7640a
-------------------------------------------------------------------
Tue May 15 18:16:48 CEST 2012 - jeffm@suse.com
- Make CRYPTO_CRC32C modular (bnc#762344)
It was pulled in =y via CEPH_LIB=y, so we change the
following options to =m:
- CONFIG_CEPH_LIB
- CONFIG_CRYPTO_CRC32C
- CONFIG_LIBCRC32C
Making it modular allows the Intel-optimized crc32c implementation
to be loaded, improving performance of things like btrfs.
- commit d569763
-------------------------------------------------------------------
Mon May 14 04:31:50 CEST 2012 - jeffm@suse.com
- Disabled more Intel embedded drivers.
Disabled:
- CONFIG_GPIO_LANGWELL
- CONFIG_GPIO_PCH
- CONFIG_GPIO_SCH
- commit f554d8b
-------------------------------------------------------------------
Mon May 14 03:51:49 CEST 2012 - jeffm@suse.com
- USB storage on -desktop should be modular.
- commit 9331d48
-------------------------------------------------------------------
Mon May 14 03:43:45 CEST 2012 - jeffm@suse.com
- Disabled more testing options.
Disables:
- CONFIG_TEST_POWER
- CONFIG_VIDEO_MEM2MEM_TESTDEV
- commit ef1f4ca
-------------------------------------------------------------------
Mon May 14 03:37:58 CEST 2012 - jeffm@suse.com
- Update to 3.4-rc7.
- Eliminated 1 patch.
- commit 4cd9e9b
-------------------------------------------------------------------
Sun May 13 14:48:25 CEST 2012 - jslaby@suse.cz
- Linux 3.3.6.
- commit a4363bf
-------------------------------------------------------------------
Wed May 9 16:37:06 CEST 2012 - jeffm@suse.com
- geodefb: Depend on X86_32.
Disables:
- CONFIG_FB_GEODE_GX1
- CONFIG_FB_GEODE_GX
- CONFIG_FB_GEODE_LX
- CONFIG_FB_GEODE
- commit 1f260fb
-------------------------------------------------------------------
Wed May 9 16:17:25 CEST 2012 - jeffm@suse.com
- Disabled testing config options on !debug
- CONFIG_CRYPTO_TEST
- CONFIG_DMATEST
- CONFIG_RTC_DRV_TEST
- commit d7c9a65
-------------------------------------------------------------------
Wed May 9 14:11:30 CEST 2012 - guillaume.gardet@opensuse.org
- Update ARM configs
- commit aac8787
-------------------------------------------------------------------
Tue May 8 12:39:36 CEST 2012 - jbeulich@novell.com
- Update Xen patches to 3.4-rc6.
- commit 8ed7ef0
-------------------------------------------------------------------
Mon May 7 22:53:28 CEST 2012 - jslaby@suse.cz
- Linux 3.3.5.
- commit a8d8330
-------------------------------------------------------------------
Mon May 7 20:21:30 CEST 2012 - jeffm@suse.com
- IA32 emulation: Fix build problem for modular ia32 a.out
support. (Xen version)
- commit 1c19c30
-------------------------------------------------------------------
Mon May 7 20:11:04 CEST 2012 - jeffm@suse.com
- IA32 emulation: Fix build problem for modular ia32 a.out
support.
- commit ad06d7d
-------------------------------------------------------------------
Mon May 7 19:54:14 CEST 2012 - jeffm@suse.com
- Update to 3.4-rc6.
- Eliminated 2 patches.
- commit 749ea8f
-------------------------------------------------------------------
Sat May 5 00:57:47 CEST 2012 - jeffm@suse.com
- Disabled MMC_TEST (bnc#760077).
- commit c36bb06
-------------------------------------------------------------------
Fri May 4 23:17:51 CEST 2012 - jeffm@suse.com
- sysctl/defaults: kernel.hung_task_timeout -> kernel.hung_task_timeout_secs (bnc#700174)
- commit 94b5c35
-------------------------------------------------------------------
Thu May 3 11:49:05 CEST 2012 - guillaume.gardet@opensuse.org
- Update config/armv7l/omap2plus
- commit db627f7
-------------------------------------------------------------------
Wed May 2 20:29:53 CEST 2012 - jeffm@suse.com
- patches.drivers/ehea-modinfo.patch: Updated patch-mainline for submission.
- commit e0eb735
-------------------------------------------------------------------
Wed May 2 16:40:55 CEST 2012 - jeffm@suse.com
- omap-usb-host: include <plat/cpu.h>.
- commit 4d1394a
-------------------------------------------------------------------
Wed May 2 16:34:34 CEST 2012 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Do not require distribution-release
during build.
- commit 3cd703f
-------------------------------------------------------------------
Wed May 2 13:32:51 CEST 2012 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Depend on distribution-release, so that
the grub entry has correct title (bnc#757565).
- commit 30145a4
-------------------------------------------------------------------
Mon Apr 30 22:39:54 CEST 2012 - jeffm@suse.com
- Updated and re-enabled armv7l.
Thanks to Guillaume GARDET <guillaume.gardet@opensuse.org>
- commit d5a693e
-------------------------------------------------------------------
Mon Apr 30 08:29:36 CEST 2012 - neilb@suse.de
- Update config files.
Add CONFIG options for NFSv4v1 support.
bnc#730444
- commit bd05821
-------------------------------------------------------------------
Mon Apr 30 04:09:51 CEST 2012 - jeffm@suse.com
- Update to 3.4-rc5.
- commit e4e6b33
-------------------------------------------------------------------
Fri Apr 27 22:36:58 CEST 2012 - jslaby@suse.cz
- Linux 3.3.4.
- commit d42fe44
-------------------------------------------------------------------
Fri Apr 27 15:55:37 CEST 2012 - jeffm@suse.com
- Disabled more Moorestown/Medfield drivers.
Specific options disabled:
I2C_INTEL_MID
CONFIG_SFI
MTD_NAND_DENALI
SERIAL_MRST_MAX3110
DRM_GMA600
SPI_DW_MID_DMA
APDS9802ALS
- commit 9a9ecdf
-------------------------------------------------------------------
Thu Apr 26 19:13:49 CEST 2012 - jeffm@suse.com
- Disabled DECNet and ARCNet.
Specific config options disabled:
CONFIG_ARCNET
CONFIG_ARCNET_1051
CONFIG_ARCNET_1201
CONFIG_ARCNET_CAP
CONFIG_ARCNET_COM20020_CS
CONFIG_ARCNET_COM20020
CONFIG_ARCNET_COM20020_PCI
CONFIG_ARCNET_COM90xxIO
CONFIG_ARCNET_COM90xx
CONFIG_ARCNET_RAW
CONFIG_ARCNET_RIM_I
CONFIG_DECNET
CONFIG_DECNET_NF_GRABULATOR
- commit 272dd14
-------------------------------------------------------------------
Thu Apr 26 19:05:57 CEST 2012 - jeffm@suse.com
- Disabled embedded hardware on all architectures except armv7l.
Regulator drivers, except those needed by Via vx885/875 and OLPC
are disabled. IIO drivers are disabled. SPI drivers are disabled.
Drivers used only with Intel Medfield or Moorestown have been disabled.
Specific options disabled:
CONFIG_AD2S1200, CONFIG_AD2S1210, CONFIG_AD2S90, CONFIG_AD5064,
CONFIG_AD5360, CONFIG_AD5380, CONFIG_AD5421, CONFIG_AD5446, CONFIG_AD5504,
CONFIG_AD5624R_SPI, CONFIG_AD5686, CONFIG_AD5764, CONFIG_AD5791,
CONFIG_AD5930, CONFIG_AD5933, CONFIG_AD7150, CONFIG_AD7152, CONFIG_AD7192,
CONFIG_AD7280, CONFIG_AD7291, CONFIG_AD7298, CONFIG_AD7476,
CONFIG_AD7606_IFACE_PARALLEL, CONFIG_AD7606_IFACE_SPI, CONFIG_AD7606,
CONFIG_AD7746, CONFIG_AD7780, CONFIG_AD7793, CONFIG_AD7816, CONFIG_AD7887,
CONFIG_AD799X, CONFIG_AD799X_RING_BUFFER, CONFIG_AD9832, CONFIG_AD9834,
CONFIG_AD9850, CONFIG_AD9852, CONFIG_AD9910, CONFIG_AD9951,
CONFIG_ADE7753, CONFIG_ADE7754, CONFIG_ADE7758, CONFIG_ADE7759,
CONFIG_ADE7854_I2C, CONFIG_ADE7854, CONFIG_ADE7854_SPI, CONFIG_ADIS16060,
CONFIG_ADIS16080, CONFIG_ADIS16130, CONFIG_ADIS16201, CONFIG_ADIS16203,
CONFIG_ADIS16204, CONFIG_ADIS16209, CONFIG_ADIS16220, CONFIG_ADIS16240,
CONFIG_ADIS16260, CONFIG_ADIS16400, CONFIG_ADT7310, CONFIG_ADT7316_I2C,
CONFIG_ADT7316, CONFIG_ADT7316_SPI, CONFIG_ADT7410, CONFIG_ADXRS450,
CONFIG_BACKLIGHT_WM831X, CONFIG_CAN_JANZ_ICAN3, CONFIG_FB_SM501,
CONFIG_GPIO_JANZ_TTL, CONFIG_GPIO_RDC321X, CONFIG_GPIO_STMPE,
CONFIG_GPIO_TIMBERDALE, CONFIG_GPIO_WM831X, CONFIG_IIO_BUFFER,
CONFIG_IIO_CONSUMERS_PER_TRIGGER=2, CONFIG_IIO_GPIO_TRIGGER,
CONFIG_IIO_KFIFO_BUF, CONFIG_IIO, CONFIG_IIO_PERIODIC_RTC_TRIGGER,
CONFIG_IIO_SIMPLE_DUMMY, CONFIG_IIO_ST_HWMON, CONFIG_IIO_SW_RING,
CONFIG_IIO_SYSFS_TRIGGER, CONFIG_IIO_TRIGGER,
CONFIG_INPUT_MC13783_PWRBUTTON, CONFIG_INPUT_WM831X_ON,
CONFIG_KEYBOARD_STMPE, CONFIG_KXSD9, CONFIG_LEDS_MC13783,
CONFIG_LEDS_REGULATOR, CONFIG_LEDS_WM831X_STATUS,
CONFIG_LIS3L02DQ_BUF_KFIFO, CONFIG_LIS3L02DQ, CONFIG_MAX1363,
CONFIG_MAX1363_RING_BUFFER, CONFIG_MAX517, CONFIG_MFD_CORE,
CONFIG_MFD_JANZ_CMODIO, CONFIG_MFD_MC13783, CONFIG_MFD_MC13XXX,
CONFIG_MFD_RDC321X, CONFIG_MFD_SM501_GPIO, CONFIG_MFD_SM501,
CONFIG_MFD_STMPE, CONFIG_MFD_TIMBERDALE, CONFIG_MFD_TPS65217,
CONFIG_MFD_WM831X_SPI, CONFIG_MFD_WM831X, CONFIG_MFD_WM8400,
CONFIG_RADIO_TIMBERDALE, CONFIG_REGMAP_I2C, CONFIG_REGMAP_SPI,
CONFIG_REGMAP, CONFIG_REGULATOR_AD5398, CONFIG_REGULATOR_DA903X,
CONFIG_REGULATOR_DEBUG, CONFIG_REGULATOR_DUMMY,
CONFIG_REGULATOR_FIXED_VOLTAGE, CONFIG_REGULATOR_GPIO,
CONFIG_REGULATOR_ISL6271A, CONFIG_REGULATOR_LP3971,
CONFIG_REGULATOR_LP3972, CONFIG_REGULATOR_MAX1586,
CONFIG_REGULATOR_MAX8649, CONFIG_REGULATOR_MAX8660,
CONFIG_REGULATOR_MAX8952, CONFIG_REGULATOR_MC13783,
CONFIG_REGULATOR_MC13892, CONFIG_REGULATOR_MC13XXX_CORE,
CONFIG_REGULATOR_PCAP, CONFIG_REGULATOR_TPS6105X,
CONFIG_REGULATOR_TPS62360, CONFIG_REGULATOR_TPS65023,
CONFIG_REGULATOR_TPS6507X, CONFIG_REGULATOR_TPS65217,
CONFIG_REGULATOR_TPS6524X, CONFIG_REGULATOR_USERSPACE_CONSUMER,
CONFIG_REGULATOR_VIRTUAL_CONSUMER, CONFIG_REGULATOR_WM831X,
CONFIG_REGULATOR_WM8400, CONFIG_REGULATOR, CONFIG_RFKILL_REGULATOR,
CONFIG_RTC_DRV_MC13XXX, CONFIG_RTC_DRV_WM831X, CONFIG_SCA3000,
CONFIG_SENSORS_AK8975, CONFIG_SENSORS_HMC5843, CONFIG_SENSORS_ISL29018,
CONFIG_SENSORS_MC13783_ADC, CONFIG_SENSORS_TSL2563, CONFIG_SENSORS_WM831X,
CONFIG_SERIAL_MFD_HSU, CONFIG_SERIAL_UARTLITE, CONFIG_STMPE_I2C,
CONFIG_STMPE_SPI, CONFIG_TOUCHSCREEN_MC13783, CONFIG_TOUCHSCREEN_STMPE,
CONFIG_TOUCHSCREEN_WM831X, CONFIG_TPS6105X, CONFIG_TSL2583,
CONFIG_WM831X_BACKUP, CONFIG_WM831X_POWER, CONFIG_WM831X_WATCHDOG
- commit 0f27f25
-------------------------------------------------------------------
Thu Apr 26 17:42:23 CEST 2012 - jeffm@suse.com
- Disabled CONFIG_COPS and CONFIG_LTPC: Ancient ISA LocalTalk hardware.
- commit 43b6598
-------------------------------------------------------------------
Wed Apr 25 21:38:34 CEST 2012 - jeffm@suse.com
- dl2k: Tighten ioctl permissions (bnc#758813).
- commit 8d00242
-------------------------------------------------------------------
Wed Apr 25 20:54:06 CEST 2012 - jeffm@suse.com
- Update to 3.4-rc4.
- commit 37113a8
-------------------------------------------------------------------
Mon Apr 23 11:18:43 CEST 2012 - jslaby@suse.cz
- Linux 3.3.3.
- Delete
patches.suse/btrfs-REVERT-increase-the-global-block-reserve-estim.patch.
- commit 739b98b
-------------------------------------------------------------------
Sun Apr 22 19:47:45 CEST 2012 - jeffm@suse.com
- Update config files: Merged omap2plus changes.
- commit f0e2149
-------------------------------------------------------------------
Fri Apr 20 18:09:06 CEST 2012 - jbeulich@novell.com
- Update Xen patches to 3.4-rc3 and c/s 1172.
- config.conf: Re-enabled Xen configs.
- Update config files.
- commit 9f943f6
-------------------------------------------------------------------
Tue Apr 17 15:59:19 CEST 2012 - jeffm@suse.com
- Update to 3.4-rc3.
- Eliminated 1 patch.
- commit 2fde7e0
-------------------------------------------------------------------
Fri Apr 13 22:52:58 CEST 2012 - jslaby@suse.cz
- Linux 3.3.2.
- Delete
patches.fixes/revert-ath9k-fix-going-to-full-sleep-on-PS-idle.patch.
- commit addbdfa
-------------------------------------------------------------------
Fri Apr 13 10:52:49 CEST 2012 - jbeulich@novell.com
- Drivers: scsi: storvsc: Account for in-transit packets in the
RESET path.
- commit 4c1e258
-------------------------------------------------------------------
Mon Apr 9 03:28:12 CEST 2012 - jeffm@suse.com
- Update to 3.4-rc2.
- commit 976094f
-------------------------------------------------------------------
Sat Apr 7 18:43:56 CEST 2012 - jslaby@suse.cz
- Revert "ath9k: fix going to full-sleep on PS idle" (bnc#756013).
- commit c31d1b5
-------------------------------------------------------------------
Fri Apr 6 06:42:35 CEST 2012 - jeffm@suse.com
- Update AppArmor for 3.4.
- apparmor: Add "profiles" list to sysfs.
- commit 59517ac
-------------------------------------------------------------------
Thu Apr 5 22:25:16 CEST 2012 - jeffm@suse.com
- Update richacl patchset for 3.4.
- commit f13764e
-------------------------------------------------------------------
Thu Apr 5 09:18:14 CEST 2012 - jeffm@suse.com
- Updated to 3.4-rc1.
- Eliminated 121 patches.
- Xen is unavailable.
- ACPI overrides are unavailable.
- AppArmor network feature are unavailable.
- commit 3ac7e79
-------------------------------------------------------------------
Thu Apr 5 08:41:08 CEST 2012 - jeffm@suse.de
- Updated patch headers
- commit 09c9338
-------------------------------------------------------------------
Tue Apr 3 19:27:06 CEST 2012 - ohering@suse.de
- Drivers: scsi: storvsc: Properly handle errors from the host
(bnc#747404).
- commit 2f7920e
-------------------------------------------------------------------
Tue Apr 3 09:53:26 CEST 2012 - jslaby@suse.cz
- Linux 3.3.1.
- Delete
patches.drivers/drm-i915-suspend-fbdev-device-around-suspend-hiberna.
- Delete
patches.fixes/net-fix-a-potential-rcu_read_lock-imbalance-in-rt6_fill_node.
- commit efec235
-------------------------------------------------------------------
Mon Apr 2 18:29:53 CEST 2012 - jeffm@suse.de
- armv7l: Disable CONFIG_TOUCHSCREEN_EGALAX on default and u8500 due to missing infrastructure.
- commit b614c98
-------------------------------------------------------------------
Mon Apr 2 15:52:46 CEST 2012 - jslaby@suse.cz
- samsung-laptop: make the dmi check less strict (bnc#746695).
- commit 170a6a2
-------------------------------------------------------------------
Fri Mar 30 14:21:05 CEST 2012 - tiwai@suse.de
- drm/i915: suspend fbdev device around suspend/hibernate
(bnc#732908).
- commit 028c29f
-------------------------------------------------------------------
Wed Mar 28 21:32:40 CEST 2012 - jeffm@suse.com
- net: fix a potential rcu_read_lock() imbalance in
rt6_fill_node() (bnc#754186).
- commit 8d5a9e4
-------------------------------------------------------------------
Mon Mar 26 15:52:26 CEST 2012 - ohering@suse.de
- net/hyperv: Fix the code handling tx busy.
- Tools: hv: Support enumeration from all the pools.
- Tools: hv: Fully support the new KVP verbs in the user level
daemon.
- Drivers: hv: Support the newly introduced KVP messages in
the driver.
- Drivers: hv: Add new message types to enhance KVP.
- net/hyperv: Add support for vlan trunking from guests.
- net/hyperv: Fix data corruption in rndis_filter_receive().
- drivers: hv: Increase the number of VCPUs supported in the
guest.
- drivers: hv: kvp: Cleanup the kernel/user protocol.
- tools: hv: Use hyperv.h to get the KVP definitions.
- drivers: hv: Cleanup the kvp related state in hyperv.h.
- net/hyperv: Remove the unnecessary memset in
rndis_filter_send().
- net/hyperv: Correct the assignment in netvsc_recv_callback().
- net/hyperv: Convert camel cased variables in rndis_filter.c
to lower cases.
- drivers: hv: kvp: Move the contents of hv_kvp.h to hyperv.h.
- drivers: hv: kvp: Add/cleanup connector defines.
- drivers: hv: Get rid of some unnecessary code.
- commit 78acc3b
-------------------------------------------------------------------
Sat Mar 24 01:11:53 CET 2012 - dsterba@suse.cz
- Revert "Btrfs: increase the global block reserve estimates"
- commit 7e9dd21
-------------------------------------------------------------------
Sat Mar 24 00:57:07 CET 2012 - dsterba@suse.cz
- Refresh patches.suse/btrfs-handle-EIO.
- Refresh
patches.suse/btrfs-volumes-schedule_bio-should-return-void.
- commit d97ade6
-------------------------------------------------------------------
Fri Mar 23 10:36:41 CET 2012 - jbeulich@novell.com
- Update Xen patches to 3.3 final and c/s 1165.
- commit 6c5f5ef
-------------------------------------------------------------------
Thu Mar 22 03:45:31 CET 2012 - jeffm@suse.com
- Update config files: Disable CONFIG_INTEL_IOMMU_DEFAULT_ON (bnc#740102 and others)
- commit b244b17
-------------------------------------------------------------------
Thu Mar 22 03:13:21 CET 2012 - jeffm@suse.de
- Update config files: Disabled CONFIG_COMPAT_BRK and CONFIG_COMPAT_VDSO (FATE#313171)
- commit 990b059
-------------------------------------------------------------------
Wed Mar 21 13:25:52 CET 2012 - meissner@suse.de
- rpm: include crtsavres.o on ppc
- commit 854a031
-------------------------------------------------------------------
Mon Mar 19 20:58:52 CET 2012 - jeffm@suse.com
- Update vanilla config files.
- commit bd476d4
-------------------------------------------------------------------
Mon Mar 19 11:16:34 CET 2012 - jslaby@suse.cz
- Update (vanilla) config files.
- commit 55a0a56
-------------------------------------------------------------------
Mon Mar 19 11:07:00 CET 2012 - jslaby@suse.cz
- Delete
patches.arch/acpi_ec_provide_non_interrupt_mode_boot_param.patch.
- Delete patches.arch/dell_optiplex_990_fix_reboot.patch.
- Delete
patches.drivers/alsa-hda-0004-Add-missing-static-ADC-tables-for-ALC269-qu.
- Delete patches.drivers/libata-unlock-hpa-by-default.
- Delete patches.fixes/scsi-add-tgps-setting.
- Delete patches.fixes/scsi-dh-rdac-add-stk.
- Delete patches.suse/dm-mpath-evaluate-request-result-and-sense.
- Delete patches.suse/richacl-fix.
Remove unused patches.
- commit 2cbabfe
-------------------------------------------------------------------
Mon Mar 19 06:27:04 CET 2012 - jeffm@suse.com
- Update to 3.3-final.
- commit a9ab64a
-------------------------------------------------------------------
Fri Mar 16 07:23:33 CET 2012 - ohering@suse.de
- Update bugnumber patches.suse/sd_init.mark_majors_busy.patch (bnc#744658).
- commit e60f2c3
-------------------------------------------------------------------
Tue Mar 13 11:07:58 CET 2012 - jslaby@suse.cz
- Linux 3.2.10.
- Delete
patches.fixes/media-staging-lirc_serial-Do-not-assume-error-codes-.patch.
- Delete
patches.fixes/media-staging-lirc_serial-Free-resources-on-failure-.patch.
- commit 614ed04
-------------------------------------------------------------------
Mon Mar 12 17:02:51 CET 2012 - jslaby@suse.cz
- staging: lirc_serial: Free resources on failure paths of
lirc_serial_probe() (bnc#750106).
- staging: lirc_serial: Do not assume error codes returned by
request_irq() (bnc#750106).
- commit 4bff877
-------------------------------------------------------------------
Mon Mar 12 16:35:36 CET 2012 - jbeulich@novell.com
- Update Xen patches to 3.3-rc7 and c/s 1163.
- commit 0054c83
-------------------------------------------------------------------
Mon Mar 12 15:26:55 CET 2012 - ohering@suse.de
- Staging: hv: storvsc: Cleanup some comments.
- Staging: hv: storvsc: Cleanup storvsc_probe().
- Staging: hv: storvsc: Cleanup storvsc_queuecommand().
- Staging: hv: storvsc: Introduce defines for srb status codes.
- Staging: hv: storvsc: Cleanup storvsc_host_reset_handler().
- Staging: hv: storvsc: Move and cleanup storvsc_remove().
- Staging: hv: storvsc: Add a comment to explain life-cycle
management.
- Staging: hv: storvsc: Get rid of the on_io_completion in
hv_storvsc_request.
- Staging: hv: storvsc: Rename the context field in
hv_storvsc_request.
- Staging: hv: storvsc: Miscellaneous cleanup of storvsc driver.
- Staging: hv: storvsc: Cleanup the code for generating protocol
version.
- Staging: hv: storvsc: Cleanup some protocol related constants.
- Staging: hv: storvsc: Get rid of some unused defines.
- Staging: hv: storvsc: Consolidate the request structure.
- Staging: hv: storvsc: Consolidate all the wire protocol
definitions.
- Staging: hv: storvsc: Move the storage driver out of the
staging area.
- Rename patches.suse/staging-hv-pata_piix-ignore-disks.patch to
patches.suse/suse-hv-pata_piix-ignore-disks.patch
- commit 7487199
-------------------------------------------------------------------
Mon Mar 12 03:43:53 CET 2012 - jeffm@suse.com
- Update to 3.3-rc7.
- commit 81a18ea
-------------------------------------------------------------------
Sun Mar 11 00:32:36 CET 2012 - jeffm@suse.com
- btrfs: Handle EIO.
- btrfs: add varargs to btrfs_error.
- btrfs: Remove BUG_ON from __finish_chunk_alloc().
- btrfs: Remove BUG_ON from __btrfs_alloc_chunk().
- btrfs: Don't BUG_ON insert errors in btrfs_alloc_dev_extent().
- btrfs: Go readonly on tree errors in balance_level.
- btrfs: Don't BUG_ON errors from update_ref_for_cow().
- btrfs: Go readonly on bad extent refs in update_ref_for_cow().
- btrfs: Don't BUG_ON errors in __finish_chunk_alloc().
- btrfs: Don't BUG_ON kzalloc error in btrfs_lookup_csums_range().
- btrfs: Don't BUG_ON() errors in update_ref_for_cow().
- btrfs: Don't BUG_ON errors from btrfs_create_subvol_root().
- btrfs: btrfs_drop_snapshot should return int.
- brfs: split extent_state ops.
- btrfs: drop gfp_t from lock_extent.
- btrfs: scrub functions should return void.
- btrfs: btrfs_set_item_key_safe should BUG on error and return
void.
- btrfs: Add extent_state alloc/free tracing.
- btrfs: btrfs_destroy_pending_snapshots should return void.
- btrfs: btrfs_destroy_ordered_extents should return void.
- btrfs: btrfs_destroy_ordered_operations should return void.
- btrfs: del_fs_roots should return void.
- btrfs: btrfs_free_fs_root should return void.
- btrfs: btrfs_add_ordered_sum should return void.
- btrfs: btrfs_add_ordered_operation should return void.
- btrfs: btrfs_run_ordered_operations should return void.
- btrfs: btrfs_wait_ordered_range should return void.
- btrfs: btrfs_wait_ordered_extents should return void.
- btrfs: __btrfs_remove_ordered_extent should return void.
- btrfs: btrfs_put_ordered_extent should return void.
- btrfs: update_inline_extent_backref should return void.
- btrfs: copy_for_split should return void.
- btrfs: end_compressed_writeback should return void.
- btrfs: btrfs_extend_item should return void.
- btrfs: btrfs_truncate_item should return void.
- btrfs: add_delayed_data_ref should return void.
- btrfs: add_delayed_tree_ref should return void.
- btrfs: add_delayed_ref_head should return void.
- btrfs: insert_ptr should return void.
- btrfs: del_ptr should return void.
- btrfs: setup_items_for_insert should return void.
- btrfs: fixup_low_keys should return void.
- btrfs: __add_reloc_root error push-up.
- btrfs: ->submit_bio_hook error push-up.
- btrfs: Factor out tree->ops->merge_bio_hook call.
- btrfs: Simplify btrfs_submit_bio_hook.
- btrfs: Fix kfree of member instead of structure.
- btrfs: setup_inline_extent_backref should return void.
- btrfs: btrfs_set_block_group_rw should return void.
- btrfs: btrfs_prepare_extent_commit should return void.
- btrfs: btrfs_destroy_delalloc_inodes should return void.
- btrfs: __setup_root should return void.
- btrfs: btrfs_invalidate_inodes should return void.
- btrfs: btrfs_init_compress should return void.
- btrfs: wait_for_writer should return void.
- btrfs: btrfs_end_log_trans should return void.
- btrfs: btrfs_requeue_work should return void.
- btrfs: btrfs_stop_workers should return void.
- btrfs: run_ordered_completions should return void.
- btrfs: fill_device_from_item should return void.
- btrfs: schedule_bio should return void.
- btrfs: btrfs_close_extra_devices should return void.
- btrfs: run_scheduled_bios should return void.
- btrfs: btrfs_cleanup_fs_uuids should return void.
- btrfs: clear_extent_buffer_dirty should return void.
- btrfs: check_page_writeback should return void.
- btrfs: check_page_locked should return void.
- btrfs: check_page_uptodate should return void.
- btrfs: __unlock_for_delalloc should return void.
- btrfs: wait_extent_bit should return void.
- btrfs: wait_on_state should return void.
- btrfs: btrfs_update_root error push-up.
- btrfs: find_and_setup_root error push-up.
- btrfs: Remove set bits return from clear_extent_bit.
- btrfs: avoid NULL deref in btrfs_reserve_extent with
DEBUG_ENOSPC.
- btrfs: clean_tree_block should panic on observed memory
corruption and return void.
- btrfs: btrfs_tree_{,un}lock should return void.
- btrfs: Simplify btrfs_insert_root.
- btrfs: Panic on bad rbtree operations.
- btrfs: Catch locking failures in {set,clear,convert}_extent_bit.
- btrfs: Add btrfs_panic().
- commit fd31baf
-------------------------------------------------------------------
Fri Mar 9 15:02:13 CET 2012 - ohering@suse.de
- mark busy sd majors as allocated (bug#744658).
- commit b2a640b
-------------------------------------------------------------------
Thu Mar 8 13:37:39 CET 2012 - mmarek@suse.cz
- Fix vanilla config files.
- commit b343c8a
-------------------------------------------------------------------
Thu Mar 8 11:56:26 CET 2012 - mmarek@suse.cz
- Delete patches.rpmify/nvme-broken, nvme builds again on 32bit.
- commit d60272f
-------------------------------------------------------------------
Thu Mar 8 11:34:18 CET 2012 - jbeulich@novell.com
- patches.suse/crasher-26.diff: Eliminate build warnings.
- commit 67c3c8d
-------------------------------------------------------------------
Thu Mar 8 10:55:04 CET 2012 - agraf@suse.de
- armv7l: config: omap: disable HDMI output (breaks build)
- commit 6a871bd
-------------------------------------------------------------------
Tue Mar 6 20:02:14 CET 2012 - agraf@suse.de
- armv7l: omap2plus: Enable TIDSPBRIDGE and SND codecs
- commit 0d8ec4d
-------------------------------------------------------------------
Mon Mar 5 07:01:47 CET 2012 - jeffm@suse.com
- Refresh patches.xen/xen3-fixup-arch-x86.
- commit f4b95ae
-------------------------------------------------------------------
Mon Mar 5 05:35:06 CET 2012 - jeffm@suse.com
- Update to 3.3-rc6. Previous commit was configs.
- commit 639167f
-------------------------------------------------------------------
Mon Mar 5 05:14:40 CET 2012 - jeffm@suse.com
- Update to 3.3-rc6.
- commit ebec13a
-------------------------------------------------------------------
Sun Mar 4 14:25:26 CET 2012 - jslaby@suse.cz
- Update config files.
Enable RT2800USB_RT35XX and RT2800USB_RT53XX.
- commit 2c205b4
-------------------------------------------------------------------
Sun Mar 4 14:21:47 CET 2012 - jslaby@suse.cz
- Update config files.
Enable RT2800USB_RT35XX and RT2800USB_RT53XX.
- commit adb37b7
-------------------------------------------------------------------
Thu Mar 1 18:15:58 CET 2012 - jslaby@suse.cz
- xen3-patch-3.2.7-8
Make xen build again.
- commit ba1cb50
-------------------------------------------------------------------
Thu Mar 1 10:00:42 CET 2012 - jslaby@suse.cz
- Linux 3.2.9.
- Refresh patches.xen/xen3-fixup-arch-x86.
- commit 82a8603
-------------------------------------------------------------------
Wed Feb 29 15:22:34 CET 2012 - jbeulich@novell.com
- Update Xen patches to 3.3-rc5 and c/s 1157.
- config.conf: Re-enable Xen configs.
- Update config files.
- commit f225622
-------------------------------------------------------------------
Tue Feb 28 09:46:13 CET 2012 - jslaby@suse.cz
- Linux 3.2.8.
XEN is broken. The XEN-generated backport patch does not apply
cleanly. So leaving XEN as is, until this is fixed in master. Then the
same fix will be ported here.
- commit 2bcad53
-------------------------------------------------------------------
Mon Feb 27 03:38:40 CET 2012 - jeffm@suse.com
- Updated to 3.3-rc5.
- commit 0b7f048
-------------------------------------------------------------------
Sun Feb 26 14:19:13 CET 2012 - jslaby@suse.cz
- Revert "Disable 3.2.5"
This reverts commit 6d12c9d00ec52d1d6745a08935b3f10870cbc58d.
It works now.
- commit 91fa3bc
-------------------------------------------------------------------
Wed Feb 22 18:14:34 CET 2012 - jslaby@suse.cz
- Disable 3.2.5
I lost my ps/2 keyboard and touchpad.
- commit 6d12c9d
-------------------------------------------------------------------
Tue Feb 21 16:22:26 CET 2012 - jslaby@suse.cz
- Linux 3.2.5.
- Refresh patches.kernel.org/patch-3.2.5-6.
- commit 9d01b21
-------------------------------------------------------------------
Tue Feb 21 11:56:39 CET 2012 - jslaby@suse.cz
- Linux 3.2.7.
- commit 3d38d11
-------------------------------------------------------------------
Sun Feb 19 18:00:16 CET 2012 - jeffm@suse.com
- Updated to 3.3-rc4.
- commit 8831dca
-------------------------------------------------------------------
Mon Feb 13 22:17:48 CET 2012 - jslaby@suse.cz
- Linux 3.2.6.
- Delete
patches.fixes/PM-Hibernate-Fix-s2disk-regression-related-to-freezi.patch.
- commit 264e0d4
-------------------------------------------------------------------
Mon Feb 13 19:33:57 CET 2012 - trenn@suse.de
- cpufreq/gx: Fix the compile error.
- commit 4ba1d8b
-------------------------------------------------------------------
Mon Feb 13 18:04:58 CET 2012 - jslaby@suse.cz
- Update config files.
Enable brcm80211 wireless driver.
- commit 70a4760
-------------------------------------------------------------------
Mon Feb 13 17:23:49 CET 2012 - mmarek@suse.cz
- nvme: Mark as broken on x86_32.
- commit 28ad576
-------------------------------------------------------------------
Sun Feb 12 18:15:10 CET 2012 - trenn@suse.de
- Add driver auto probing for x86 features v4
(http://lists.opensuse.org/opensuse-kernel/2011-11/msg00075.html).
- x86/cpu: Fix overrun check in arch_print_cpu_modalias()
(http://lists.opensuse.org/opensuse-kernel/2011-11/msg00075.html).
- crypto: Add support for x86 cpuid
auto loading for x86 crypto drivers
(http://lists.opensuse.org/opensuse-kernel/2011-11/msg00075.html).
- x86/cpu: Clean up modalias feature matching
(http://lists.opensuse.org/opensuse-kernel/2011-11/msg00075.html).
- intel-idle: convert to x86_cpu_id auto probing
(http://lists.opensuse.org/opensuse-kernel/2011-11/msg00075.html).
- intel_idle: Fix ID for Nehalem-EX Xeon in device ID table
(http://lists.opensuse.org/opensuse-kernel/2011-11/msg00075.html).
- HWMON: Convert via-cputemp to x86 cpuid autoprobing
(http://lists.opensuse.org/opensuse-kernel/2011-11/msg00075.html).
- powernow-k7: Fix CPU family number
(http://lists.opensuse.org/opensuse-kernel/2011-11/msg00075.html).
- ACPI: Load acpi-cpufreq from processor driver automatically
(http://lists.opensuse.org/opensuse-kernel/2011-11/msg00075.html).
- intel_idle: Revert change of auto_demotion_flags for Nehalem
(http://lists.opensuse.org/opensuse-kernel/2011-11/msg00075.html).
- HWMON: Convert coretemp to x86 cpuid autoprobing
(http://lists.opensuse.org/opensuse-kernel/2011-11/msg00075.html).
- powernow-k6: Really enable auto-loading
(http://lists.opensuse.org/opensuse-kernel/2011-11/msg00075.html).
- X86: Introduce HW-Pstate scattered cpuid feature
(http://lists.opensuse.org/opensuse-kernel/2011-11/msg00075.html).
- cpufreq: Add support for x86 cpuinfo auto loading v4
(http://lists.opensuse.org/opensuse-kernel/2011-11/msg00075.html).
- x86: autoload microcode driver on Intel and AMD systems v2
(http://lists.opensuse.org/opensuse-kernel/2011-11/msg00075.html).
- CPU: Introduce ARCH_HAS_CPU_AUTOPROBE and X86 parts
(http://lists.opensuse.org/opensuse-kernel/2011-11/msg00075.html).
- ACPI: remove duplicated lines of merging
problems with acpi_processor_start
(http://lists.opensuse.org/opensuse-kernel/2011-11/msg00075.html).
- Update config files.
- commit 6efe9b5
-------------------------------------------------------------------
Fri Feb 10 12:03:36 CET 2012 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Build x86 packages as i686, except for
-default (bnc#745632). KMPs are still built as i586.
- commit 88a632b
-------------------------------------------------------------------
Thu Feb 9 15:57:54 CET 2012 - jeffm@suse.com
- Update to 3.3-rc3.
- commit 07ec27c
-------------------------------------------------------------------
Thu Feb 9 12:53:26 CET 2012 - agraf@suse.de
- armv7l: Update config files.
- commit d223353
-------------------------------------------------------------------
Thu Feb 9 12:48:21 CET 2012 - agraf@suse.de
- armv7l: config: integrate bmwiedemann's updates to make it usable
- commit 76d12ed
-------------------------------------------------------------------
Tue Feb 7 18:28:41 CET 2012 - jeffm@suse.com
- Update vanilla config files.
- commit fd3673f
-------------------------------------------------------------------
Tue Feb 7 18:12:40 CET 2012 - jeffm@suse.com
- Update to 3.3-rc2.
- Eliminated 5 patches.
- Xen is disabled.
- commit d017ea1
-------------------------------------------------------------------
Sat Feb 4 15:19:04 CET 2012 - jslaby@suse.cz
- Linux 3.2.4.
- commit 484affb
-------------------------------------------------------------------
Sat Feb 4 15:17:56 CET 2012 - jslaby@suse.cz
- Linux 3.2.3.
- commit 9c66f44
-------------------------------------------------------------------
Thu Feb 2 21:11:43 CET 2012 - jslaby@suse.cz
- Refresh
patches.fixes/PM-Hibernate-Fix-s2disk-regression-related-to-freezi.patch.
- commit 763a147
-------------------------------------------------------------------
Mon Jan 30 22:09:44 CET 2012 - jslaby@suse.cz
- Do not build with the patch yet. It needs more work.
- commit 1101381
-------------------------------------------------------------------
Mon Jan 30 21:57:14 CET 2012 - jslaby@suse.cz
- PM / Hibernate: Fix s2disk regression related to freezing
workqueues (bnc#743952).
- commit 6f37dda
-------------------------------------------------------------------
Sun Jan 29 22:07:58 CET 2012 - jslaby@suse.cz
- Delete patches.fixes/proc-mem-security-fix.
Fix build error caused by merge of master branch.
- commit 62fc2fa
-------------------------------------------------------------------
Thu Jan 26 15:04:36 CET 2012 - jslaby@suse.cz
- Linux 3.2.2.
- Refresh patches.xen/xen3-fixup-xen.
- Refresh patches.xen/xen3-patch-2.6.24.
- Refresh patches.xen/xen3-patch-2.6.28.
- patches.xen/xen3-patch-2.6.39:
- Delete patches.arch/acpi_srat-pxm-rev-ia64.patch.
- Delete patches.arch/acpi_srat-pxm-rev-store.patch.
- Delete patches.arch/acpi_srat-pxm-rev-x86-64.patch.
- Delete
patches.fixes/intel_idle_fix_mwait_leave_zero_kvm_issue.patch.
- commit 387c074
-------------------------------------------------------------------
Wed Jan 25 11:51:08 CET 2012 - dkukawka@suse.de
- fix NULL pointer dereference in DSS2 VENC sysfs debug attr on OMAP4.
- commit 2206a5c
-------------------------------------------------------------------
Wed Jan 25 09:38:46 CET 2012 - mmarek@suse.cz
- proc: clean up and fix /proc/<pid>/mem handling (bnc#742279,
CVE-2012-0056).
- commit 4fb112d
-------------------------------------------------------------------
Tue Jan 17 15:51:33 CET 2012 - trenn@suse.de
- Delete patches.fixes/intel_idle_add_flush_tlb_param.patch.
- Delete patches.fixes/intel_idle_lapic_param.patch.
- commit e18e852
-------------------------------------------------------------------
Tue Jan 17 01:03:28 CET 2012 - agraf@suse.de
- KVM: PPC: Add HPT preallocator.
- KVM: PPC: Convert RMA allocation into generic code.
- commit a6da6a5
-------------------------------------------------------------------
Fri Jan 13 19:59:54 CET 2012 - agraf@suse.de
- ARM: Update config files: Enable highmem everywhere
- commit 4522c1c
-------------------------------------------------------------------
Fri Jan 13 17:43:09 CET 2012 - tiwai@suse.de
- Refresh patches.suse/SUSE-bootsplash.
- add a proper support for Xen fb (bnc#739020);
- fix the bootsplash-verbose switch race (bnc#734213)
- commit 6f3d77f
-------------------------------------------------------------------
Thu Jan 12 23:25:14 CET 2012 - jslaby@suse.cz
- Linux 3.2.1.
- Delete
patches.fixes/reiserfs-force-inode-evictions-before-umount-to-avoid-crash.
- commit 38ed2a2
-------------------------------------------------------------------
Wed Jan 11 14:36:44 CET 2012 - jbeulich@novell.com
- patches.suse/stack-unwind: Fix more 2.6.29 merge problems plus a glue code problem.
- commit 9166360
-------------------------------------------------------------------
Mon Jan 9 14:17:00 CET 2012 - mmarek@suse.cz
- Set CONFIG_LOCALVERSION for armv7l/u8500.
- commit dde56d0
-------------------------------------------------------------------
Fri Jan 6 18:29:35 CET 2012 - awafaa@opensuse.org
- add support for ST-Ericsson Nova based SoCs
- commit 06d2b6e
-------------------------------------------------------------------
Fri Jan 6 17:57:44 CET 2012 - jbeulich@novell.com
- Update Xen patches to 3.2-final and c/s 1140.
- commit 917dd7e
-------------------------------------------------------------------
Thu Jan 5 16:15:39 CET 2012 - jeffm@suse.com
- Update to 3.2-final.
- commit 778e265
-------------------------------------------------------------------
Wed Jan 4 10:41:26 CET 2012 - jslaby@suse.cz
- Revert "- btrfs: use correct device for maps (bnc#672923)."
This reverts commit 7e6bd95918d9b297d628b36d4b029da7cc335ca5.
We mauy break kABI here and use the cleaner version :).
- commit 897def2
-------------------------------------------------------------------
Wed Jan 4 10:36:49 CET 2012 - jslaby@suse.cz
- Linux 3.1.7.
- commit 587be3b
-------------------------------------------------------------------
Mon Jan 2 15:35:31 CET 2012 - jeffm@suse.com
- Updated to 3.2-rc7.
- commit f458508
-------------------------------------------------------------------
Mon Jan 2 13:42:24 CET 2012 - dsterba@suse.cz
- btrfs: use correct device for maps (bnc#672923).
- Delete
patches.suse/0001-vfs-allow-proc-pid-maps-to-return-a-custom-device.patch.
- Delete
patches.suse/0002-btrfs-Introduce-btrfs_get_maps_dev.patch.
- commit 7e6bd95
-------------------------------------------------------------------
Mon Jan 2 11:31:04 CET 2012 - mhocko@suse.cz
- Update
patches.fixes/oom-thaw-threads-if-oom-killed-thread-is-frozen-befo.patch
(bnc#718831).
- commit 05b6faf
-------------------------------------------------------------------
Fri Dec 30 15:20:59 CET 2011 - bpoirier@suse.de
- net: Add a flow_cache_flush_deferred function (bnc#737624).
- commit 69bd36b
-------------------------------------------------------------------
Mon Dec 26 21:46:43 CET 2011 - jslaby@suse.cz
- sound: ratelimit sound debug prints.
- commit 441798f
-------------------------------------------------------------------
Mon Dec 26 21:39:14 CET 2011 - jslaby@suse.cz
- Linux 3.1.6.
- Revert "clocksource: Avoid selecting mult values that might
overflow when adjusted".
- Revert "inet: add a redirect generation id in inetpeer".
- Delete
patches.fixes/hfs-fix-hfs_find_init-sb-ext_tree-null-ptr-oops.patch.
- Delete
patches.fixes/mm-Ensure-that-pfn_valid-is-called-once-per-pagebloc.patch.
- Linux 3.1.6.
- Delete
patches.fixes/hfs-fix-hfs_find_init-sb-ext_tree-null-ptr-oops.patch.
- Delete
patches.fixes/mm-Ensure-that-pfn_valid-is-called-once-per-pagebloc.patch.
- commit 2f8a8c2
-------------------------------------------------------------------
Thu Dec 22 16:05:54 CET 2011 - jeffm@suse.com
- Update config files.
- CONFIG_X86_INTEL_MID -> CONFIG_X86_WANT_INTEL_MID
- commit c4246bf
-------------------------------------------------------------------
Wed Dec 21 14:38:42 CET 2011 - jbeulich@novell.com
- Update Xen patches to 3.2-rc6 and c/s 1133.
- kexec: properly handle pCPU hotplug.
- x86: pCPU handling.
- commit cbe54a1
-------------------------------------------------------------------
Tue Dec 20 19:14:35 CET 2011 - dsterba@suse.cz
- Btrfs: fix wrong i_size when truncating a file to a larger size
(FATE#306586).
- Btrfs: fix wrong disk space information of the files
(FATE#306586).
- Btrfs: fix inaccurate available space on raid0 profile
(FATE#306586).
- btrfs: keep orphans for subvolume deletion (FATE#306586).
- Btrfs: fix ctime update of on-disk inode (FATE#306586).
- Btrfs: add a cond_resched() into the worker loop (FATE#306586).
- BTRFS: Establish i_ops before calling d_instantiate
(FATE#306586).
- Btrfs: deal with NULL srv_rsv in the delalloc inode reservation
code (FATE#306586).
- Btrfs: fix num_workers_starting bug and other bugs in async
thread (FATE#306586).
- Btrfs: deal with enospc from dirtying inodes properly
(FATE#306586).
- Btrfs: fix how we do delalloc reservations and how we free
reservations on error (FATE#306586).
- Btrfs: fix leaked space in truncate (FATE#306586).
- Btrfs: don't panic if orphan item already exists (FATE#306586).
- Btrfs: only set cache_generation if we setup the block group
(FATE#306586).
- Btrfs: unplug every once and a while (FATE#306586).
- btrfs: lock and disable irq during space alloc (FATE#306586
bnc#730103).
- Refresh
patches.suse/btrfs-8014-add-new-ioctl-to-determine-size-of-compressed-.patch.
- Delete
patches.suse/btrfs-0901-fix-num_workers_starting-bug-and-other-bugs-in.patch.
- Delete
patches.suse/btrfs-0902-deal-with-enospc-from-dirtying-inodes-properly.patch.
- Delete
patches.suse/btrfs-0903-fix-how-we-do-delalloc-reservations-and-how-we.patch.
- Delete
patches.suse/btrfs-0904-fix-leaked-space-in-truncate.patch.
- Delete
patches.suse/btrfs-0905-only-set-cache_generation-if-we-setup-the-bloc.patch.
- Delete
patches.suse/btrfs-0906-don-t-panic-if-orphan-item-already-exists.patch.
- Delete
patches.suse/btrfs-8027-fix-ctime-update-of-on-disk-inode.patch.
- Delete
patches.suse/btrfs-8028-fix-wrong-disk-space-information-of-the-files.patch.
- Delete
patches.suse/btrfs-8029-fix-wrong-i_size-when-truncating-a-file-to-a-l.patch.
- Delete
patches.suse/btrfs-8030-fix-inaccurate-available-space-on-raid0-profil.patch.
- commit e3967f3
-------------------------------------------------------------------
Tue Dec 20 16:35:33 CET 2011 - jeffm@suse.com
- Update to 3.2-rc6.
- Eliminated 1 patch.
- commit d5a489e
-------------------------------------------------------------------
Tue Dec 20 11:34:14 CET 2011 - trenn@suse.de
- These should all be mainline in some form:
- Delete
patches.arch/implement_stop_machine_from_offline_cpu.patch.
- Delete patches.arch/kill-__stop_machine.patch.
- Delete patches.arch/reorganize_stop_cpus.patch.
- Delete patches.arch/use_stop_machine_for_mtrr_rendezvous.patch.
- commit 78eb159
-------------------------------------------------------------------
Sun Dec 18 07:38:42 CET 2011 - aj@suse.de
- rpm/kernel-source.rpmlintrc: The hidden files are fine, silence rpmlint.
- commit a7813dd
-------------------------------------------------------------------
Fri Dec 16 15:37:51 CET 2011 - aj@suse.de
- rpm/kernel-binary.spec.in: Add provides and make most obsoletes versioned.
This silences rpmlint warnings.
- commit e3023c7
-------------------------------------------------------------------
Thu Dec 15 17:55:50 CET 2011 - dsterba@suse.cz
- Btrfs: fix inaccurate available space on raid0 profile
(FATE#306586).
- Btrfs: fix wrong i_size when truncating a file to a larger size
(FATE#306586).
- Btrfs: fix wrong disk space information of the files
(FATE#306586).
- Btrfs: fix ctime update of on-disk inode (FATE#306586).
- Btrfs: fix possible deadlock when opening a seed device
(FATE#306586).
- Btrfs: update global block_rsv when creating a new block group
(FATE#306586).
- btrfs: workaround for cleaner deadlock (FATE#306586).
- Btrfs: don't panic if orphan item already exists (FATE#306586).
- Btrfs: only set cache_generation if we setup the block group
(FATE#306586).
- commit 5341000
-------------------------------------------------------------------
Wed Dec 14 17:28:21 CET 2011 - dsterba@suse.cz
- btrfs: Introduce btrfs_get_maps_dev() (bnc#672923).
- vfs: allow /proc/pid/maps to return a custom device
(bnc#672923).
- Btrfs: make lzo the default compression scheme (FATE#306586).
- btrfs: add new ioctl to determine size of compressed file
(FATE#306586).
- Sector Size check during Mount (FATE#306586 bnc#724620).
- Btrfs: rewrite btrfs_trim_block_group() (FATE#306586).
- btrfs: allow cross-subvolume file clone (bnc#698540).
- Btrfs: fix leaked space in truncate (FATE#306586).
- Btrfs: fix how we do delalloc reservations and how we free
reservations on error (FATE#306586).
- Btrfs: deal with enospc from dirtying inodes properly
(FATE#306586).
- Btrfs: fix num_workers_starting bug and other bugs in async
thread (FATE#306586).
- Btrfs: add allocator tracepoints (FATE#306586).
- Btrfs: fix btrfs_end_bio to deal with write errors to a single
mirror (FATE#306586).
- Btrfs: drop spin lock when memory alloc fails (FATE#306586).
- Btrfs: check if the to-be-added device is writable
(FATE#306586).
- Btrfs: try cluster but don't advance in search list
(FATE#306586).
- Btrfs: try to allocate from cluster even at LOOP_NO_EMPTY_SIZE
(FATE#306586).
- Btrfs: fix meta data raid-repair merge problem (FATE#306586).
- Btrfs: skip allocation attempt from empty cluster (FATE#306586).
- Btrfs: skip block groups without enough space for a cluster
(FATE#306586).
- Btrfs: start search for new cluster at the beginning
(FATE#306586).
- Btrfs: reset cluster's max_size when creating bitmap
(FATE#306586).
- Btrfs: initialize new bitmaps' list (FATE#306586).
- Btrfs: fix oops when calling statfs on readonly device
(FATE#306586).
- Btrfs: Don't error on resizing FS to same size (FATE#306586).
- Btrfs: fix deadlock on metadata reservation when evicting a
inode (FATE#306586).
- btrfs scrub: handle -ENOMEM from init_ipath() (FATE#306586).
- Btrfs: remove free-space-cache.c WARN during log replay
(FATE#306586).
- Btrfs: sectorsize align offsets in fiemap (FATE#306586).
- Btrfs: clear pages dirty for io and set them extent mapped
(FATE#306586).
- Btrfs: wait on caching if we're loading the free space cache
(FATE#306586).
- Btrfs: prefix resize related printks with btrfs: (FATE#306586).
- btrfs: fix stat blocks accounting (FATE#306586).
- Btrfs: avoid unnecessary bitmap search for cluster setup
(FATE#306586).
- Btrfs: fix to search one more bitmap for cluster setup
(FATE#306586).
- btrfs: mirror_num should be int, not u64 (FATE#306586).
- btrfs: Fix up 32/64-bit compatibility for new ioctls
(FATE#306586).
- Btrfs: fix barrier flushes (FATE#306586).
- Btrfs: fix tree corruption after multi-thread snapshots and
inode_cache flush (FATE#306586).
- btrfs: rename the option to nospace_cache (FATE#306586).
- Btrfs: handle bio_add_page failure gracefully in scrub
(FATE#306586).
- Btrfs: fix deadlock caused by the race between relocation
(FATE#306586).
- Btrfs: only map pages if we know we need them when reading
the space cache (FATE#306586).
- Btrfs: fix orphan backref nodes (FATE#306586).
- Btrfs: Abstract similar code for btrfs_block_rsv_add{, _noflush}
(FATE#306586).
- Btrfs: fix unreleased path in btrfs_orphan_cleanup()
(FATE#306586).
- Btrfs: fix no reserved space for writing out inode cache
(FATE#306586).
- Btrfs: fix nocow when deleting the item (FATE#306586).
- Btrfs: tweak the delayed inode reservations again (FATE#306586).
- Btrfs: rework error handling in btrfs_mount() (FATE#306586).
- Btrfs: close devices on all error paths in open_ctree()
(FATE#306586).
- Btrfs: avoid null dereference and leaks when bailing from
open_ctree() (FATE#306586).
- Btrfs: fix subvol_name leak on error in btrfs_mount()
(FATE#306586).
- Btrfs: fix memory leak in btrfs_parse_early_options()
(FATE#306586).
- Btrfs: fix our reservations for updating an inode when
completing io (FATE#306586).
- Btrfs: fix oops on NULL trans handle in btrfs_truncate
(FATE#306586).
- btrfs: fix double-free 'tree_root' in 'btrfs_mount()'
(FATE#306586).
- Btrfs: check for a null fs root when writing to the backup
root log (FATE#306586).
- Btrfs: fix race during transaction joins (FATE#306586).
- Btrfs: fix a potential btrfs_bio leak on scrub fixups
(FATE#306586).
- Btrfs: stop leaking btrfs_bios on readahead (FATE#306586).
- Btrfs: stop the readahead threads on failed mount (FATE#306586).
- Btrfs: fix extent_buffer leak in the metadata IO error handling
(FATE#306586).
- Btrfs: fix the new inspection ioctls for 32 bit compat
(FATE#306586).
- btrfs: integrating raid-repair and scrub-fixup-nodatasum
(FATE#306586).
- btrfs: Moved repair code from inode.c to extent_io.c
(FATE#306586).
- btrfs: Put mirror_num in bi_bdev (FATE#306586).
- btrfs: Do not use bio->bi_bdev after submission (FATE#306586).
- btrfs: btrfs_multi_bio replaced with btrfs_bio (FATE#306586).
- btrfs: new ioctls to do logical->inode and inode->path resolving
(FATE#306586).
- btrfs scrub: add fixup code for errors on nodatasum files
(FATE#306586).
- btrfs scrub: use int for mirror_num, not u64 (FATE#306586).
- btrfs: add mirror_num to extent_read_full_page (FATE#306586).
- btrfs scrub: bugfix: mirror_num off by one (FATE#306586).
- btrfs scrub: print paths of corrupted files (FATE#306586).
- btrfs scrub: added unverified_errors (FATE#306586).
- btrfs: added helper functions to iterate backrefs (FATE#306586).
- btrfs: use readahead API for scrub (FATE#306586).
- btrfs: hooks for readahead (FATE#306586).
- btrfs: initial readahead code and prototypes (FATE#306586).
- btrfs: state information for readahead (FATE#306586).
- btrfs: add READAHEAD extent buffer flag (FATE#306586).
- btrfs: add an extra wait mode to read_extent_buffer_pages
(FATE#306586).
- Btrfs: fix delayed insertion reservation (FATE#306586).
- Btrfs: ClearPageError during writepage and clean_tree_block
(FATE#306586).
- Btrfs: be smarter about committing the transaction in
reserve_metadata_bytes (FATE#306586).
- Btrfs: make a delayed_block_rsv for the delayed item insertion
(FATE#306586).
- Btrfs: add a log of past tree roots (FATE#306586).
- btrfs: separate superblock items out of fs_info (FATE#306586).
- Btrfs: use the global reserve when truncating the free space
cache inode (FATE#306586).
- Btrfs: release metadata from global reserve if we have to
fallback for unlink (FATE#306586).
- Btrfs: make sure to flush queued bios if write_cache_pages waits
(FATE#306586).
- Btrfs: fix extent pinning bugs in the tree log (FATE#306586).
- Btrfs: make sure btrfs_remove_free_space doesn't leak EAGAIN
(FATE#306586).
- Btrfs: don't wait as long for more batches during SSD log commit
(FATE#306586).
- btrfs: ratelimit WARN_ON in use_block_rsv (FATE#306586).
- btrfs: do not allow mounting non-subvolumes via subvol option
(FATE#306586).
- Btrfs: close all bdevs on mount failure (FATE#306586).
- Btrfs: fix a bug when opening seed devices (FATE#306586).
- btrfs: fix oops on failure path (FATE#306586).
- Btrfs: fix race between multi-task space allocation and caching
space (FATE#306586).
- Btrfs: fix return value of btrfs_get_acl() (FATE#306586).
- Btrfs: pass the correct root to lookup_free_space_inode()
(FATE#306586).
- Btrfs: do not set EXTENT_DIRTY along with EXTENT_DELALLOC
(FATE#306586).
- Btrfs: fix direct-io vs nodatacow (FATE#306586).
- Btrfs: remove BUG_ON() in compress_file_range() (FATE#306586).
- Btrfs: fix array bound checking (FATE#306586).
- btrfs: return EINVAL if start > total_bytes in fitrim ioctl
(FATE#306586).
- Btrfs: honor extent thresh during defragmentation (FATE#306586).
- btrfs: trivial fix, a potential memory leak in
btrfs_parse_early_options() (FATE#306586).
- Btrfs: fix wrong max_to_defrag in btrfs_defrag_file()
(FATE#306586).
- Btrfs: use i_size_read() in btrfs_defrag_file() (FATE#306586).
- Btrfs: fix defragmentation regression (FATE#306586).
- btrfs: fix memory leak in btrfs_defrag_file (FATE#306586).
- btrfs: check file extent backref offset underflow (FATE#306586).
- Btrfs: don't flush the cache inode before writing it
(FATE#306586).
- Btrfs: if we have a lot of pinned space, commit the transaction
(FATE#306586).
- Btrfs: seperate out btrfs_block_rsv_check out into 2 different
functions (FATE#306586).
- Btrfs: reserve some space for an orphan item when unlinking
(FATE#306586).
- Btrfs: release trans metadata bytes before flushing delayed refs
(FATE#306586).
- Btrfs: allow shrink_delalloc flush the needed reclaimed pages
(FATE#306586).
- Btrfs: wait for ordered extents if we're in trouble when
shrinking delalloc (FATE#306586).
- Btrfs: don't check bytes_pinned to determine if we should
commit the transaction (FATE#306586).
- Btrfs: fix regression in re-setting a large xattr (FATE#306586).
- Btrfs: fix the amount of space reserved for unlink
(FATE#306586).
- Btrfs: wait for ordered extents if we didn't reclaim enough
(FATE#306586).
- Btrfs: inline checksums into the disk free space cache
(FATE#306586).
- Btrfs: take overflow into account in reserving space
(FATE#306586).
- Btrfs: check the return value of filemap_write_and_wait in
the space cache (FATE#306586).
- Btrfs: add a io_ctl struct and helpers for dealing with the
space cache (FATE#306586).
- Btrfs: don't skip writing out a empty block groups cache
(FATE#306586).
- Btrfs: introduce mount option no_space_cache (FATE#306586).
- Btrfs: only inherit btrfs specific flags when creating files
(FATE#306586).
- Btrfs: allow us to overcommit our enospc reservations
(FATE#306586).
- Btrfs: break out of orphan cleanup if we can't make progress
(FATE#306586).
- Btrfs: use the global reserve as a backup for deleting inodes
(FATE#306586).
- Btrfs: stop using write_one_page (FATE#306586).
- Btrfs: introduce convert_extent_bit (FATE#306586).
- Btrfs: check unused against how much space we actually want
(FATE#306586).
- Btrfs: fix orphan cleanup regression (FATE#306586).
- Btrfs: use the inode's mapping mask for allocating pages
(FATE#306586).
- Btrfs: delay iput when deleting a block group (FATE#306586).
- Btrfs: make sure to unset trans->block_rsv before running
delayed refs (FATE#306586).
- Btrfs: stop passing a trans handle all around the reservation
code (FATE#306586).
- Btrfs: don't get the block_rsv in btrfs_free_tree_block
(FATE#306586).
- Btrfs: use the transactions block_rsv for the csum root
(FATE#306586).
- Btrfs: handle enospc accounting for free space inodes
(FATE#306586).
- Btrfs: put the block group cache after we commit the super
(FATE#306586).
- Btrfs: set truncate block rsv's size (FATE#306586).
- Btrfs: don't increase the block_rsv's size when emergency
allocating space (FATE#306586).
- Btrfs: fix space leak when we fail to make an allocation
(FATE#306586).
- Btrfs: fix call to btrfs_search_slot in free space cache
(FATE#306586).
- Btrfs: allow callers to specify if flushing can occur for
btrfs_block_rsv_check (FATE#306586).
- Btrfs: reduce the amount of space needed for truncates
(FATE#306586).
- Btrfs: only reserve space in fallocate if we have to do a
preallocate (FATE#306586).
- Btrfs: kill btrfs_truncate_reserve_metadata (FATE#306586).
- Btrfs: optimize how we account for space in truncate
(FATE#306586).
- Btrfs: don't try to commit in btrfs_block_rsv_check
(FATE#306586).
- Btrfs: kill unused parts of block_rsv (FATE#306586).
- Btrfs: ratelimit the generation printk for the free space cache
(FATE#306586).
- Btrfs: fix how we reserve space for deleting inodes
(FATE#306586).
- Btrfs: kill the durable block rsv stuff (FATE#306586).
- Btrfs: kill the orphan space calculation for snapshots
(FATE#306586).
- Btrfs: calculate checksum space correctly (FATE#306586).
- Btrfs: skip looking for delalloc if we don't have
->fill_delalloc (FATE#306586).
- Btrfs: use bytes_may_use for all ENOSPC reservations
(FATE#306586).
- Btrfs: fix how we mount subvol=<whatever> (FATE#306586).
- Btrfs: use d_obtain_alias when mounting subvol/subvolid
(FATE#306586).
- Btrfs: kill reserved_bytes in inode (FATE#306586).
- Btrfs: move stuff around in btrfs_inode to get better packing
(FATE#306586).
- commit ba3fdbf
-------------------------------------------------------------------
Wed Dec 14 13:51:41 CET 2011 - mmarek@suse.cz
- rpm/kernel-source.spec.in, rpm/mkspec: Fix tarball URL for -rcX and
do not generate any URL for linux-next and vanilla snapshots.
- commit 1548daf
-------------------------------------------------------------------
Tue Dec 13 23:00:02 CET 2011 - mmarek@suse.cz
- rpm/kernel-source.spec.in, rpm/mkspec: Fix linux tarball URL
- commit 5453f99
-------------------------------------------------------------------
Tue Dec 13 19:48:57 CET 2011 - bpoirier@suse.de
- batman-adv: Only write requested number of byte to user buffer
(bnc#736149 CVE-2011-4604).
- batman-adv: bat_socket_read missing checks (bnc#736149
CVE-2011-4604).
- commit 94c2b73
-------------------------------------------------------------------
Tue Dec 13 14:33:56 CET 2011 - agraf@suse.de
- doc/config-options.changes: add CONFIG_DEBUG_STACKOVERFLOW change
- commit 42de6ff
-------------------------------------------------------------------
Tue Dec 13 11:42:47 CET 2011 - agraf@suse.de
- PPC: Update config files: disable CONFIG_DEBUG_STACKOVERFLOW
- commit 4d462dd
-------------------------------------------------------------------
Mon Dec 12 16:06:06 CET 2011 - aj@suse.de
- Silence missing defattr warning.
- commit e7e3743
-------------------------------------------------------------------
Mon Dec 12 16:04:58 CET 2011 - aj@suse.de
- Silence warning about dangling symlink and vdsos
- commit 701c5c7
-------------------------------------------------------------------
Mon Dec 12 13:16:03 CET 2011 - mmarek@suse.cz
- Revert "USB: fix ehci alignment error".
- kabi/severities: Check drivers/usb again
- commit 6f443ef
-------------------------------------------------------------------
Mon Dec 12 02:34:32 CET 2011 - trenn@suse.de
- x86, x2apic: Enable the bios request for x2apic optout.
- commit c1c0cfd
-------------------------------------------------------------------
Sat Dec 10 20:20:50 CET 2011 - jslaby@suse.cz
- Revert "Import 12.1 GA kabi files."
This reverts commit b888bde97978ecb527b6ab1c68edf71b63039c2b.
We don't need them in stable.
- commit d70fd6b
-------------------------------------------------------------------
Sat Dec 10 20:14:51 CET 2011 - jslaby@suse.cz
- Linux 3.1.5.
- Delete
patches.fixes/drm-radeon-kms-fix-up-gpio-i2c-mask-bits-for-r4xx.patch.
- commit fe92352
-------------------------------------------------------------------
Sat Dec 10 20:11:56 CET 2011 - jslaby@suse.cz
- media/staging: fix allyesconfig build error.
- Refresh
patches.drivers/0014-media-staging-as102-Enable-compilation.patch.
- commit 28aa839
-------------------------------------------------------------------
Fri Dec 9 22:28:04 CET 2011 - aj@suse.de
- No self-obsoletions.
- commit 1d2e747
-------------------------------------------------------------------
Fri Dec 9 20:58:23 CET 2011 - aj@suse.de
- Do not show rpmlint warnings.
- commit bb45b41
-------------------------------------------------------------------
Fri Dec 9 17:04:44 CET 2011 - aj@suse.de
- Use versioned provides/obsoletes.
- commit cf4f296
-------------------------------------------------------------------
Fri Dec 9 13:28:02 CET 2011 - aj@suse.de
- Adjust kernel-source.rpmlintrc for Linux 3.x
- commit bc1534e
-------------------------------------------------------------------
Thu Dec 8 14:52:21 CET 2011 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Do not package the vmlinux image in the devel
package.
- commit 02bb976
-------------------------------------------------------------------
Wed Dec 7 11:08:09 CET 2011 - aj@suse.de
- Use GPL-2.0 as license tag (from http://spdx.org/licenses/)
Only have one licenses line per file, the rest was just duplicated.
- commit f7c443c
-------------------------------------------------------------------
Wed Dec 7 11:05:05 CET 2011 - aj@suse.de
- Fix typo: Base package should not conflict with itself but with main package.
- commit e961ef0
-------------------------------------------------------------------
Tue Dec 6 00:07:03 CET 2011 - jeffm@suse.com
- Update to 3.2-rc4.
- Eliminated 1 patch.
- commit a7d546d
-------------------------------------------------------------------
Mon Dec 5 14:23:07 CET 2011 - agraf@suse.de
- ARM: Update config files: omap: enable power management
- commit b97f846
-------------------------------------------------------------------
Fri Dec 2 23:07:03 CET 2011 - mmarek@suse.cz
- kabi: fix jiffies_to_clock_t breakage.
- commit c361d8d
-------------------------------------------------------------------
Fri Dec 2 16:26:48 CET 2011 - mmarek@suse.cz
- kabi/severities: Ignore changes to usb, nfs and some drivers for now.
- commit c267225
-------------------------------------------------------------------
Fri Dec 2 15:26:14 CET 2011 - mmarek@suse.cz
- Import 12.1 GA kabi files.
- commit b888bde
-------------------------------------------------------------------
Thu Dec 1 15:29:18 CET 2011 - mhocko@suse.cz
- mm: Ensure that pfn_valid is called once per pageblock when
reserving pageblocks (bnc#731261, bnc#730731).
- commit 2d4e916
-------------------------------------------------------------------
Tue Nov 29 19:59:18 CET 2011 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Make the -base package conflict with the main
one.
- commit 45ada0d
-------------------------------------------------------------------
Tue Nov 29 10:19:55 CET 2011 - jslaby@suse.cz
- Linux 3.1.4.
- commit f68e526
-------------------------------------------------------------------
Mon Nov 28 17:07:17 CET 2011 - jeffm@suse.com
- Fix kernel-source spec to give ownership of the /usr/src/linux link
to kernel-devel. kernel-source depends on kernel-devel, so this is correct.
- commit 7160a9c
-------------------------------------------------------------------
Mon Nov 28 16:51:10 CET 2011 - jbeulich@novell.com
- Refresh patches.xen/xen-pcpu-hotplug.
- Refresh patches.xen/xen3-patch-3.2-rc3.
- commit 7956240
-------------------------------------------------------------------
Mon Nov 28 16:12:16 CET 2011 - jbeulich@novell.com
- Update Xen patches to 3,2-rc3 and c/s 1127.
- Update frontswap patches to v10.
- config.conf: Re-enable Xen.
- Update config files.
- commit b08ea4f
-------------------------------------------------------------------
Mon Nov 28 15:32:21 CET 2011 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Ignore staging failures only in vanilla only
branches.
- commit eeaaaf1
-------------------------------------------------------------------
Mon Nov 28 14:50:57 CET 2011 - mmarek@suse.cz
- Build the kotd against 12.1, not Factory.
- commit 2787ebe
-------------------------------------------------------------------
Mon Nov 28 04:34:04 CET 2011 - trenn@suse.de
- intel_idle: Fix kvm -cpu host Null pointer enter function issue
(bnc#726296).
- commit 84d23cb
-------------------------------------------------------------------
Sun Nov 27 14:11:25 CET 2011 - jslaby@suse.cz
- Linux 3.1.3.
- commit e70a1d9
-------------------------------------------------------------------
Fri Nov 25 19:28:57 CET 2011 - agraf@suse.de
- ARM: config: omap: set omap_fb=y
- commit c1efd33
-------------------------------------------------------------------
Fri Nov 25 19:00:35 CET 2011 - agraf@suse.de
- ARM: config: omap: disable OMAP2 to fix reboots
- commit 2d07cf1
-------------------------------------------------------------------
Fri Nov 25 18:45:06 CET 2011 - agraf@suse.de
- ARM: config: omap: enable DVI
- commit 40ecc5c
-------------------------------------------------------------------
Fri Nov 25 18:00:27 CET 2011 - agraf@suse.de
- ARM: config: omap: enable ehci
- commit 96a74f9
-------------------------------------------------------------------
Fri Nov 25 17:38:01 CET 2011 - agraf@suse.de
- ARM: config: omap: enable pandaboard usb
- commit bab20e3
-------------------------------------------------------------------
Fri Nov 25 15:07:43 CET 2011 - agraf@suse.de
- ARM: config: omap: enable pandaboard LAN
- commit db7157b
-------------------------------------------------------------------
Fri Nov 25 14:59:10 CET 2011 - agraf@suse.de
- ARM: configs: Align with non-arm configs
- commit 9a9b9ee
-------------------------------------------------------------------
Fri Nov 25 14:31:57 CET 2011 - agraf@suse.de
- new script common_config.sh: find identical config options
- commit 1562f91
-------------------------------------------------------------------
Fri Nov 25 13:01:35 CET 2011 - agraf@suse.de
- ARM: configs: enable devtmpfs on all targets
- commit 5c31b37
-------------------------------------------------------------------
Fri Nov 25 05:58:54 CET 2011 - jeffm@suse.com
- Update config files for vanilla.
- commit 4c5b0b9
-------------------------------------------------------------------
Fri Nov 25 05:47:49 CET 2011 - jeffm@suse.com
- Update to 3.2-rc3.
- stack unwind: cfi_ignore takes more arguments.
- iio: Fix build error in industrialio-core.c.
- commit 48ef020
-------------------------------------------------------------------
Thu Nov 24 18:45:54 CET 2011 - ohering@suse.de
- ata_piix: ignore disks in a hyper-v guest.
- commit d0bebdb
-------------------------------------------------------------------
Thu Nov 24 13:01:09 CET 2011 - mmarek@suse.cz
- rpm/try-disable-staging-driver: Script to disable failing staging
driver and restart make.
- commit 819d1fa
-------------------------------------------------------------------
Thu Nov 24 12:41:48 CET 2011 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Do not have a separate make invocation for
s390 and the rest.
- commit d72f6c1
-------------------------------------------------------------------
Thu Nov 24 10:33:50 CET 2011 - jdelvare@suse.de
- patches.fixes/drm-radeon-kms-fix-up-gpio-i2c-mask-bits-for-r4xx.patch: Add missing git-commit.
- commit 3556d24
-------------------------------------------------------------------
Thu Nov 24 00:27:55 CET 2011 - agraf@suse.de
- ARM: Update config file file i.MX51 (disable i.MX53)
- commit 6557e92
-------------------------------------------------------------------
Wed Nov 23 22:35:11 CET 2011 - agraf@suse.de
- ARM: Refresh patches.arch/arm-phys-offset.patch. (fix warnings)
- commit 519c94a
-------------------------------------------------------------------
Wed Nov 23 22:33:58 CET 2011 - agraf@suse.de
- ARM: create uImage, not zImage, so we can boot with u-boot
- commit 63a7b2c
-------------------------------------------------------------------
Wed Nov 23 10:26:14 CET 2011 - jdelvare@suse.de
- drm/radeon/kms: fix up gpio i2c mask bits for r4xx (bnc#691052).
- commit 6c3bb8d
-------------------------------------------------------------------
Wed Nov 23 02:33:12 CET 2011 - agraf@suse.de
- ARM: Fix compile breakage for generic kernel.
- commit 9d31b12
-------------------------------------------------------------------
Tue Nov 22 18:41:44 CET 2011 - agraf@suse.de
- ARM: Update config files: fix several issues in 3.2
- commit 3488f8d
-------------------------------------------------------------------
Tue Nov 22 17:41:06 CET 2011 - agraf@suse.de
- ARM: Update config files: disable broken eth driver
- commit 3729fdf
-------------------------------------------------------------------
Tue Nov 22 16:35:21 CET 2011 - jslaby@suse.cz
- Linux 3.1.2.
- commit 51ae099
-------------------------------------------------------------------
Tue Nov 22 15:20:06 CET 2011 - agraf@suse.de
- ARM: Update config files: run_oldconfig.sh
- commit ae90ef9
-------------------------------------------------------------------
Tue Nov 22 15:11:22 CET 2011 - agraf@suse.de
- ARM: fix run_oldconfig.sh
- commit 0362ed5
-------------------------------------------------------------------
Tue Nov 22 14:12:10 CET 2011 - agraf@suse.de
- ARM: Use zImage, not vmlinux
On ARM we use the arch/arm/boot/zImage file similar to x86 to boot systems.
Put that into the rpm as vmlinuz instead of the vmlinux ELF binary.
- commit 4c08eb0
-------------------------------------------------------------------
Tue Nov 22 14:05:11 CET 2011 - agraf@suse.de
- ARM: Dont generalize the target
On ARM we can not build generic kernels that work through different generations,
such as armv5 and armv7. So we better list them explicitly and not export an rpm
that wouldn't even work on the respective architecture :).
- commit 854928d
-------------------------------------------------------------------
Thu Nov 17 19:45:48 CET 2011 - bpoirier@suse.de
- dm-raid45: split region hash and log, used by mirror and raid45.
- Update config files.
- commit a85f754
-------------------------------------------------------------------
Wed Nov 16 19:39:48 CET 2011 - jslaby@suse.cz
- add as102 dvb-t driver to staging
- staging: as102: Initial import from Abilis.
- staging: as102: Fix CodingStyle errors in file as102_drv.c.
- staging: as102: Fix CodingStyle errors in file as102_fw.c.
- staging: as102: Fix CodingStyle errors in file as10x_cmd.c.
- staging: as102: Fix CodingStyle errors in file
as10x_cmd_stream.c.
- staging: as102: Fix CodingStyle errors in file as102_fe.c.
- staging: as102: Fix CodingStyle errors in file as102_usb_drv.c.
- staging: as102: Fix CodingStyle errors in file as10x_cmd_cfg.c.
- staging: as102: Add Elgato EyeTV DTT Deluxe.
- staging: as102: Properly handle multiple product names.
- staging: as102: Fix licensing oversight.
- staging: as102: Remove non-linux headers inclusion.
- staging: as102: Convert the comments to kernel-doc style.
- staging: as102: Enable compilation.
- staging: as102: Add nBox Tuner Dongle support.
- staging: as102: Unconditionally compile code dependent on
DVB_CORE.
- staging: as102: Remove conditional compilation based on
kernel version.
- Update config files.
- commit f08cab1
-------------------------------------------------------------------
Wed Nov 16 06:51:07 CET 2011 - jeffm@suse.com
- Update to 3.2-rc2.
- Eliminated 1 patch.
- commit 85c9930
-------------------------------------------------------------------
Wed Nov 16 06:32:19 CET 2011 - jeffm@suse.com
- Update to 3.2-rc1.
- Eliminate 212 patches.
- commit 6318d5b
-------------------------------------------------------------------
Tue Nov 15 02:03:50 CET 2011 - jeffm@suse.com
- Update Patch-mainline headers.
- commit e170ca1
-------------------------------------------------------------------
Mon Nov 14 22:22:11 CET 2011 - awafaa@opensuse.org
- Add package descriptions for ARM kernels
- Add ARM support for iMX51, OMAP2plus & Tegra SoC
- commit 51ebb2d
-------------------------------------------------------------------
Mon Nov 14 19:24:31 CET 2011 - jeffm@suse.com
- Updated incorrect Patch-mainline header.
- commit ef7dc61
-------------------------------------------------------------------
Sat Nov 12 15:39:33 CET 2011 - adrian@suse.de
- initial armv7l default kernel config, everything enable except the non compiling modules
- commit 7a5cd10
-------------------------------------------------------------------
Fri Nov 11 23:26:08 CET 2011 - gregkh@suse.de
- Linux 3.1.1.
- Update config files.
- Refresh patches.fixes/scsi-dh-queuedata-accessors.
- Refresh
patches.suse/staging-hv-staging-next-20110829-0327-Staging-hv-storvsc-Add-the-contents-of-hyperv_sto.patch.
- Refresh patches.xen/xen-x86-no-lapic.
- Delete
patches.drivers/alsa-hda-0002-Keep-EAPD-turned-on-for-old-Conexant-chips.
- Delete
patches.drivers/alsa-hda-0003-Fix-ADC-input-amp-handling-for-Cx20549.
- Delete
patches.drivers/alsa-hda-0004-Add-missing-static-ADC-tables-for-ALC269-qu.
- Delete
patches.drivers/alsa-hda-0005-realtek-Skip-invalid-digital-out-pins.
- Delete patches.fixes/drm-radeon-kms-fix-i2c-masks.patch.
- Delete
patches.suse/staging-hv-staging-next-20111001-0058-staging-hv-fix-a-kernel-warning-in-netvsc_linkstat.patch.
- Delete
patches.suse/staging-hv-staging-next-20111001-0129-Staging-hv-Add-support-for-2-TB-LUN-in-storage-dr.patch.
- commit ce7f6bd
-------------------------------------------------------------------
Mon Nov 7 05:17:38 CET 2011 - neilb@suse.de
- sunrpc: wake up SOFTCONN tasks when a connection error happens
(bnc#679059).
- commit f97b834
-------------------------------------------------------------------
Sat Nov 5 01:32:21 CET 2011 - trenn@suse.de
- X86: Solve Dell Latitudes do not reboot on x86_64 more
generally.
- commit b3cc84a
-------------------------------------------------------------------
Thu Nov 3 17:56:46 CET 2011 - mszeredi@suse.cz
- patches.fixes/hfs-fix-hfs_find_init-sb-ext_tree-null-ptr-oops.patch:
hfs: fix hfs_find_init() sb->ext_tree NULL ptr oops
(CVE-2011-2203 bnc#699709).
- commit 7923119
-------------------------------------------------------------------
Thu Nov 3 17:15:28 CET 2011 - tiwai@suse.de
- ALSA: hda/realtek - Skip invalid digital out pins (bnc#727348).
- ALSA: hda - Add missing static ADC tables for ALC269 quirks.
- commit 102bac3
-------------------------------------------------------------------
Thu Nov 3 16:29:03 CET 2011 - jdelvare@suse.de
- patches.fixes/drm-radeon-kms-fix-i2c-masks.patch: Add git commit ID.
- commit ee8439f
-------------------------------------------------------------------
Thu Nov 3 16:05:04 CET 2011 - mhocko@suse.cz
- oom: thaw threads if oom killed thread is frozen before
deferring (bnc#723999).
- commit 74af598
-------------------------------------------------------------------
Thu Nov 3 15:45:45 CET 2011 - jeffm@suse.com
- Re-disabled patches.xen/xencons-tty_mutex.patch
- commit 187dde0
-------------------------------------------------------------------
Thu Nov 3 15:30:48 CET 2011 - jeffm@suse.com
- Re-added patches accidentally dropped with commit 28551a0c.
- commit 3795fde
-------------------------------------------------------------------
Thu Nov 3 14:49:44 CET 2011 - jbeulich@novell.com
- Update Xen patches to 3.1-final and c/s 1123.
- commit 35973e8
-------------------------------------------------------------------
Wed Nov 2 15:43:11 CET 2011 - jeffm@suse.com
- Add Elantech touchpad v3/v4 support (bnc#716852):
- Input: elantech - add v3 hardware support.
- Input: elantech - add v4 hardware support.
- Input: elantech - better support all those v2 variants.
- Input: elantech - clean up elantech_init.
- Input: elantech - correct x, y value range for v2 hardware.
- Input: elantech - get rid of ETP_2FT_* in elantech.h.
- Input: elantech - packet checking for v2 hardware.
- Input: elantech - remove ETP_EDGE_FUZZ_V2.
- Input: elantech - remove module parameter force_elantech.
- Input: elantech - use firmware provided x, y ranges.
- commit 28551a0
-------------------------------------------------------------------
Sun Oct 30 12:47:38 CET 2011 - mmarek@suse.cz
- rpm/{arch-symbols,mkspec}: Add support for ARM
The various ARM families need similar handling like i?86.
- commit 0c6be6b
-------------------------------------------------------------------
Thu Oct 27 17:08:42 CEST 2011 - tiwai@suse.de
- ALSA: hda - Fix ADC input-amp handling for Cx20549 codec.
- ALSA: hda - Keep EAPD turned on for old Conexant chips.
- ALSA: hda/realtek - Fix missing volume controls with ALC260
(bnc#726812).
- commit 08229b0
-------------------------------------------------------------------
Tue Oct 25 19:33:27 CEST 2011 - ohering@suse.de
- tty deadlock in kernel-xen, xenconsole (bnc#726333).
- commit 68289aa
-------------------------------------------------------------------
Tue Oct 25 19:24:17 CEST 2011 - eich@suse.de
- patches.suse/SUSE-bootsplash: remove DEBUG macro which was
left in the code by accident.
- commit a56ba15
-------------------------------------------------------------------
Mon Oct 24 18:28:04 CEST 2011 - jeffm@suse.com
- Update to 3.1-final.
- commit ec0449c
-------------------------------------------------------------------
Mon Oct 24 15:04:34 CEST 2011 - ohering@suse.de
- patches.suse/staging-hv-pata_piix-ignore-disks.patch:
Update comments in code and reduce patch size
- commit 8913d07
-------------------------------------------------------------------
Thu Oct 20 10:02:15 CEST 2011 - eich@suse.de
- bootsplash: fix logo location when a splash image with an off-center
logo is rescaled (bnc #722471).
- commit f6d77d4
-------------------------------------------------------------------
Tue Oct 18 23:44:16 CEST 2011 - jeffm@suse.com
- -Update to 3.1-rc10.
- commit 239957e
-------------------------------------------------------------------
Mon Oct 17 20:20:15 CEST 2011 - jslaby@suse.cz
- Linux 3.0.7.
- commit b7d5dba
-------------------------------------------------------------------
Fri Oct 14 14:23:02 CEST 2011 - trenn@suse.de
- x86: Fix reboot issue for Dell Optiplex 990.
- commit 00ea2b5
-------------------------------------------------------------------
Fri Oct 14 12:19:06 CEST 2011 - mmarek@suse.cz
- rpm/*.spec.in, rpm/mkspec: Generate specfiles according to Factory
requirements.
- commit aebe2d9
-------------------------------------------------------------------
Thu Oct 13 21:25:59 CEST 2011 - ohering@suse.de
- series.conf: really apply all hv_mouse patches
- commit 11f65b5
-------------------------------------------------------------------
Wed Oct 12 21:56:23 CEST 2011 - ohering@suse.de
- Various updates for hv drivers, which fix also the hv_mouse driver
- staging: hv: storvsc: ignore SET_WINDOWN scsi command
(bnc#722646).
- staging/hv: advertise hv_storvsc instead of storvsc as sysfs
driver name (bnc#716708).
- staging/hv: fill scsi/proc_name for the sake of mkinitrd
(bnc#716708).
- Staging: hv: util: Invoke cn_netlink_send() in a work context.
- Refresh patches.suse/staging-hv-pata_piix-ignore-disks.patch.
- Refresh patches.xen/xen3-patch-2.6.32.
- Delete patches.suse/staging-hv-vmbus-modalias-show.patch.
- commit c836841
-------------------------------------------------------------------
Wed Oct 12 11:42:57 CEST 2011 - eich@suse.de
- SUSE-bootsplash: Don't crash when splash is turned off.
Fix crash when splash is off (ie. (struct fb_info *)->splash_data == NULL)
but someone tries to manipulate the splash image thru the /proc interface.
All other functions in render.c seem to be save - ie. upper level code
already protects them from being called if the splash_data member is NULL
(bnc #718666).
- commit 2fc2aaf
-------------------------------------------------------------------
Tue Oct 11 14:56:37 CEST 2011 - jdelvare@suse.de
- drm/radeon/kms: Fix I2C mask definitions (bnc#712023).
- commit d4a7ce4
-------------------------------------------------------------------
Fri Oct 7 17:46:06 CEST 2011 - jbeulich@novell.com
- Update Xen patches to 3.1-rc9 and c/s 1116.
- commit 8f986a2
-------------------------------------------------------------------
Thu Oct 6 21:52:47 CEST 2011 - jdelvare@suse.de
- drm/radeon/kms: Fix I2C mask definitions (bnc#712023).
- commit f1106c1
-------------------------------------------------------------------
Wed Oct 5 13:45:24 CEST 2011 - jbeulich@novell.com
- patches.suse/supported-flag: Also look for Module.supported in the
source tree.
- Refresh patches.suse/supported-flag-enterprise.
- commit 9c8680a
-------------------------------------------------------------------
Wed Oct 5 07:47:21 CEST 2011 - jeffm@suse.com
- Update to 3.1-rc9.
- commit a477439
-------------------------------------------------------------------
Tue Oct 4 23:20:30 CEST 2011 - jslaby@suse.cz
- vmscan: clear ZONE_CONGESTED for zone with good watermark
(MM fixes 3.0 to 3.1-rc4 not in -stable).
- mm: vmscan: drop nr_force_scan[] from get_scan_count (MM fixes
3.0 to 3.1-rc4 not in -stable).
- mm: vmscan: fix force-scanning small targets without swap
(MM fixes 3.0 to 3.1-rc4 not in -stable).
- mm/memory.c: remove ZAP_BLOCK_SIZE (MM fixes 3.0 to 3.1-rc4
not in -stable).
- mm/huge_memory.c: minor lock simplification in __khugepaged_exit
(MM fixes 3.0 to 3.1-rc4 not in -stable).
- mm: swap-token: makes global variables to function local
(MM fixes 3.0 to 3.1-rc4 not in -stable).
- commit 34e40aa
-------------------------------------------------------------------
Tue Oct 4 20:29:58 CEST 2011 - jslaby@suse.cz
- Linux 3.0.6.
- Refresh patches.fixes/bridge-module-get-put.patch.
- Delete patches.fixes/pty-fix-pty-counting.patch.
- Delete patches.fixes/tg3-Fix-io-failures-after-chip-reset.patch.
- commit 8eedfe8
-------------------------------------------------------------------
Mon Oct 3 18:22:13 CEST 2011 - jeffm@suse.com
- Update to 3.1-rc8.
- commit 6c33ac4
-------------------------------------------------------------------
Wed Sep 28 16:41:36 CEST 2011 - jbeulich@novell.com
- Update Xen config files.
- commit 50fb02f
-------------------------------------------------------------------
Tue Sep 27 22:25:34 CEST 2011 - eich@suse.de
- Refresh patches.suse/SUSE-bootsplash.
Fix crash when updating boxes: Don't reallocate
picture.
- commit ba0cfdc
-------------------------------------------------------------------
Mon Sep 26 15:56:27 CEST 2011 - mmarek@suse.cz
- rpm/host-memcpy-hack.h: Hack to use older version of the memcpy symbol
in userspace programs, so that they keep working on older systems.
- rpm/kernel-binary.spec.in: Pass -include
%_sourcedir/host-memcpy-hack.h to the compiler when compiling
userspace programs.
- commit e3c78cf
-------------------------------------------------------------------
Mon Sep 26 13:23:42 CEST 2011 - jbeulich@novell.com
- Update Xen patches to 3.1-rc7 and c/s 1105.
- pass PCI segment information to Xen.
- ACPI: Implement overriding of arbitrary ACPI tables via initrd.
- x86: allow NVS can be accessed by driver.
- commit 7de39e8
-------------------------------------------------------------------
Mon Sep 26 10:01:25 CEST 2011 - jeffm@suse.com
- Update to 3.1-rc7.
- commit ee8cc90
-------------------------------------------------------------------
Thu Sep 22 11:18:31 CEST 2011 - mmarek@suse.cz
- rpm/split-modules: Print the full path for modules missing in supported.conf
- commit e55e4c6
-------------------------------------------------------------------
Thu Sep 22 10:52:13 CEST 2011 - mmarek@suse.cz
- supported.conf: Fix stray line making net/atm/atm suddenly unsupported.
- commit 58dbd06
-------------------------------------------------------------------
Wed Sep 21 16:33:53 CEST 2011 - mmarek@suse.cz
- Add support for wildcards in supported.conf
- rpm/kernel-binary.spec.in: Generate Module.supported with all
lines from supported conf, unsupported modules are marked as "no"
- rpm/split-modules: Use the supported flag in modules, instead of
parsing the Module.supported file and report modules that have no
supported marking.
- rpm/check-supported-list: Delete, this is done by split-modules
now.
- commit edfdc2a
-------------------------------------------------------------------
Wed Sep 21 13:20:35 CEST 2011 - trenn@suse.de
- Refresh
patches.arch/acpi_implement_overriding_of_arbitrary_acpi_tables_via_initrd.patch.
-> Fix build on ia64, by moving asm/e820.h into ifdef config protected region.
- commit 0ac4276
-------------------------------------------------------------------
Wed Sep 21 11:16:18 CEST 2011 - mhocko@suse.cz
- Provide memory controller swap extension.
Keep the feature disabled by default. Use swapaccount=1 kernel
boot parameter for enabling it.
- commit 000742c
-------------------------------------------------------------------
Wed Sep 21 11:11:10 CEST 2011 - mhocko@suse.cz
- Update config files.
Clean run_oldconfig.sh run to get configs into sync
- commit c985824
-------------------------------------------------------------------
Tue Sep 20 18:09:04 CEST 2011 - trenn@suse.de
- ACPI: Implement overriding of arbitrary ACPI tables via initrd
(none).
- ACPICA: Fix wrongly mapped acpi table header when overriding
via initrd (none).
- ACPICA: Introduce acpi_os_phys_table_override function (none).
- x86: allow NVS can be accessed by driver (none).
- Update config files:
CONFIG_ACPI_INITRD_TABLE_OVERRIDE=y
on i386 and x86_64 flavors
- commit 34bb195
-------------------------------------------------------------------
Thu Sep 15 14:16:08 CEST 2011 - jeffm@suse.de
- Update to 3.1-rc6.
- commit 8f0eaba
-------------------------------------------------------------------
Thu Sep 15 09:30:03 CEST 2011 - jbeulich@novell.com
- patches.arch/x86_64-unwind-annotations: Fix unwinding through
interrupt frames, requiring ...
- patches.suse/stack-unwind: ... support for DW_CFA_def_cfa_expression.
- commit 4d917a5
-------------------------------------------------------------------
Wed Sep 14 01:16:42 CEST 2011 - agraf@suse.de
- KVM: PPC: Fix incorrect CBE KVM hooks.
There is another issue with KVM_HV and CBE that I only saw building
this tree, so the patch is on its way to the kvm tree now.
- KVM: PPC: Assemble book3s{,_hv}_rmhandlers.S separately
When building KVM HV mode with plenty other options enabled, the build
fails. That's fixed upstream, but 3.1-rc5 doesn't have the patch yet.
So I pulled it in from the kvm tree.
- commit 2be41bd
-------------------------------------------------------------------
Mon Sep 12 16:47:37 CEST 2011 - jbeulich@novell.com
- Re-enabled patches.arch/x86_64-unwind-annotations.
- commit bfd10a0
-------------------------------------------------------------------
Mon Sep 12 16:44:34 CEST 2011 - jbeulich@novell.com
- Refresh patches.arch/x86_64-unwind-annotations.
- Refresh patches.suse/supported-flag.
- commit aa89174
-------------------------------------------------------------------
Mon Sep 12 16:31:26 CEST 2011 - jbeulich@novell.com
- Update Xen patches to 3.1-rc5 and c/s 1104.
- mm: frontswap: config and doc files.
- mm: frontswap: core code.
- mm: frontswap: add swap hooks and extend try_to_unuse.
- mm: frontswap: swap data structure changes.
- In HVM guests do not take over the CDROM device (bnc#645893).
- x86: report ACPI-reserved state of PCI MMCONFIG region to
hypervisor.
- config.conf: Re-enable Xen flavors.
- Update config files.
- commit 3692f47
-------------------------------------------------------------------
Sun Sep 11 17:47:54 CEST 2011 - agraf@suse.de
- Update config files (disable EHCA on PPC - gcc bug)
- commit 03a0c8c
-------------------------------------------------------------------
Sun Sep 11 17:45:32 CEST 2011 - agraf@suse.de
- Update config files (enable KVM on PPC64 for OBS)
- commit 00b922d
-------------------------------------------------------------------
Mon Sep 5 09:52:24 CEST 2011 - mmarek@suse.cz
- Update to 3.1-rc5 from https://github.com/torvalds/linux.git.
- commit 8a211c9
-------------------------------------------------------------------
Fri Sep 2 18:29:33 CEST 2011 - ohering@suse.de
- Staging: hv: vmbus: Show the modalias in /sys/bus/vmbus/devices/*/
(fate#311487).
- commit 94054c9
-------------------------------------------------------------------
Thu Sep 1 18:47:37 CEST 2011 - ohering@suse.de
- ata_piix: ignore disks in a hyper-v guest.
- Staging: hv: vmbus: Don't wait indefinitely for IRQ resouces.
- Staging: hv: tools: Fix a checkpatch warning in hv_kvp_daemon.c.
- Staging: hv: vmbus: Fix checkpatch warnings.
- Staging: hv: util: kvp: Fix the reported OSVersion string.
- Staging: hv: util: kvp: Cleanup kvp_get_domain_name().
- Staging: hv: Fix macros, tab errors and warning in
drivers/staging/hv/hv_mouse.c.
- Staging: hv: vmbus: VMBUS is an ACPI enumerated device, get
rid of the PCI signature.
- Staging: hv: Replace struct hv_guid with the uuid type already
defined in Linux.
- Staging: hv: vmbus: Introduce vmbus ID space in struct
hv_driver.
- Staging: hv: blkvsc: Use the newly introduced vmbus ID in the
blockvsc driver.
- Staging: hv: storvsc: Use the newly introduced vmbus ID in
storvsc driver.
- Staging: hv: netvsc: Use the newly introduced vmbus ID in
netvsc driver.
- Staging: hv: mousevsc: Use the newly introduced vmbus ID in
mouse driver.
- Staging: hv: util: Make hv_utils a vmbus device driver.
- Staging: hv: create VMBUS_DEVICE macro and use it.
- Staging: hv: vmbus: Cleanup vmbus_match().
- Staging: hv: vmbus: Cleanup vmbus_uevent() code.
- Staging: hv: vmbus: Support the notion of id tables in
vmbus_match().
- Staging: hv: vmbus: Get rid of an unnecessary include line
in vmbus_drv.c.
- Staging: hv: storvsc: Get rid of the DMI signature.
- Staging: hv: netvsc: Get rid of the PCI signature.
- Staging: hv: netvsc: Get rid of the DMI signature in
netvsc_drv.c.
- Staging: hv: util: Get rid of the DMI signature in hv_util.c.
- Staging: hv: util: Get rid of the PCI signature in hv_util.c.
- Staging: hv: fix up driver registering mess.
- Staging: hv: vmbus: Get rid of some unnecessary comments.
- Staging: hv: vmbus: Cleanup unnecessary comments in hv.c.
- Staging: hv: vmbus: Cleanup error handling in hv_init().
- Staging: hv: vmbus: Get rid of unnecessay comments in
connection.c.
- Staging: hv: vmbus: Get rid of the function dump_gpadl_body().
- Staging: hv: vmbus: Get rid of the function dump_gpadl_header().
- Staging: hv: vmbus: Rename openMsg to open_msg in channel.c.
- Staging: hv: vmbus: Get rid of unnecessary comments in
channel.c.
- Staging: hv: vmbus: Change the variable name openInfo to
open_info in channel.c.
- Staging: hv: vmbus: Cleanup error values in ringbuffer.c.
- Staging: hv: vmbus: Cleanup the error return value in
vmbus_recvpacket_raw().
- Staging: hv: netvsc: Get rid of an unnecessary print statement
in netvsc_probe().
- Staging: hv: vmbus: Retry vmbus_post_msg() before giving up.
- Staging: hv: storvsc: Cleanup error handling in
storvsc_dev_add().
- Staging: hv: storvsc: Cleanup error handling in
storvsc_channel_init().
- Staging: hv: storvsc: Cleanup error handling in
storvsc_connect_to_vsp().
- Staging: hv: storvsc: Cleanup error handling in storvsc_do_io().
- Storage: hv: storvsc: Get rid of some unnecessary DPRINTs
from storvsc.c.
- Staging: hv: storvsc: Fix/cleanup some dated comments in
storvsc.c.
- Staging: hv: storvsc: Cleanup returned error code in
storvsc_host_reset().
- Staging: hv: storvsc: Cleanup error code returned in
storvsc_probe().
- Staging: hv: storvsc: Cleanup returned error code in
storvsc_drv_init().
- Staging: hv: netvsc: Cleanup the returned error code in
netvsc_probe().
- Staging: hv: netvsc: Cleanup error return codes in
netvsc_destroy_recv_buf().
- Staging: hv: netvsc: Cleanup error return values in
netvsc_init_recv_buf().
- Staging: hv: netvsc: Cleanup error returns in
netvsc_connect_vsp().
- Staging: hv: netvsc: Cleanup error return values in
netvsc_send().
- Staging: hv: netvsc: Cleanup error return codes in
netvsc_device_add().
- Staging: hv: netvsc: Cleanup error codes in
rndis_filter_receive().
- Staging: hv: netvsc: Cleanup error code in
rndis_filter_query_device().
- Staging: hv: netvsc: Cleanup error return values in
rndis_filter_set_packet_filter().
- Staging: hv: netvsc: Cleanup error returns in
rndis_filter_init_device().
- Staging: hv: netvsc: Cleanup error code in
rndis_filter_device_add().
- Staging: hv: mouse: Change the jump label Cleanup to cleanup.
- Staging: hv: netvsc: Change the jump label Cleanup to cleanup.
- Staging: hv: netvsc: Change the jump label Exit to exit.
- Staging: hv: mouse: Get rid of the unused PCI signature.
- Staging: hv: hv_mouse: remove deviceinfo_callback function.
- Staging: hv: hv_mouse: remove inputreport_callback function.
- Staging: hv: hv_mouse: remove a forward declaration.
- Staging: hv: hv_mouse: fix up remove() function.
- Staging: hv: Add struct hv_vmbus_device_id to mod_devicetable.h.
- Staging: hv: add driver_data to hv_vmbus_device_id.
- Staging: hv: Add code to parse struct hv_vmbus_device_id table.
- Staging: hv: file2alias: fix up alias creation logic for
hv_vmbus_device_id.
- Staging: hv: storvsc: Inline free_stor_device().
- Staging: hv: storvsc: Do not aquire an unnecessary reference
on stor_device.
- Staging: hv: storvsc: Rename must_get_stor_device().
- Staging: hv: storvsc: Rename get_stor_device().
- Staging: hv: storvsc: Cleanup alloc_stor_device().
- Staging: hv: storvsc: Introduce state to manage the lifecycle
of stor device.
- Staging: hv: storvsc: Prevent outgoing traffic when stor dev
is being destroyed.
- Staging: hv: storvsc: Get rid of release_stor_device() by
inlining the code.
- Staging: hv: storvsc: Get rid of final_release_stor_device()
by inlining code.
- Staging: hv: storvsc: Get rid of the reference counting in
struct storvsc_device.
- Staging: hv: netvsc: Inline the code for free_net_device().
- Staging: hv: netvsc: Cleanup alloc_net_device().
- Staging: hv: netvsc: Introduce state to manage the lifecycle
of net device.
- Staging: hv: netvsc: Prevent outgoing traffic when netvsc dev
is destroyed.
- Staging: hv: netvsc: Get rid of release_outbound_net_device()
by inlining the code.
- Staging: hv: netvsc: Get rid of release_inbound_net_device()
by inlining the code.
- Staging: hv: netvsc: Get rid of the refcnt field in struct
netvsc_device.
- Staging: hv: storvsc: Add code to handle IDE devices using
the storvsc driver.
- Staging: hv: storvsc: Handle IDE devices using the storvsc
driver.
- Staging: hv: blkvsc: Get rid of blkvsc_drv.c as this code is
not used.
- Staging: hv: storvsc: Optimize bounce buffer handling for the
"write" case.
- Staging: hv: storvsc: Optimize the bounce buffer handling in
the "read" case.
- Staging: hv: storvsc: Include storvsc.c in storvsc_drv.c.
- Staging: hv: storvsc: Cleanup storvsc_drv.c after adding the
contents of storvsc.c.
- Staging: hv: storvsc: Add the contents of hyperv_storage.h
to storvsc_drv.c.
- Staging: hv: storvsc: Cleanup storvsc_drv.c after adding the
contents of hyperv_storage.h.
- Staging: hv: storvsc: Fixup srb and scsi status for INQUIRY
and MODE_SENSE.
- Staging: hv: storvsc: Fix a typo.
- Staging: hv: storvsc: In case of scsi errors offline the device.
- Staging: hv: storvsc: No need to copy from bounce buffer in
case of a failure.
- Staging: hv: util: Forcefully shutdown when shutdown is
requested.
- Staging: hv: util: Adjust guest time in a process context.
- Staging: hv: vmbus: Check before invoking the channel callback.
- Staging: hv: vmbus: Properly deal with de-registering channel
callback.
- Staging: hv: Fix a bug in vmbus_match().
- Staging: hv: vmbus: Get rid of vmbus_on_isr() by inlining
the code.
- Staging: hv: vmbus: Do not enable auto eoi.
- Staging: hv: vmbus: Fixup indentation in vmbus_acpi_add().
- Staging: hv: vmbus: Get rid of some dated/redundant comments.
- Staging: hv: vmbus: Get rid of an unnecessary check in
vmbus_connect().
- Staging: hv: vmbus: Fix a checkpatch warning in ring_buffer.c.
- Staging: hv: vmbus: Fix checkpatch warnings in connection.c.
- Staging: hv: mousevsc: Fix checkpatch errors and warnings.
- Staging: hv: netvsc: return negative error codes.
- Delete patches.suse/staging-hv-vmbus-init-on-dmi-match.patch.
- commit d77df1b
-------------------------------------------------------------------
Wed Aug 31 11:30:44 CEST 2011 - jslaby@suse.cz
- TTY: pty, fix pty counting (bnc#711203).
- commit a432f18
-------------------------------------------------------------------
Tue Aug 30 16:03:53 CEST 2011 - jdelvare@suse.de
- Delete patches.fixes/net-tulip-debug-off-by-default.patch.
Obsoleted by upstream commit 0b8f6273eb6fd0611849d6fb432151f04e042434.
- commit bd9ecd2
-------------------------------------------------------------------
Tue Aug 30 08:57:57 CEST 2011 - jslaby@suse.cz
- Linux 3.0.4.
- Refresh patches.arch/reorganize_stop_cpus.patch.
- x86, mtrr: use stop_machine APIs for doing MTRR rendezvous
(bnc#697859).
- Delete patches.arch/mtrr_stop_machine_quick_fix.patch.
- stop_machine: implement stop_machine_from_inactive_cpu().
- Delete
patches.arch/implement_stop_machine_from_offline_cpu.patch.
- Delete patches.arch/kill-__stop_machine.patch.
- commit 1fb7ef5
-------------------------------------------------------------------
Tue Aug 30 06:31:26 CEST 2011 - gregkh@suse.de
- supported.conf: xhci-hcd not xhci. Also move it to +base so you can boot
off of USB3 devices.
- commit 66f95ad
-------------------------------------------------------------------
Tue Aug 30 06:30:17 CEST 2011 - gregkh@suse.de
- supported.conf: xhci-hcd not xhci. Also move it to base so you can boot
off of a USB3 device (bnc#712752)
- commit f6522ba
-------------------------------------------------------------------
Mon Aug 29 16:24:05 CEST 2011 - jeffm@suse.com
- Re-Refresh patches.rpmify/pti-depends-on-x86-pci.
- commit 626749c
-------------------------------------------------------------------
Mon Aug 29 15:44:32 CEST 2011 - jeffm@suse.com
- Refresh patches.rpmify/pti-depends-on-x86-pci.
- commit e82bcac
-------------------------------------------------------------------
Mon Aug 29 15:31:44 CEST 2011 - jeffm@suse.com
- Disabled patches.rpmify/pti-depends-on-x86-pci
- commit 0baba30
-------------------------------------------------------------------
Mon Aug 29 15:25:47 CEST 2011 - jeffm@suse.com
- Update to 3.1-rc4.
- commit 68e08b1
-------------------------------------------------------------------
Wed Aug 24 22:37:54 CEST 2011 - jeffm@suse.com
- PTI: depends on X86 && PCI.
- commit e975e4b
-------------------------------------------------------------------
Wed Aug 24 21:55:47 CEST 2011 - jeffm@suse.com
- scsi_dh_alua: always update TPGS status on activate.
- scsi_dh: Check for sdev state in store_dh_state() (bnc#616080).
- scsi_dh_alua: Evaluate TPGS setting from inquiry data.
- scsi_dh: Implement match callback function.
- Delete patches.fixes/scsi-dh-alua-retry-UA.
- Delete patches.fixes/scsi-dh-alua-send-stpg.
- commit 026eef6
-------------------------------------------------------------------
Wed Aug 24 21:29:06 CEST 2011 - jeffm@suse.com
- Refresh and re-enable patches.arch/x86_64-hpet-64bit-timer.patch.
- commit bd2f299
-------------------------------------------------------------------
Wed Aug 24 20:54:32 CEST 2011 - jeffm@suse.com
- Refreshed and re-enabled dm-path patches:
- patches.suse/dm-mpath-accept-failed-paths.
- patches.suse/dm-mpath-detach-existing-hardware-handler.
- commit d374e62
-------------------------------------------------------------------
Wed Aug 24 20:51:06 CEST 2011 - jeffm@suse.com
- Update to 3.1-rc3.
- Eliminated 8 patches.
- Xen is disabled.
- commit eccefcc
-------------------------------------------------------------------
Thu Aug 18 11:38:15 CEST 2011 - eich@suse.de
- * Refresh patches.suse/SUSE-bootsplash.
* Fix bootsplash code for 15bpp framebuffers.
These framebuffers are rare these days, the legendary and still
popular server chipset Radeon ES1000 supports it, though.
- commit 637eb19
-------------------------------------------------------------------
Wed Aug 17 22:08:47 CEST 2011 - jslaby@suse.cz
- Linux 3.0.3.
- commit e4182fa
-------------------------------------------------------------------
Tue Aug 16 08:51:40 CEST 2011 - jslaby@suse.cz
- Linux 3.0.2.
- Revert "xen: allow enable use of VGA console on dom0".
- commit 9caf7b1
-------------------------------------------------------------------
Sun Aug 14 14:06:35 CEST 2011 - trenn@suse.de
- Properly destroy pmsr devices:
Refresh patches.xen/xen-x86-msr-on-pcpu.
- commit 934d81a
-------------------------------------------------------------------
Sat Aug 13 02:31:53 CEST 2011 - gregkh@suse.de
- Update config files, enabling CONFIG_RT2800PCI as requested.
- commit b2f65f0
-------------------------------------------------------------------
Tue Aug 9 00:03:53 CEST 2011 - trenn@suse.de
- Update config files:
bnc#674988, change:
-CONFIG_ACPI_CUSTOM_METHOD=m
+# CONFIG_ACPI_CUSTOM_METHOD is not set
for all flavors
- commit f1af972
-------------------------------------------------------------------
Fri Aug 5 22:05:41 CEST 2011 - jslaby@suse.cz
- Linux 3.0.1.
- Refresh
patches.suse/revert-x86-remove-warning-and-warning_symbol-from-struct-stacktrace_ops.
- Refresh patches.xen/kexec-move-segment-code-i386.patch.
- Refresh patches.xen/kexec-move-segment-code-x86_64.patch.
- Refresh patches.xen/xen3-patch-2.6.36.
- Delete patches.fixes/SCSI-fix-crash-in-scsi_dispatch_cmd.patch.
- commit 191d3db
-------------------------------------------------------------------
Mon Aug 1 14:51:58 CEST 2011 - mmarek@suse.cz
- rpm/modversions: Support for new genksyms format with E# tags for enum
constants.
- commit ee6da8c
-------------------------------------------------------------------
Fri Jul 29 13:06:34 CEST 2011 - jslaby@suse.cz
- tg3: Fix io failures after chip reset (bnc#705962).
- commit c8d864c
-------------------------------------------------------------------
Mon Jul 25 12:42:29 CEST 2011 - mmarek@suse.cz
- Fix format of patches to apply with series2git:
patches.arch/s390-message-catalog.diff
patches.drivers/input-Add-LED-support-to-Synaptics-device
patches.fixes/kvm-macos.patch
patches.fixes/mm-Fix-assertion-mapping-nrpages-0-in-end_writeback.patch
patches.fixes/nfs-slot-table-alloc
patches.fixes/oom-warning
patches.fixes/scsi-dh-alua-send-stpg
patches.suse/0001-vfs-Hooks-for-more-fine-grained-directory-permission.patch
patches.suse/0002-vfs-Add-generic-IS_ACL-test-for-acl-support.patch
patches.suse/0015-ext4-Use-IS_POSIXACL-to-check-for-POSIX-ACL-support.patch
patches.suse/0016-ext4-Implement-richacl-support-in-ext4.patch
patches.suse/dm-mpath-no-activate-for-offlined-paths
patches.suse/dm-mpath-no-partitions-feature
patches.suse/no-frame-pointer-select
patches.suse/no-partition-scan
patches.suse/revert-x86-remove-warning-and-warning_symbol-from-struct-stacktrace_ops
patches.suse/setuid-dumpable-wrongdir
patches.suse/stack-unwind
patches.suse/supported-flag-enterprise
- commit b5f5212
-------------------------------------------------------------------
Mon Jul 25 08:57:43 CEST 2011 - meissner@suse.de
- Powerpc config adjustments
This makes CONFIG_POWER_SUPPLY=y like in the other architectures,
it also disables the EHCA driver as gcc 4.6 currently fails to compile
it correctly.
- commit aa27d23
-------------------------------------------------------------------
Sun Jul 24 08:15:18 CEST 2011 - jslaby@suse.cz
- fix crash in scsi_dispatch_cmd() (bnc#707853).
- commit dfe0dcf
-------------------------------------------------------------------
Fri Jul 22 10:24:06 CEST 2011 - mmarek@suse.cz
- Update to 3.0.
- commit 50c05d7
-------------------------------------------------------------------
Thu Jul 21 14:50:39 CEST 2011 - jbeulich@novell.com
- Update Xen patches to 3.0-rc7 and c/s 1098.
- Update Xen config files.
- commit f4eb207
-------------------------------------------------------------------
Mon Jul 18 14:35:31 CEST 2011 - jdelvare@suse.de
- tulip: Disable debugging messages by default
- commit 141a54f
-------------------------------------------------------------------
Fri Jul 15 10:14:30 CEST 2011 - ohering@suse.de
- hv: only load the driver in a hyper-v guest (bnc#704957).
- commit f2f7449
-------------------------------------------------------------------
Thu Jul 14 17:52:45 CEST 2011 - tiwai@suse.de
- Refresh patches.suse/SUSE-bootsplash. Fix for nouveau.
- commit 27de298
-------------------------------------------------------------------
Thu Jul 14 17:26:51 CEST 2011 - tiwai@suse.de
- ALSA: hda - Add Kconfig for the default buffer size
(bnc#682725).
- Update config files.
- Delete, replaced with the new upstream patch
patches.drivers/alsa-hda-0019-Increase-default-buffer-size.
- commit 40c7644
-------------------------------------------------------------------
Wed Jul 13 03:16:09 CEST 2011 - jeffm@suse.com
- Update to 3.0-rc7.
- commit 0de37e1
-------------------------------------------------------------------
Sat Jul 9 11:17:46 CEST 2011 - jslaby@suse.cz
- Delete patches.fixes/x86_mtrr_stop_machine_1_2.patch.
- Delete patches.fixes/x86_mtrr_use_stop_machine_2_2.patch.
They were superseded by other patches in
aa3d6e2b140aac24a432f830d30047b1842aed0b.
- commit 84b09a0
-------------------------------------------------------------------
Sat Jul 9 11:10:31 CEST 2011 - jslaby@suse.cz
- Linux 2.6.39.3.
- Delete
patches.fixes/bridge-provide-a-cow_metrics-method-for-fake_ops.patch.
- Delete
patches.fixes/tty-ldisc-do-not-close-until-there-are-readers.patch.
- commit 8f499df
-------------------------------------------------------------------
Thu Jul 7 00:58:01 CEST 2011 - jeffm@suse.com
- Update to 3.0-rc6.
- Eliminated 1 patch.
- commit 56e99da
-------------------------------------------------------------------
Tue Jul 5 09:18:43 CEST 2011 - jbeulich@novell.com
- Update Xen patches to 3.0-rc5 and c/s 1095.
- xen: prepare tmem shim to handle frontswap.
- support booting Xen from EFI (fate#311376, fate#311529,
bnc#578927, bnc#628554).
- config.conf: Re-enabled Xen flavors.
- Update x86 config files.
- Delete patches.xen/xen-blkback-bimodal-suse.
- commit 4c2a76f
-------------------------------------------------------------------
Mon Jul 4 12:07:08 CEST 2011 - tiwai@suse.de
- Fix invalid colormap override in SUSE-bootsplash patch (bnc#584493)
- commit b4495f4
-------------------------------------------------------------------
Wed Jun 29 15:12:07 CEST 2011 - jeffm@suse.com
- Update to 3.0-rc5.
- commit 9eb1a0f
-------------------------------------------------------------------
Wed Jun 29 14:46:33 CEST 2011 - jslaby@suse.cz
- TTY: ldisc, do not close until there are readers (bnc#698247
bnc#693374).
- commit 0615f1a
-------------------------------------------------------------------
Sun Jun 26 20:30:24 CEST 2011 - jslaby@suse.cz
- Update header: Revert "HID: magicmouse: ignore 'ivalid report id'
while switching modes"
- commit aff1267
-------------------------------------------------------------------
Sun Jun 26 20:20:19 CEST 2011 - jslaby@suse.cz
- bridge: provide a cow_metrics method for fake_ops.
- commit 5bff18d
-------------------------------------------------------------------
Fri Jun 24 01:30:11 CEST 2011 - jeffm@suse.com
- Enable TOMOYO (FATE#310292, bnc#668381).
- commit 997e757
-------------------------------------------------------------------
Fri Jun 24 01:10:51 CEST 2011 - jslaby@suse.cz
- Linux 2.6.39.2.
- Delete
patches.fixes/asus-wmi-Remove-__init-from-asus_wmi_platform_init.patch.
- Delete
patches.kernel.org/0001-Revert-x86-efi-Retain-boot-service-code-until-after-.patch.
- commit a1dc102
-------------------------------------------------------------------
Tue Jun 21 14:06:48 CEST 2011 - mmarek@suse.cz
- Update vanilla configs.
- commit 1738011
-------------------------------------------------------------------
Tue Jun 21 12:14:44 CEST 2011 - mmarek@suse.cz
- Update to 3.0-rc4
- commit 1b6bf5d
-------------------------------------------------------------------
Fri Jun 17 17:29:41 CEST 2011 - mmarek@suse.cz
- rpm/post.sh: Touch /boot/do_purge_kernels on package install
(fate#312018).
- rpm/postun.sh: Remove it again if it still exists on package
uninstall.
- commit 82f4a5d
-------------------------------------------------------------------
Fri Jun 17 13:23:54 CEST 2011 - trenn@suse.de
- stop_machine: implement stop_machine_from_offline_cpu()
(bnc#697859).
- stop_machine: kill __stop_machine() (bnc#697859).
- x86, mtrr: lock stop machine during MTRR rendezvous sequence
(bnc#697859).
- stop_machine: reorganize stop_cpus() implementation
(bnc#697859).
- x86, mtrr: use __stop_machine() for doing MTRR rendezvous
(bnc#697859).
- commit 303cc0e
-------------------------------------------------------------------
Thu Jun 16 12:16:16 CEST 2011 - mmarek@suse.cz
- Remove the novfs patches, it should be packaged as a KMP, or
ideally, reimplemented as a fuse filesystem (which is the reason why
the current implementation it is never going to be accepted upstream).
- Delete patches.fixes/novfs-copy_user-fixes.diff.
- Delete patches.fixes/novfs-minsize-fixes.
- Delete patches.fixes/novfs-nwcapi.patch.
- Delete patches.suse/novfs-client-module.
- Delete patches.suse/novfs-remove-bkl.
- commit bc3a79d
-------------------------------------------------------------------
Thu Jun 16 12:05:15 CEST 2011 - tiwai@suse.de
- ALSA: fix hda AZX_DCAPS_NO_TCSEL quirk check in driver_caps
(bnc#700250,bnc#700251,bnc#700253).
- ALSA: hda - Reorganize controller quriks with bit flags
(bnc#700250,bnc#700251,bnc#700253).
- ALSA: hda - Enable snoop bit for AMD controllers
(bnc#700250,bnc#700251,bnc#700253).
- ALSA: hda - Enable sync_write workaround for AMD generically
(bnc#700250,bnc#700251,bnc#700253).
- ALSA: hda - ALSA HD Audio patch for Intel Panther Point DeviceIDs
(bnc#700250,bnc#700251,bnc#700253).
- commit e9fb121
-------------------------------------------------------------------
Thu Jun 16 12:04:56 CEST 2011 - ohering@suse.de
- Update vanilla config files.
- commit a642909
-------------------------------------------------------------------
Thu Jun 16 11:50:58 CEST 2011 - ohering@suse.de
- rpm/kernel-binary.spec.in:
jobs is not defined for home: projects, use the new _smp_mflags to enable parallel build
- commit 1009eaf
-------------------------------------------------------------------
Thu Jun 16 11:27:44 CEST 2011 - ohering@suse.de
- rpm/kernel-binary.spec.in:
Update comment, get the number of cpus from /proc/stat
- commit b4cf18c
-------------------------------------------------------------------
Wed Jun 15 20:40:28 CEST 2011 - jeffm@suse.com
- Update config files: Disable BRCMSMAC on ppc/ppc64.
- commit 20b3d8e
-------------------------------------------------------------------
Wed Jun 15 18:33:50 CEST 2011 - jack@suse.cz
- "Unable to save Login Script" appears when trying to save a
user login script (bnc#638985).
- commit fb87098
-------------------------------------------------------------------
Wed Jun 15 18:22:05 CEST 2011 - jack@suse.cz
- fs: novfs: Limit check for datacopy between user and kernel space.
- commit 2985362
-------------------------------------------------------------------
Wed Jun 15 08:05:05 CEST 2011 - jeffm@suse.com
- Update to 3.0-rc3.
- Eliminated 2 patches.
- commit a1fe999
-------------------------------------------------------------------
Tue Jun 14 17:13:47 CEST 2011 - mmarek@suse.cz
- scripts/config.sh: Remove MIRROR handling, _find_tarball() does it
itself.
- commit 18ad47f
-------------------------------------------------------------------
Mon Jun 13 21:52:37 CEST 2011 - jeffm@suse.com
- nouveau: Make vga_switcheroo code depend on VGA_SWITCHEROO.
- commit b3f32a6
-------------------------------------------------------------------
Mon Jun 13 17:29:32 CEST 2011 - jeffm@suse.com
- apm: Honor CONFIG_APM_CPU_IDLE=n.
- commit 8ad9b51
-------------------------------------------------------------------
Mon Jun 13 17:18:17 CEST 2011 - jeffm@suse.com
- Update config files.
- commit 2cd7359
-------------------------------------------------------------------
Mon Jun 13 17:15:33 CEST 2011 - jeffm@suse.com
- Delete patches.rpmify/qla4xx-missing-readq-definition.
- commit b48337d
-------------------------------------------------------------------
Mon Jun 13 16:58:50 CEST 2011 - mmarek@suse.cz
- Remove copypasted lines from vanilla configs.
- commit b395e52
-------------------------------------------------------------------
Mon Jun 13 16:27:20 CEST 2011 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Call gzip with -n.
- commit 9a65e48
-------------------------------------------------------------------
Mon Jun 13 16:00:19 CEST 2011 - mmarek@suse.cz
- rpm/mkspec: Put the -rcX tag into the rpm version instead of the
trailing zero. Rpm considers "rc" older than "0", so this will sort
properly.
- commit 8d9b2b9
-------------------------------------------------------------------
Mon Jun 13 14:41:50 CEST 2011 - mmarek@suse.cz
- x86, build: Do not set the root_dev field in bzImage.
- commit 15e4a82
-------------------------------------------------------------------
Mon Jun 13 14:06:28 CEST 2011 - mmarek@suse.cz
- rpm/compute-PATCHVERSION.sh: Set default PATCHLEVEL and SUBLEVEL to 0.
The package version will thus always match the Makefile version and
the SRCVERSION variable will only be used to determine the tarball
version.
- rpm/compute-PATCHVERSION.sh: Set default PATCHLEVEL and SUBLEVEL to 0.
The package version will thus always match the Makefile version and
the SRCVERSION variable will only be used to determine the tarball
version.
- rpm/kernel-binary.spec.in: Revert the previous hack.
- commit 95c9977
-------------------------------------------------------------------
Sat Jun 11 23:37:42 CEST 2011 - jeffm@suse.de
- kbuild: Fix KERNELVERSION for empty SUBLEVEL or PATCHLEVEL.
- kbuild: Fix <linux/version.h> for empty SUBLEVEL or PATCHLEVEL.
- Refresh patches.suse/supported-flag.
- rpm/kernel-binary.spec.in: Hack to work around v3.0 vs v3.0.0
- commit a95ebd8
-------------------------------------------------------------------
Fri Jun 10 20:24:41 CEST 2011 - jeffm@suse.com
- Delete patches.suse/file-capabilities-disable-by-default.diff.
- commit 2694a88
-------------------------------------------------------------------
Fri Jun 10 17:12:22 CEST 2011 - jeffm@suse.com
- x86: Remove warning and warning_symbol from struct
stacktrace_ops.
- Delete
patches.fixes/asus-wmi-Remove-__init-from-asus_wmi_platform_init.patch.
- commit 608ce9e
-------------------------------------------------------------------
Fri Jun 10 10:35:10 CEST 2011 - trenn@suse.de
- Update Suresh's patchset to version 3:
- Refresh patches.fixes/x86_mtrr_stop_machine_1_2.patch.
- Refresh patches.fixes/x86_mtrr_use_stop_machine_2_2.patch.
- commit 9f2e923
-------------------------------------------------------------------
Wed Jun 8 20:18:25 CEST 2011 - jeffm@suse.com
- Revert "Revert "- Updated to 3.0-rc1.""
This reverts commit b2d9e72dc9cd8e62d49e0565babd76332f7c2114.
Conflicts:
rpm/config.sh
series.conf
- commit 408e273
-------------------------------------------------------------------
Wed Jun 8 17:07:32 CEST 2011 - jeffm@suse.com
- Update to 3.0-rc2.
- commit b97d26c
-------------------------------------------------------------------
Wed Jun 8 10:40:09 CEST 2011 - trenn@suse.de
- Update Suresh's version 2 of the patchseries:
- Refresh patches.fixes/x86_mtrr_stop_machine_1_2.patch.
- Refresh patches.fixes/x86_mtrr_use_stop_machine_2_2.patch.
- commit 4ef8999
-------------------------------------------------------------------
Tue Jun 7 12:24:19 CEST 2011 - mmarek@suse.cz
- rpm/compute-PATCHVERSION.sh: Fix for empty EXTRAVERSION
- commit a0a1386
-------------------------------------------------------------------
Tue Jun 7 12:15:49 CEST 2011 - mmarek@suse.cz
- rpm/compute-PATCHVERSION.sh: Fix for 3.0
- commit dca1465
-------------------------------------------------------------------
Tue Jun 7 11:20:23 CEST 2011 - mmarek@suse.cz
- rpm/mkspec: Fix perl warning
- commit 395d7d8
-------------------------------------------------------------------
Tue Jun 7 10:10:47 CEST 2011 - trenn@suse.de
- x86, mtrr: use __stop_machine() for doing MTRR rendezvous
(bnc#672008).
- stop_machine: enable __stop_machine() to be called from the
cpu online path (bnc#672008).
- commit 684cd78
-------------------------------------------------------------------
Mon Jun 6 08:08:39 CEST 2011 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Loosen the mkinitrd requirement, this was
only a workaround for a 11.3 update problem (cf bnc#615680).
- commit 05ade7d
-------------------------------------------------------------------
Sun Jun 5 11:18:05 CEST 2011 - jslaby@suse.cz
- Revert "HID: magicmouse: ignore 'ivalid report id' while
switching modes".
- Revert "x86, efi: Retain boot service code until after switching
to virtual mode".
- commit 855dd58
-------------------------------------------------------------------
Sun Jun 5 08:37:31 CEST 2011 - jslaby@suse.cz
- asus-wmi: Remove __init from asus_wmi_platform_init
(bnc#696212).
- commit 2e6cc6b
-------------------------------------------------------------------
Fri Jun 3 13:09:27 CEST 2011 - mmarek@suse.cz
- Temporarily set PPC_DISABLE_WERROR=y in power to fix build
- commit 5e9cb3b
-------------------------------------------------------------------
Fri Jun 3 12:51:22 CEST 2011 - mmarek@suse.cz
- scripts/kmsg-doc: Do not require Switch.pm.
- commit 83a39c7
-------------------------------------------------------------------
Fri Jun 3 12:09:01 CEST 2011 - mmarek@suse.cz
- rpm/macros.kernel-source: Add -b option to %kernel_module_package
to unconditionally call mkinitrd on kmp install (fate#309400).
- rpm/kernel-module-subpackage: Pass KMP_NEEDS_MKINITRD=1 to
weak-modules2 if the -b option is used.
- commit 83331f5
-------------------------------------------------------------------
Fri Jun 3 09:54:03 CEST 2011 - jslaby@suse.cz
- Linux 2.6.39.1.
- Refresh patches.suse/no-partition-scan.
- Refresh patches.xen/xen3-patch-2.6.27.
- Delete
patches.fixes/block-add-proper-state-guards-to-__elv_next_request.patch.
- commit 577661d
-------------------------------------------------------------------
Thu Jun 2 19:36:05 CEST 2011 - jslaby@suse.cz
- rpm/config.sh: allow building in IBS
- commit 607aaf6
-------------------------------------------------------------------
Wed Jun 1 10:02:24 CEST 2011 - jbeulich@novell.com
- Delete patches.xen/sfc-* (as advised by Solarflare).
- commit a144c73
-------------------------------------------------------------------
Tue May 31 05:09:44 CEST 2011 - jeffm@suse.com
- Revert "- Updated to 3.0-rc1."
This reverts commit 5710413eb834b0f215f6f4249d6ff7c3f7673eb7.
Should have been committed to the merge-v3.0 branch.
- commit b2d9e72
-------------------------------------------------------------------
Tue May 31 05:08:21 CEST 2011 - jeffm@suse.com
- Updated to 3.0-rc1.
- Eliminated 9 patches.
- commit 5710413
-------------------------------------------------------------------
Mon May 30 15:54:37 CEST 2011 - jack@suse.cz
- mm: Fix assertion mapping->nrpages == 0 in end_writeback()
(bnc#693013 bnc#666423).
- Refresh patches.xen/tmem.
- commit d3e465a
-------------------------------------------------------------------
Mon May 30 13:58:43 CEST 2011 - jslaby@suse.cz
- Refresh
patches.fixes/block-add-proper-state-guards-to-__elv_next_request.patch.
- aic94xx: world-writable sysfs update_bios file.
Update upstream info.
- commit 8912816
-------------------------------------------------------------------
Mon May 30 11:30:18 CEST 2011 - jack@suse.cz
- mm: Fix assertion mapping->nrpages == 0 in end_writeback()
(bnc#693013 bnc#666423).
- Refresh patches.xen/tmem.
- commit 646bfb1
-------------------------------------------------------------------
Fri May 27 14:30:48 CEST 2011 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Set KBUILD_BUILD_{USER,HOST} to
geeko@buildhost, obsoleting the patch to scripts/mkcompile_h.
- commit b4b7255
-------------------------------------------------------------------
Mon May 23 13:35:38 CEST 2011 - jbeulich@novell.com
- Update Xen patches to 2.6.39 final and c/s 1085.
- xen/sfc_netfront: fix an unused variable error.
- commit 3b6edff
-------------------------------------------------------------------
Fri May 20 20:20:17 CEST 2011 - jslaby@suse.cz
- PM: Print a warning if firmware is requested when tasks are
frozen (bnc#695219).
- commit e78f4ce
-------------------------------------------------------------------
Fri May 20 09:54:30 CEST 2011 - jslaby@suse.cz
- Refresh
patches.fixes/block-add-proper-state-guards-to-__elv_next_request.patch.
Bah, cherry-picked a wrong version. Fix it.
- commit f9674b8
-------------------------------------------------------------------
Fri May 20 09:41:02 CEST 2011 - jslaby@suse.cz
- Delete patches.suse/slab-handle-memoryless-nodes-v2a.patch.
It was part of removed SoN patches.
- commit 1d0599a
-------------------------------------------------------------------
Fri May 20 02:27:58 CEST 2011 - jeffm@suse.com
- Updated to 2.6.39-final.
- commit 7c72384
-------------------------------------------------------------------
Thu May 19 13:23:06 CEST 2011 - jbeulich@novell.com
- supported.conf: blktap2 modules are supported.
- commit fa60394
-------------------------------------------------------------------
Tue May 17 20:54:05 CEST 2011 - jslaby@suse.cz
- Refresh
patches.kernel.org/libata-fix-oops-when-lpm-is-used-with-pmp.patch.
Note upstream commit SHA.
- commit 17fc03c
-------------------------------------------------------------------
Mon May 16 14:34:33 CEST 2011 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Add the commit hash to uname -v, cleanup.
- commit f3bdb4c
-------------------------------------------------------------------
Sun May 15 15:06:02 CEST 2011 - jslaby@suse.cz
- eeepc-laptop: Use ACPI handle to identify rfkill port
(bnc#595586).
- commit 3c190ca
-------------------------------------------------------------------
Fri May 13 17:45:01 CEST 2011 - mhocko@suse.cz
- proc: fix oops on invalid /proc/<pid>/maps access (bnc#693382).
- commit 0422a7e
-------------------------------------------------------------------
Fri May 13 15:45:04 CEST 2011 - jeffm@suse.com
- Update to 2.6.39-rc7.
- Eliminated 5 patches.
- commit a8a170f
-------------------------------------------------------------------
Fri May 13 10:58:24 CEST 2011 - mmarek@suse.cz
- Build the kotd against 11.4
- commit 2ad3395
-------------------------------------------------------------------
Fri May 13 10:24:05 CEST 2011 - mhocko@suse.cz
- memcg: allocate memory cgroup structures in local nodes
(bnc#692502, bnc#669889).
- mm: add alloc_pages_exact_nid() (bnc#692502, bnc#669889).
- commit fe168ad
-------------------------------------------------------------------
Thu May 12 23:34:00 CEST 2011 - jslaby@suse.cz
- Refresh
patches.kernel.org/libata-fix-oops-when-lpm-is-used-with-pmp.patch.
Just change the patch-mainline field. It's not upstream yet.
- commit 485c6fe
-------------------------------------------------------------------
Thu May 12 23:29:11 CEST 2011 - jslaby@suse.cz
- block: add proper state guards to __elv_next_request.
- commit bcb6433
-------------------------------------------------------------------
Thu May 12 23:06:58 CEST 2011 - gregkh@suse.de
- libata: fix oops when LPM is used with PMP.
- commit 762704b
-------------------------------------------------------------------
Thu May 12 14:05:44 CEST 2011 - jslaby@suse.cz
- Delete
patches.fixes/iwlwifi-fix-tx-power-configuration-on-3945-and-4965-devices.
It's fixed differently by commits 43f12d47f and f844a709a7 in .38.5.
- Delete
patches.fixes/iwlwifi-fix-tx-power-configuration-on-3945-and-4965-devices.
It's fixed differently by commits 43f12d47f and f844a709a7 in .39-rc1
- commit b64bc58
-------------------------------------------------------------------
Thu May 12 11:56:16 CEST 2011 - jslaby@suse.cz
- Refresh
patches.fixes/iwlwifi-fix-tx-power-configuration-on-3945-and-4965-devices.
Note about submission.
- commit 9922e20
-------------------------------------------------------------------
Tue May 10 19:10:18 CEST 2011 - mhocko@suse.cz
- Delete patches.suse/files-slab-rcu.patch.
- commit 6547edf
-------------------------------------------------------------------
Tue May 10 16:14:44 CEST 2011 - mhocko@suse.cz
- patches.fixes/aggressive-zone-reclaim.patch: delete because
the patch is very workload specific and can lead to unexpected
reclaims in parallel node local workloads.
- commit 56cf10e
-------------------------------------------------------------------
Tue May 10 16:04:27 CEST 2011 - mhocko@suse.cz
- Delete patches.suse/mm-devzero-optimisation.patch: we do not need
it anymore as we have zero page back since 2.6.32
- commit 323a093
-------------------------------------------------------------------
Tue May 10 14:52:00 CEST 2011 - mmarek@suse.cz
- Really delete patches.fixes/aggressive-zone-reclaim.patch.
- commit b21d4b6
-------------------------------------------------------------------
Tue May 10 12:19:46 CEST 2011 - jslaby@suse.cz
- deal with races in /proc/*/{syscall,stack,personality}
(bnc#674982 CVE-2011-1020).
- auxv: require the target to be tracable (or yourself)
(bnc#674982 CVE-2011-1020).
- close race in /proc/*/environ (bnc#674982 CVE-2011-1020).
- report errors in /proc/*/*map* sanely (bnc#674982
CVE-2011-1020).
- pagemap: close races with suid execve (bnc#674982
CVE-2011-1020).
- commit 346cb46
-------------------------------------------------------------------
Tue May 10 09:05:54 CEST 2011 - jslaby@suse.cz
- Linux 2.6.38.6.
- Refresh patches.fixes/scsi-dh-queuedata-accessors.
- commit 5e831f6
-------------------------------------------------------------------
Mon May 9 14:32:59 CEST 2011 - jbeulich@novell.com
- Update Xen patches to 2.6.39-rc6.
- commit b976a7e
-------------------------------------------------------------------
Mon May 9 11:32:35 CEST 2011 - jbeulich@novell.com
- patches.suse/kconfig-automate-kernel-desktop: Remove stray blank
lines (for older versions of "patch" to grok).
- commit 4dfa74d
-------------------------------------------------------------------
Sat May 7 01:50:06 CEST 2011 - jeffm@suse.com
- Removed network driver entropy patches. haveged is installed by default
and should be enabled to generate entropy from non-predictable sources.
- Delete patches.drivers/bnx2-entropy-source.patch.
- Delete patches.drivers/e1000-entropy-source.patch.
- Delete patches.drivers/e1000e-entropy-source.patch.
- Delete patches.drivers/igb-entropy-source.patch.
- Delete patches.drivers/ixgbe-entropy-source.patch.
- Delete patches.drivers/tg3-entropy-source.patch.
- commit c88b893
-------------------------------------------------------------------
Fri May 6 19:36:47 CEST 2011 - jeffm@suse.com
- Update
patches.fixes/netfilter-implement-rfc-1123-for-ftp-conntrack
(bnc#466279 bnc#681639).
- commit 72e779f
-------------------------------------------------------------------
Fri May 6 19:31:10 CEST 2011 - jeffm@suse.com
- Disabled patches.drivers/libata-unlock-hpa-by-default; It may have
been obsoleted by upstream commit d8d9129e.
- commit 1a21ab3
-------------------------------------------------------------------
Fri May 6 18:51:20 CEST 2011 - jeffm@suse.com
- sysctl: Increase IPC defaults (bnc#146656)
- Delete patches.suse/shmall-bigger.
- commit a70e34f
-------------------------------------------------------------------
Fri May 6 17:50:56 CEST 2011 - jeffm@suse.com
- sysctl/i386/desktop, sysctl/x86_64/desktop: Add vm.dirty_ratio = 20
for desktop flavors (bnc#552883)
- Delete patches.suse/mm-tune-dirty-limits.patch.
- commit dbe73e2
-------------------------------------------------------------------
Fri May 6 17:25:45 CEST 2011 - jeffm@suse.com
- sysctl/defaults: Added kernel.hung_task_timeout=0
- Delete patches.suse/hung_task_timeout-configurable-default.
- commit 78f9eed
-------------------------------------------------------------------
Fri May 6 16:41:07 CEST 2011 - mmarek@suse.cz
- rpm/kernel-{binary,source}.spec.in: Install per-kernel /boot/sysctl-*
files (patch from Jeff Mahoney).
- commit 473f2d1
-------------------------------------------------------------------
Thu May 5 22:35:53 CEST 2011 - jeffm@suse.com
- Update config files for -vanilla.
- commit 97ce5d8
-------------------------------------------------------------------
Thu May 5 22:23:21 CEST 2011 - jeffm@suse.com
- Updated to 2.6.39-rc6.
- 2 patches eliminated.
- commit 2643e59
-------------------------------------------------------------------
Tue May 3 03:08:18 CEST 2011 - tonyj@suse.de
- Delete patches.fixes/oprofile_bios_ctr.patch.
- Delete patches.suse/kdump-dump_after_notifier.patch.
- commit 012455a
-------------------------------------------------------------------
Mon May 2 22:22:28 CEST 2011 - gregkh@suse.de
- Update config files to handle .5 changes
- commit 0274ea5
-------------------------------------------------------------------
Mon May 2 22:03:21 CEST 2011 - gregkh@suse.de
- Linux 2.6.38.5.
- Delete
patches.fixes/flexcop-fix-registering-braindead-stupid-names.
- commit d41164a
-------------------------------------------------------------------
Fri Apr 29 20:35:21 CEST 2011 - jeffm@suse.com
- rpm/compute-PATCHVERSION.sh: Replace ^+++ with ^\+\+\+ as an
awk regex. It's technically invalid.
- commit 52dd0f0
-------------------------------------------------------------------
Fri Apr 29 14:38:05 CEST 2011 - jslaby@suse.cz
- Refresh
patches.fixes/iwlwifi-fix-tx-power-configuration-on-3945-and-4965-devices.
- commit c602ee1
-------------------------------------------------------------------
Fri Apr 29 08:34:28 CEST 2011 - sjayaraman@suse.de
- Delete patches.suse/sched-revert-latency-defaults.
- commit 90be226
-------------------------------------------------------------------
Fri Apr 29 08:03:38 CEST 2011 - tiwai@suse.de
- Delete patches.arch/ppc-ipic-suspend-without-83xx-fix.
- Delete patches.arch/x86-hpet-pre-read.
- commit 3489146
-------------------------------------------------------------------
Fri Apr 29 00:36:03 CEST 2011 - gregkh@suse.de
- Delete patches.suse/linux-2.6.29-dont-wait-for-mouse.patch.
- Delete patches.suse/linux-2.6.29-even-faster-kms.patch.
- Delete
patches.suse/linux-2.6.29-jbd-longer-commit-interval.patch.
- Delete patches.suse/linux-2.6.29-kms-after-sata.patch.
- Delete patches.suse/linux-2.6.29-touchkit.patch.
- commit c13427d
-------------------------------------------------------------------
Fri Apr 29 00:17:46 CEST 2011 - jbenc@suse.cz
- Delete patches.suse/panic-on-io-nmi-SLE11-user-space-api.patch.
- commit df46fe0
-------------------------------------------------------------------
Thu Apr 28 23:32:44 CEST 2011 - rjw@suse.de
- Delete patches.xen/xen3-x86-mcp51-no-dac.
Also remove the series.conf entires for the x86-mcp-no-dac patches.
- commit 574577b
-------------------------------------------------------------------
Thu Apr 28 23:16:27 CEST 2011 - rjw@suse.de
- Delete patches.arch/x86-mcp51-no-dac. (This is a blacklist entry that
has been rejected by the mainline, the problem reporter is gone and
it's next to impossible to verify it now.)
- commit 195b113
-------------------------------------------------------------------
Thu Apr 28 21:38:22 CEST 2011 - jslaby@suse.cz
- Refresh
patches.fixes/iwlwifi-fix-tx-power-configuration-on-3945-and-4965-devices.
- commit a2662ae
-------------------------------------------------------------------
Thu Apr 28 20:56:33 CEST 2011 - jslaby@suse.cz
- Delete patches.suse/wireless-no-aes-select.
Optimized x86 AES implementations select CRYPTO_AES themselves. So we
don't need the patch anymore -- AES is selected always.
- commit 88f80fa
-------------------------------------------------------------------
Thu Apr 28 20:30:56 CEST 2011 - bphilips@suse.de
- Delete
patches.drivers/tg3-5785-and-57780-asic-revs-not-working.patch.
- commit 9917206
-------------------------------------------------------------------
Thu Apr 28 20:19:59 CEST 2011 - bphilips@suse.de
- Delete
patches.suse/uvcvideo-ignore-hue-control-for-5986-0241.patch.
- commit 08374b3
-------------------------------------------------------------------
Thu Apr 28 19:27:51 CEST 2011 - jeffm@suse.com
- Delete patches.suse/audit-export-logging.patch.
- commit de054eb
-------------------------------------------------------------------
Thu Apr 28 15:39:10 CEST 2011 - jbeulich@novell.com
- Update i386 Xen config files.
- commit 7b776ee
-------------------------------------------------------------------
Thu Apr 28 14:45:53 CEST 2011 - jack@suse.cz
- Fix checking of login id (bnc#626119).
- commit 0fd91a9
-------------------------------------------------------------------
Thu Apr 28 14:18:12 CEST 2011 - jbeulich@novell.com
- Update Xen patches to 2.6.39-rc5.
- Delete patches.xen/xen3-seccomp-disable-tsc-option.
- Delete patches.xen/xen3-x86-mark_rodata_rw.patch.
- commit 81fdf67
-------------------------------------------------------------------
Thu Apr 28 01:54:00 CEST 2011 - mfasheh@suse.com
- btrfs: return EXDEV when linking from different subvolumes
(bnc#679545).
- commit f9ddc29
-------------------------------------------------------------------
Wed Apr 27 22:20:02 CEST 2011 - jeffm@suse.com
- Delete
patches.drivers/disable-catas_reset-by-default-to-avoid-problems-with-eeh.patch.
- commit 399a100
-------------------------------------------------------------------
Wed Apr 27 22:19:47 CEST 2011 - jeffm@suse.com
- Delete patches.arch/x86_agpgart-g33-stoeln-fix-2.patch.
- commit e5c964a
-------------------------------------------------------------------
Wed Apr 27 22:04:11 CEST 2011 - jeffm@suse.com
- Merged patches.fixes/fix-nf_conntrack_slp into patches.suse/netfilter-ip_conntrack_slp.patch.
- commit 213a0d5
-------------------------------------------------------------------
Wed Apr 27 22:02:19 CEST 2011 - jeffm@suse.com
- Removed Swap-over-NFS patches.
- commit e061d16
-------------------------------------------------------------------
Wed Apr 27 21:59:47 CEST 2011 - jeffm@suse.com
- Unified novfs patches into patches.suse/novfs-client-module.
- commit 3f8a199
-------------------------------------------------------------------
Wed Apr 27 21:56:53 CEST 2011 - jeffm@suse.com
- Delete patches.fixes/reiserfs-remove-2-tb-file-size-limit.
- commit 2d63b14
-------------------------------------------------------------------
Wed Apr 27 21:51:44 CEST 2011 - jeffm@suse.com
- Delete patches.suse/mm-increase-dirty-limits.patch: Replaced by patches.suse/mm-tune-dirty-limits.patch
- commit 4f8033f
-------------------------------------------------------------------
Wed Apr 27 21:40:25 CEST 2011 - jeffm@suse.com
- series.conf: Removed commented out patches for cpuidle. The patches themselves are long gone.
- commit 93ce9f3
-------------------------------------------------------------------
Wed Apr 27 21:35:51 CEST 2011 - jeffm@suse.com
- Delete patches.arch/acpi-export-hotplug_execute: ACPI_DOCK is now a boolean.
- commit f178332
-------------------------------------------------------------------
Wed Apr 27 21:34:22 CEST 2011 - jeffm@suse.com
- Delete patches.suse/acpi-dsdt-initrd-v0.9a-2.6.25.patch.
- commit 5a2eae2
-------------------------------------------------------------------
Wed Apr 27 21:34:13 CEST 2011 - jeffm@suse.com
- Delete patches.suse/acpi-don-t-preempt-until-the-system-is-up.
- Delete
patches.suse/acpi-generic-initramfs-table-override-support.
- Delete patches.suse/add-initramfs-file_read_write.
- Delete
patches.suse/init-move-populate_rootfs-back-to-start_kernel.
- commit d4910b3
-------------------------------------------------------------------
Wed Apr 27 21:29:24 CEST 2011 - jeffm@suse.com
- Delete patches.fixes/nfs-acl-caching.diff.
- Delete patches.suse/nfsacl-client-cache-CHECK.diff.
- commit e1a5c5c
-------------------------------------------------------------------
Wed Apr 27 21:11:59 CEST 2011 - jeffm@suse.com
- Delete patches.suse/osync-error. It was unused and the issue it fixed in
kernels prior to 2.6.32 doesn't exist anymore.
- commit 52cc654
-------------------------------------------------------------------
Wed Apr 27 20:48:55 CEST 2011 - jeffm@suse.com
- Delete patches.suse/s390-System.map.diff: Proper fix in binutils has
long since been upstream.
- commit 2847a36
-------------------------------------------------------------------
Wed Apr 27 20:46:52 CEST 2011 - jeffm@suse.com
- patches.suse/x86-mark_rodata_rw.patch: Removed as promised.
- commit f196f98
-------------------------------------------------------------------
Wed Apr 27 20:32:46 CEST 2011 - jeffm@suse.com
- Delete patches.arch/ia64-page-migration.
- Delete patches.arch/ia64-page-migration.fix.
- Delete patches.arch/mm-avoid-bad-page-on-lru.
- commit e469a91
-------------------------------------------------------------------
Wed Apr 27 20:29:40 CEST 2011 - jeffm@suse.com
- patches.suse/hung_task_timeout-configurable-default: Update patch-mainline.
- commit 4bcc987
-------------------------------------------------------------------
Wed Apr 27 20:18:37 CEST 2011 - jeffm@suse.com
- Delete patches.fixes/seccomp-disable-tsc-option: Unused in all configs.
- commit 0833556
-------------------------------------------------------------------
Wed Apr 27 20:16:52 CEST 2011 - jeffm@suse.com
- Delete patches.suse/unmap_vmas-lat: No bug report or signed-off-by.
- Delete patches.arch/s390-add-FREE_PTE_NR.
- commit 2a392c2
-------------------------------------------------------------------
Wed Apr 27 20:16:00 CEST 2011 - jeffm@suse.com
- Updated Patch-mainline for patches.fixes/ia64-sparse-fixes.diff.
- commit d79b32f
-------------------------------------------------------------------
Wed Apr 27 20:15:02 CEST 2011 - jeffm@suse.com
- Update to 2.6.39-rc5.
- Eliminated 2 patches.
- commit 5288a05
-------------------------------------------------------------------
Wed Apr 27 19:44:09 CEST 2011 - jeffm@suse.com
- Delete patches.suse/export-release_open_intent.
- Delete patches.suse/export-security_inode_permission.
- commit 5cd669f
-------------------------------------------------------------------
Wed Apr 27 19:43:47 CEST 2011 - jeffm@suse.com
- Delete patches.trace/utrace-core.
- commit a9639aa
-------------------------------------------------------------------
Tue Apr 26 17:19:14 CEST 2011 - jslaby@suse.cz
- NET: cdc-phonet, fix stop-queue handling (bnc#689583).
- commit 7b4abdd
-------------------------------------------------------------------
Tue Apr 26 11:03:18 CEST 2011 - jkosina@suse.cz
- HID: add noget quirk barcode scanners from Symbol Technologies
(bnc#689290).
- commit dcae8fa
-------------------------------------------------------------------
Fri Apr 22 10:55:28 CEST 2011 - jslaby@suse.cz
- XEN: add fixup_4gb_segment ENDPROC.
- Refresh patches.xen/xen3-patch-2.6.19.
- Refresh patches.xen/xen3-patch-2.6.21.
- Refresh patches.xen/xen3-patch-2.6.33.
- Refresh patches.xen/xen3-patch-2.6.37.
Now, with binutils 2.21.0 we see build errors like:
arch/x86/kernel/entry_32-xen.S: Assembler messages:
arch/x86/kernel/entry_32-xen.S:1734: Error: .size expression for spurious_interrupt_bug does not evaluate to a constant
BS doesn't complain about this yet, only abuild.
- commit b5e9a32
-------------------------------------------------------------------
Fri Apr 22 09:56:35 CEST 2011 - jslaby@suse.cz
- Update to 2.6.38.4:
- obsoletes:
- patches.arch/i386-unwind-annotations.
- patches.drivers/alsa-hda-0018-Fix-pin-config-of-Gigabyte-mobo.
- patches.fixes/cdc-phonet-handle-empty-phonet-header.patch.
- patches.fixes/media-video-sn9c102-world-wirtable-sysfs-files.
- patches.fixes/ubifs-restrict-world-writable-debugfs-files.
- patches.rpmify/ia64-mca-fix-cast-from-integer-to-pointer-warning.
- patches.rpmify/tioca-fix-assignment-from-incompatible-pointer-warnings.
- Refresh patches.xen/xen3-auto-common.diff.
- Refresh patches.xen/xen3-patch-2.6.27.
- Update config files.
- commit 4632d1a
-------------------------------------------------------------------
Fri Apr 22 00:01:41 CEST 2011 - jeffm@suse.com
- Delete patches.suse/nameif-track-rename.patch: The same info is made available via udev.
- commit e74929e
-------------------------------------------------------------------
Tue Apr 19 23:37:06 CEST 2011 - jack@suse.cz
- Revert changes to sparc configs to not make life harder for Jan Engelhardt
maintaining sparc architecture.
- commit cdac873
-------------------------------------------------------------------
Tue Apr 19 23:32:54 CEST 2011 - jack@suse.cz
- config.conf: Remove sparc as it is maintained separately by Jan Engelhardt
- commit 91307e3
-------------------------------------------------------------------
Tue Apr 19 23:30:34 CEST 2011 - jack@suse.cz
- Update vanilla config files.
- commit 5e3c232
-------------------------------------------------------------------
Tue Apr 19 22:48:18 CEST 2011 - jack@suse.cz
- ext4: fix ext4_da_block_invalidatepages() to handle page range
properly (bnc#679898).
- commit 616d360
-------------------------------------------------------------------
Tue Apr 19 18:57:55 CEST 2011 - jack@suse.cz
- ext4: mark multi-page IO complete on mapping failure
(bnc#679898).
- commit c04b19f
-------------------------------------------------------------------
Tue Apr 19 17:41:41 CEST 2011 - jeffm@suse.com
- Updated to 2.6.39-rc4.
- Eliminated 1 patch.
- commit 3f654c8
-------------------------------------------------------------------
Tue Apr 19 17:16:52 CEST 2011 - jeffm@suse.com
- libata: DVR-216D can't do SETXFER DVD-RW DVR-216D (bnc#679143).
- commit b09d00e
-------------------------------------------------------------------
Mon Apr 18 10:30:49 CEST 2011 - eich@suse.de
- Combined and consolidated bootsplash patch (bnc#669777,
bnc#570082, bnc#595657, bnc#594209, bnc#544645).
- Delete patches.suse/Cleanup-and-make-boot-splash-work-with-KMS.patch.
- Delete patches.suse/bootsplash.
- Delete patches.suse/bootsplash-console-fix.
- Delete patches.suse/bootsplash-keep-multiple-data.
- Delete patches.suse/bootsplash-scaler.
- Reformatted code, fixed some minor bugs that became obvious.
- Fixed race that led to null pointer dereference in splash_read_proc():
bnc#669777.
- commit 95844c5
-------------------------------------------------------------------
Sat Apr 16 19:18:05 CEST 2011 - trenn@suse.de
- intel_idle: Add a boot param to keep flushing TLBs (bnc#675161).
- commit 02c0d8a
-------------------------------------------------------------------
Sat Apr 16 18:42:01 CEST 2011 - trenn@suse.de
- intel_idle: Make lapic_timer_reliable_states a boot param
(bnc#675161).
- commit 85b156b
-------------------------------------------------------------------
Sat Apr 16 00:31:11 CEST 2011 - jack@suse.cz
- Disable ext2, use ext4 instead as a driver
- Update config files.
- commit 2601b15
-------------------------------------------------------------------
Fri Apr 15 20:24:29 CEST 2011 - jeffm@suse.com
- Enabled CONFIG_GIGASET_CAPI (bnc#686008).
- This results in automatically disabling CONFIG_GIGASET_I4L.
- commit 697e68b
-------------------------------------------------------------------
Fri Apr 15 17:57:25 CEST 2011 - jack@suse.cz
- novfs: Set the sticky bit for the novfs mountpoint (bnc#686412).
- commit 860f111
-------------------------------------------------------------------
Fri Apr 15 13:24:21 CEST 2011 - jbeulich@novell.com
- patches.arch/x86_64-unwind-annotations: Re-add.
- commit 728e154
-------------------------------------------------------------------
Fri Apr 15 13:21:49 CEST 2011 - jbeulich@novell.com
- Update Xen patches to 2.6.39-rc3 and c/s 1079.
- blktap2: replace hard-coded limit of tap devices with
configurable one.
- config.conf: Re-enable Xen configs.
- Update x86 config files.
- commit d3bfd6c
-------------------------------------------------------------------
Fri Apr 15 00:28:16 CEST 2011 - bphilips@suse.de
- bonding: Incorrect TX queue offset (bnc#687116, CVE-2011-1581).
- commit 6d208f9
-------------------------------------------------------------------
Thu Apr 14 23:01:40 CEST 2011 - gregkh@suse.de
- Linux 2.6.38.3.
- lots of security updates and bugfixes
- obsoletes:
patches.drivers/alsa-hda-0017-Fix-SPDIF-out-regression-on-ALC889.
patches.drivers/sound-oss-opl3-validate-voice-and-channel-indexes.
patches.drivers/sound-oss-remove-offset-from-load_patch-callbacks.
patches.fixes/drivers-leds-leds-lp5521-c-world-writable-sysfs-engine-files.
patches.fixes/drivers-leds-leds-lp5523-c-world-writable-engine-sysfs-files.
patches.fixes/drivers-misc-ep93xx_pwm-c-world-writable-sysfs-files.
patches.fixes/drivers-rtc-rtc-ds1511-c-world-writable-sysfs-nvram-file.
patches.fixes/irda-validate-peer-name-and-attribute-lengths.
patches.fixes/mfd-ab3100-world-writable-debugfs-_priv-files.
patches.fixes/mfd-ab3500-world-writable-debugfs-register-files.
patches.fixes/mfd-ab8500-world-writable-debugfs-register-files.
patches.fixes/prevent-rt_sigqueueinfo-from-spoofing-fix.patch.
patches.fixes/scsi-scsi_transport_iscsi-make-priv_sess-file-writeable-only-by-root.
patches.kernel.org/revert-x86-Cleanup-highmap-after-brk-is-concluded.patch.
- commit a6acdda
-------------------------------------------------------------------
Thu Apr 14 18:44:56 CEST 2011 - jeffm@suse.com
- fs/partitions/efi.c: corrupted GUID partition tables can cause
kernel oops (bnc#687113 CVE-2011-1577).
- commit cc60bed
-------------------------------------------------------------------
Thu Apr 14 18:43:07 CEST 2011 - jeffm@suse.com
- fs/partitions/efi.c: corrupted GUID partition tables can cause
kernel oops (bnc#687113 CVE-2011-1577).
- commit 385b393
-------------------------------------------------------------------
Thu Apr 14 01:28:00 CEST 2011 - jeffm@suse.com
- scsi: aic94xx: world-writable sysfs update_bios file.
- drivers/leds/leds-lp5523.c: world-writable engine* sysfs files
(bnc#673934).
- drivers/leds/leds-lp5521.c: world-writable sysfs engine* files
(bnc#673934).
- mfd: ab8500: world-writable debugfs register-* files
(bnc#673934).
- scsi_transport_iscsi: make priv_sess file writeable only by root
(bnc#673934).
- mfd: ab3500: world-writable debugfs register-* files
(bnc#673934).
- drivers/misc/ep93xx_pwm.c: world-writable sysfs files
(bnc#673934).
- mfd: ab3100: world-writable debugfs *_priv files (bnc#673934).
- UBIFS: restrict world-writable debugfs files (bnc#673934).
- scsi: aic94xx: world-writable sysfs update_bios file.
- drivers/rtc/rtc-ds1511.c: world-writable sysfs nvram file
(bnc#673934).
- video: sn9c102: world-wirtable sysfs files (bnc#673934).
- commit 7f827e6
-------------------------------------------------------------------
Wed Apr 13 23:57:58 CEST 2011 - jeffm@suse.com
- irda: validate peer name and attribute lengths (bnc#681497
CVE-2011-1180).
- commit 1d6013e
-------------------------------------------------------------------
Wed Apr 13 16:53:14 CEST 2011 - jeffm@suse.com
- mpc5xxx_can: Fix typo causing build failure (of_dev -> ofdev).
- ft1000: Remove unnecessary EXPORT_SYMBOLs.
- commit 62653bb
-------------------------------------------------------------------
Wed Apr 13 04:01:31 CEST 2011 - jeffm@suse.de
- fhandle: Add <linux/personality.h> for ia64.
- commit 83cec5a
-------------------------------------------------------------------
Wed Apr 13 03:30:36 CEST 2011 - jeffm@suse.com
- kvm: Fix off by one in kvm_for_each_vcpu iteration.
- commit 4e5c2b7
-------------------------------------------------------------------
Wed Apr 13 03:20:30 CEST 2011 - jeffm@suse.com
- rts_pstor: Add <linux/vmalloc.h>.
- rts_pstor: use #ifdef instead of #if.
- commit caa5347
-------------------------------------------------------------------
Tue Apr 12 21:30:55 CEST 2011 - jeffm@suse.com
- gma500: Depend on X86.
- commit 3aa298c
-------------------------------------------------------------------
Tue Apr 12 20:46:13 CEST 2011 - jeffm@suse.com
- Updated to 2.6.39-rc3.
- Eliminated 2 patches.
- commit 200c913
-------------------------------------------------------------------
Tue Apr 12 20:28:31 CEST 2011 - jeffm@suse.com
- patches.suse/s390-Kerntypes.diff: remove <linux/smp_lock.h>
- commit d7c79f2
-------------------------------------------------------------------
Tue Apr 12 20:25:11 CEST 2011 - jeffm@suse.com
- olpc: Add <linux/delay.h>.
- commit 58ce0db
-------------------------------------------------------------------
Tue Apr 12 19:37:48 CEST 2011 - jeffm@suse.com
- Update config files for -vanilla.
- commit 52fe306
-------------------------------------------------------------------
Tue Apr 12 19:10:01 CEST 2011 - jeffm@suse.com
- Update to 2.6.39-rc2.
- Eliminated 18 patches.
- Added 3 patches.
- hv_mouse: needs <linux/delay.h>.
- dm-raid45: Remove blk_unplug calls.
- novfs: 2.6.39 API update.
- Xen, Swap-over-NFS, and certain SCSI multipath features are disabled.
- patches.kernel.org is no longer used to contain upstream patches
for -rc releases. Users planning on building their own kernel should
have a copy of the tarball corresponding to the -rc releases.
- commit adf9d16
-------------------------------------------------------------------
Mon Apr 11 09:43:45 CEST 2011 - neilb@suse.de
- Make selection of 'readdir-plus' adapt to usage patterns
(bnc#678123).
- commit 78e8a63
-------------------------------------------------------------------
Wed Apr 6 22:39:59 CEST 2011 - jslaby@suse.cz
- Revert "x86: Cleanup highmap after brk is concluded"
(bnc#684248).
- Delete
patches.arch/x86-save-cr4-to-mmu_cr4_features-at-boot.patch.
- commit 2d275f3
-------------------------------------------------------------------
Wed Apr 6 09:01:38 CEST 2011 - jeffm@suse.de
- reiserfs: Force inode evictions before umount to avoid crash
(bnc#610598 bnc#680073 bnc#684112).
- Delete patches.fixes/reiserfs-xattr-crash-fix.
- commit bf57d20
-------------------------------------------------------------------
Tue Apr 5 10:42:22 CEST 2011 - jslaby@suse.cz
- remove obsolete comment from series.conf
- commit 4bad172
-------------------------------------------------------------------
Thu Mar 31 20:43:57 CEST 2011 - jslaby@suse.cz
- x86: Save cr4 to mmu_cr4_features at boot time (bnc#684248).
- commit 98ac070
-------------------------------------------------------------------
Wed Mar 30 23:50:41 CEST 2011 - jeffm@suse.com
- Delete patches.suse/twofish-2.6.
- This was for 2.4 compatibility and has been moved to KMP purgatory.
- commit dcca506
-------------------------------------------------------------------
Wed Mar 30 10:32:32 CEST 2011 - jslaby@suse.cz
- Relax si_code check in rt_sigqueueinfo and rt_tgsigqueueinfo
(bnc#681826).
- commit 2e71042
-------------------------------------------------------------------
Mon Mar 28 16:08:19 CEST 2011 - trenn@suse.de
- Update config files, remove CONFIG_X86_MCE_XEON75XX=m
->driver got reverted with previous commit
- Refresh patches.xen/xen3-auto-arch-x86.diff.
- commit b367e7b
-------------------------------------------------------------------
Mon Mar 28 15:23:44 CEST 2011 - trenn@suse.de
- Remove xeon75xx driver, was intended as a SLE11 SP1 workaround
to decode physical memory address on Boxboro-EX for MCEs.
APEI implementation should take care of that in recent kernels.
- Delete patches.arch/x86_mce_intel_decode_physical_address.patch.
- Delete
patches.arch/x86_mce_intel_decode_physical_address_compile_fix.patch.
- Delete
patches.arch/x86_mce_intel_decode_physical_address_rename_fix.patch.
- Delete
patches.arch/x86_mce_intel_decode_physical_fix_dynamic_debug.patch.
- commit 1fe7a22
-------------------------------------------------------------------
Mon Mar 28 14:07:07 CEST 2011 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Do not install unneeded packages in the
build chroot.
- commit 7f4c49d
-------------------------------------------------------------------
Mon Mar 28 13:00:56 CEST 2011 - tiwai@suse.de
- ALSA: hda - Increase the default buffer size (682725).
- ALSA: hda - Fix pin-config of Gigabyte mobo (bnc#677256).
- commit 8088cec
-------------------------------------------------------------------
Mon Mar 28 09:57:32 CEST 2011 - jslaby@suse.cz
- Update to 2.6.38.2:
- obsoletes:
- patches.fixes/prevent-rt_sigqueueinfo-from-spoofing.patch.
- Refresh patches.xen/tmem.
- Refresh patches.xen/xen-dcdbas.
- commit 5b9dd3d
-------------------------------------------------------------------
Fri Mar 25 11:31:57 CET 2011 - mhocko@suse.cz
- page_cgroup: reduce allocation overhead for page_cgroup array
for CONFIG_SPARSEMEM (bnc#669889).
- commit 6a934f2
-------------------------------------------------------------------
Fri Mar 25 09:03:46 CET 2011 - jslaby@suse.cz
- Update patches.kernel.org/patch-2.6.38.1 (bnc#558740).
(Add a bug reference)
- commit 3285b9b
-------------------------------------------------------------------
Thu Mar 24 17:26:22 CET 2011 - tiwai@suse.de
- ALSA: hda - Fix SPDIF out regression on ALC889 (bnc#679588).
- commit f9b1d82
-------------------------------------------------------------------
Thu Mar 24 16:21:06 CET 2011 - jslaby@suse.cz
- Prevent rt_sigqueueinfo and rt_tgsigqueueinfo from spoofing
the signal code (bnc#681826 CVE-2011-1020).
- commit 2e42fd4
-------------------------------------------------------------------
Thu Mar 24 14:02:20 CET 2011 - tiwai@suse.de
- sound/oss/opl3: validate voice and channel indexes (bnc#681999).
- sound/oss: remove offset from load_patch callbacks (bnc#681999).
- ALSA: sound/pci/asihpi: check adapter index in hpi_ioctl
(bnc#680816).
- commit 09ff6e9
-------------------------------------------------------------------
Thu Mar 24 00:27:13 CET 2011 - jslaby@suse.cz
- Update to 2.6.38.1:
- obsoletes:
- patches.rpmify/winbond-needs-msleep.
- Refresh
patches.fixes/hid-add-support-for-Skycable-0x3f07-wireless-present.patch.
- Update config files.
- commit 4836c9f
-------------------------------------------------------------------
Mon Mar 21 19:56:38 CET 2011 - jack@suse.cz
- Fix almost-infinite slab cache growing (bnc#554081).
- commit 44e668f
-------------------------------------------------------------------
Mon Mar 21 16:44:29 CET 2011 - jkosina@suse.cz
- HID: add support for Skycable 0x3f07 wireless presenter
(bnc#681297).
- commit c88c4a7
-------------------------------------------------------------------
Mon Mar 21 12:21:15 CET 2011 - mmarek@suse.cz
- Delete config/i386/vmi, it was an artifact from SLE11-SP1 development.
- commit 9877488
-------------------------------------------------------------------
Fri Mar 18 18:23:45 CET 2011 - jslaby@suse.cz
- NET: cdc-phonet, handle empty phonet header (bnc#673992).
- commit da6e6d1
-------------------------------------------------------------------
Fri Mar 18 10:43:53 CET 2011 - jslaby@suse.cz
- SPI: dw_spi, fix PPC build.
- commit 80ca063
-------------------------------------------------------------------
Fri Mar 18 08:48:08 CET 2011 - jbeulich@novell.com
- Update Xen patches to 2.6.38 and c/s 1073.
- commit 89cb2b4
-------------------------------------------------------------------
Thu Mar 17 12:24:09 CET 2011 - sjayaraman@suse.de
- netvm: Do not mark requests for swapfile writes as dirty or
kswapd fails to free the page (bnc#678472).
- nfs: Use page_file_offset during page writeback (bnc#677738).
- nfs: Convert nfs_mark_request_dirty() to use page_file_mapping()
(bnc#677738).
- netvm: Remove duplicated initialization in net/ipv4/route.c
(bnc#678970).
- collapse: mm: Report the low watermark correctly (bnc#678497).
- commit a798dd1
-------------------------------------------------------------------
Tue Mar 15 16:19:53 CET 2011 - jeffm@suse.com
- Update to 2.6.38.
- commit f2ec6ad
-------------------------------------------------------------------
Mon Mar 14 23:26:14 CET 2011 - jslaby@suse.cz
- Update to 2.6.37.4:
- obsoletes:
- patches.fixes/ath9k-Fix-ath9k-prevents-CPU-to-enter-C3-states.patch.
- patches.fixes/media-DiB7000M-add-pid-filtering.patch.
- commit b3091d2
-------------------------------------------------------------------
Mon Mar 14 12:57:21 CET 2011 - tiwai@suse.de
- ALSA: HDA: Realtek ALC88x: Do not over-initialize speakers
and hp that are primary outputs (bnc#679016).
- ALSA: HDA: Fixup unnecessary volume control index on Realtek
ALC88x (bnc#679016).
- ALSA: HDA: Fix volume control naming for surround speakers on
Realtek auto-parser (bnc#679016).
- ALSA: HDA: Enable surround and subwoofer on Lenovo Ideapad Y530
(bnc#679016).
- ALSA: hda - Add support for multiple headphone/speaker controls
for Realtek (bnc#679016).
- ALSA: hda - 4930g add internal lfe slider (bnc#679016).
- ALSA: hda - Fix unable to record issue on ASUS N82JV
(bnc#679016).
- ALSA: hda - switch lfe with side in mixer for 4930g
(bnc#679016).
- ALSA: HDA: Fix automute on Thinkpad L412/L512 (bnc#679016).
- ALSA: HDA: Add SKU ignore for another Thinkpad Edge 14
(bnc#679016).
- ALSA: hda - Apply Sony VAIO hweq fixup only once (bnc#679016).
- ALSA: hda - Fix ALC275 enable hardware EQ for SONY VAIO
(bnc#679016).
- ALSA: hda - Fix EAPD on Lenovo NB ALC269 to low (bnc#679016).
- commit b5b352b
-------------------------------------------------------------------
Mon Mar 14 12:55:56 CET 2011 - tiwai@suse.de
- ALSA: hda - Add a generic fixup callback for Realtek codecs
(bnc#679016).
- ALSA: hda - Fix missing EAPD for Acer 4930G (bnc#679016).
- commit 35da099
-------------------------------------------------------------------
Mon Mar 14 01:22:01 CET 2011 - jeffm@suse.com
- Linux: 2.6.38-rc8.
- Eliminated 1 patch.
- commit 7da557d
-------------------------------------------------------------------
Fri Mar 11 14:37:00 CET 2011 - jbeulich@novell.com
- Update Xen patches to 2.6.38-rc7 and c/s 1072
- pv-ops blktap2.
- adjust xen build after addition of pv-ops' blktap2.
- blktap: Fix reference to freed struct request.
- cleanup to blkback and blktap.
- apply xen specific patch to the Chelsio ethernet drivers.
- Update Xen config files.
- commit 289ecca
-------------------------------------------------------------------
Fri Mar 11 14:19:55 CET 2011 - jbeulich@novell.com
- fix i386 unwind annotations.
- commit 02bd501
-------------------------------------------------------------------
Fri Mar 11 11:03:23 CET 2011 - jslaby@suse.cz
- DiB7000M: add pid filtering (bnc#644807).
- commit ab6796e
-------------------------------------------------------------------
Fri Mar 11 10:01:06 CET 2011 - jbeulich@novell.com
- Update Xen patches to 2.6.37.3 and c/s 1072.
- apply xen specific patch to the Chelsio ethernet drivers.
- commit 7db4348
-------------------------------------------------------------------
Thu Mar 10 16:07:41 CET 2011 - jslaby@suse.cz
- Mantis, hopper: use MODULE_DEVICE_TABLE (bnc#607239).
- commit 33a71b9
-------------------------------------------------------------------
Tue Mar 8 08:38:59 CET 2011 - jslaby@suse.cz
- Update to 2.6.37.3:
- obsoletes:
- patches.drivers/alsa-usb-audio-fix-oops-due-to-cleanup-race-when-disconnect.
- patches.drivers/e1000e-82579-PHY-incorrectly-identified-during-init.patch.
- patches.arch/acpi-debugfs-fix-buffer-overflows-double-free.patch.
- patches.fixes/loop-over-loop-hang-fix.patch.
- Refresh patches.xen/xen-x86-no-lapic.
- Refresh patches.xen/xen3-patch-2.6.19.
- commit dca38e6
-------------------------------------------------------------------
Tue Mar 8 01:00:02 CET 2011 - jeffm@suse.de
- Update to 2.6.38-rc7.
- Refresh patches.xen/xen-x86-no-lapic.
- Refresh patches.xen/xen3-patch-2.6.19.
- commit 7eb9d30
-------------------------------------------------------------------
Mon Mar 7 13:50:08 CET 2011 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Do not abort build because of
supported.conf inconsistency if %supported_modules_check == 0.
- commit 88d5daa
-------------------------------------------------------------------
Fri Mar 4 14:26:53 CET 2011 - jack@suse.cz
- debugfs: Fix filesystem reference counting on debugfs_remove()
failure (bnc#668101).
- commit 9166129
-------------------------------------------------------------------
Wed Mar 2 09:02:40 CET 2011 - jbeulich@novell.com
- patches.arch/x86_64-unwind-annotations: Fix a fatal oversight in
yesterday's change.
- commit 098768b
-------------------------------------------------------------------
Wed Mar 2 01:08:01 CET 2011 - bphilips@suse.de
- e1000e: 82579 PHY incorrectly identified during init
(bnc#668437).
- commit 8e49073
-------------------------------------------------------------------
Tue Mar 1 12:53:23 CET 2011 - knikanth@suse.de
- block: kill loop_mutex (bnc#669394).
- commit e8a3617
-------------------------------------------------------------------
Mon Feb 28 15:47:23 CET 2011 - jbeulich@novell.com
- patches.arch/x86_64-unwind-annotations: Add annotations to
lib/rwsem_64.S.
- commit a1349cb
-------------------------------------------------------------------
Mon Feb 28 15:34:35 CET 2011 - jbeulich@novell.com
- patches.arch/x86_64-unwind-annotations: Add annotations to
lib/rwsem_64.S.
- commit 87944ac
-------------------------------------------------------------------
Sun Feb 27 22:45:49 CET 2011 - jslaby@suse.cz
- iwlwifi: fix ack health for WiFi/BT combo devices (bnc#662733).
- iwlwifi: cleanup iwl_good_ack_health (bnc#662733).
- iwlwifi: fix ack health for WiFi/BT combo devices (bnc#662733).
- iwlwifi: cleanup iwl_good_ack_health (bnc#662733).
- commit 261a9d2
-------------------------------------------------------------------
Fri Feb 25 19:59:41 CET 2011 - jslaby@suse.cz
- Update to 2.6.37.2 (bnc#674245):
- obsoletes:
- patches.arch/perf_adjust_timechart_to_new_cpuidle_events.patch.
- patches.drivers/alsa-01-caiaq-Fix-possible-string-buffer-overflow.
- patches.fixes/revert-tpm_tis-Use-timeouts-returned-from-TPM.patch.
- commit d1287f4
-------------------------------------------------------------------
Thu Feb 24 16:29:14 CET 2011 - tiwai@suse.de
- ALSA: usb-audio: fix oops due to cleanup race when disconnecting
(bnc#674735).
- commit 74b3781
-------------------------------------------------------------------
Thu Feb 24 16:27:46 CET 2011 - tiwai@suse.de
- ALSA: usb-audio: fix oops due to cleanup race when disconnecting
(bnc#674735).
- commit ed81f8c
-------------------------------------------------------------------
Thu Feb 24 11:10:55 CET 2011 - jslaby@suse.cz
- ath9k: Fix ath9k prevents CPU to enter C3 states (bnc#667793).
- Refresh
patches.fixes/revert-tpm_tis-Use-timeouts-returned-from-TPM.patch.
- Delete
patches.fixes/0001-Revert-ath9k-use-per-device-struct-for-pm_qos_-opera.patch.
- Delete
patches.fixes/0002-Revert-ath9k-Remove-pm_qos-request-after-hw-unregist.patch.
- Delete
patches.fixes/0003-Revert-ath9k-Fix-a-DMA-latency-issue-for-Intel-Pinet.patch.
These patches went upstream, update.
- commit be3e181
-------------------------------------------------------------------
Wed Feb 23 23:03:41 CET 2011 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Remove unused code.
- commit dacbc44
-------------------------------------------------------------------
Tue Feb 22 21:49:18 CET 2011 - jeffm@suse.com
- Update to 2.6.38-rc6.
- Eliminated 1 patch.
- commit 6cce7bb
-------------------------------------------------------------------
Tue Feb 22 14:06:34 CET 2011 - mszeredi@suse.cz
- xfs: prevent leaking uninitialized stack memory in FSGEOMETRY_V1
(CVE-2011-0711 bnc#672524).
- commit 547a9f9
-------------------------------------------------------------------
Tue Feb 22 10:00:42 CET 2011 - mmarek@suse.cz
- rpm/mkspec: Do not create kernel-syms.spec on vanilla-only branches
- commit eb17bba
-------------------------------------------------------------------
Mon Feb 21 10:34:10 CET 2011 - tiwai@suse.de
- ALSA: caiaq - Fix possible string-buffer overflow (bnc#672499,
CVE-2011-0712).
- commit f6a72cc
-------------------------------------------------------------------
Mon Feb 21 10:31:05 CET 2011 - tiwai@suse.de
- ALSA: caiaq - Fix possible string-buffer overflow (bnc#672499,
CVE-2011-0712).
- commit 5ef002b
-------------------------------------------------------------------
Sun Feb 20 11:36:45 CET 2011 - jslaby@suse.cz
- Revert "tpm_tis: Use timeouts returned from TPM" (bnc#673619).
- commit d991856
-------------------------------------------------------------------
Fri Feb 18 23:13:58 CET 2011 - rjw@suse.de
- ACPI / debugfs: Fix buffer overflows, double free (bnc#666095).
- commit 41c6654
-------------------------------------------------------------------
Fri Feb 18 22:57:09 CET 2011 - rjw@suse.de
- ACPI / debugfs: Fix buffer overflows, double free (bnc#666095).
- commit da9d3a8
-------------------------------------------------------------------
Fri Feb 18 11:32:28 CET 2011 - jslaby@suse.cz
- Update to 2.6.37.1:
- obsoletes:
- patches.arch/x86-mtrr-avoid-MTRR-reprogramming-on-BP-during-boot-on.patch
- patches.drivers/alsa-hda-0001-Fix-memory-leaks-in-conexant-jack-arrays
- patches.drivers/alsa-hda-0002-Fix-missing-CA-initialization-for-HDMI-DP
- patches.fixes/calibrate-jiffy-overflow
- patches.fixes/fix-ata-panic-with-ata_id
- patches.fixes/fix-prlimit64-for-suid-sgid-processes.patch
- patches.fixes/input-introduce-notimeout-blacklist-for-Dell-Vostro-.patch
- patches.fixes/sched-cgroup-use-exit-hook-to-avoid-use-after-free-crash
- patches.fixes/staging-rt2860-Fix-incorrect-netif_stop_queue-usage.patch
- Refresh patches.suse/SoN-08-mm-page_alloc-emerg.patch.
- Refresh patches.suse/SoN-16-netvm-reserve-inet.patch.
- Refresh patches.suse/dm-mpath-accept-failed-paths.
- Refresh patches.suse/sched-automated-per-session-task-groups.
- Refresh patches.xen/xen3-auto-common.diff.
- Refresh patches.xen/xen3-fixup-common.
- Refresh patches.xen/xen3-patch-2.6.37.
- commit a638bb4
-------------------------------------------------------------------
Fri Feb 18 07:44:54 CET 2011 - sjayaraman@suse.de
- Refresh patches.suse/SoN-06-mm-kmem_estimate_pages.patch to accomodate
an upstream change.
- commit a4e281e
-------------------------------------------------------------------
Thu Feb 17 11:58:18 CET 2011 - rjw@suse.de
- PNP / ACPI: Use DEVICE_ACPI_HANDLE() for device ACPI handle access (bnc#434742).
- commit a73834f
-------------------------------------------------------------------
Thu Feb 17 02:28:52 CET 2011 - jeffm@suse.de
- Update to 2.6.38-rc5.
- commit 8344657
-------------------------------------------------------------------
Thu Feb 17 01:24:01 CET 2011 - gregkh@suse.de
- Update config files.
- disable CONFIG_DRM_VMWGFX (bnc#606458)
- update sparc configs to at least be able to run "make oldconfig"
- commit da75bd9
-------------------------------------------------------------------
Thu Feb 17 01:15:14 CET 2011 - gregkh@suse.de
- Update config files.
disable CONFIG_DRM_VMWGFX. (bnc#606458)
- commit 409d54e
-------------------------------------------------------------------
Wed Feb 16 16:25:24 CET 2011 - jbeulich@novell.com
- patches.arch/x86_64-unwind-annotations: Re-add change lost during
initial 2.6.37 merge.
- commit 7a5313d
-------------------------------------------------------------------
Wed Feb 16 16:14:52 CET 2011 - jbeulich@novell.com
- patches.arch/x86_64-unwind-annotations: Re-add change lost during
initial 2.6.37 merge.
- commit e493205
-------------------------------------------------------------------
Wed Feb 16 14:31:03 CET 2011 - tiwai@suse.de
- ALSA: HDA: Add Lenovo vendor quirk for Conexant 205xx
(bnc#670946).
- commit b3bc287
-------------------------------------------------------------------
Tue Feb 15 22:50:02 CET 2011 - jeffm@suse.com
- tty: add 'active' sysfs attribute to tty0 and console device.
- commit 7ae6e9a
-------------------------------------------------------------------
Tue Feb 15 17:14:14 CET 2011 - jslaby@suse.cz
- Revert "ath9k: Fix a DMA latency issue for Intel Pinetrail
platforms." (bnc#667793).
- Revert "ath9k: Remove pm_qos request after hw
unregister." (bnc#667793).
- Revert "ath9k: use per-device struct for pm_qos_* operations"
(bnc#667793).
- commit b2849f6
-------------------------------------------------------------------
Tue Feb 15 10:59:55 CET 2011 - jack@suse.cz
- bridge: Replace mp->mglist hlist with a bool (bnc#653547).
- bridge: Fix timer typo that may render snooping less effective
(bnc#653547).
- bridge: Fix mglist corruption that leads to memory corruption
(bnc#653547).
- commit a70e5ca
-------------------------------------------------------------------
Mon Feb 14 13:42:21 CET 2011 - mmarek@suse.cz
- Move spec file templates and helper scripts to a separate branch, to
ease synchronizing these files among master, vanilla and linux-next.
- commit 411abb8
-------------------------------------------------------------------
Mon Feb 14 13:20:47 CET 2011 - mmarek@suse.cz
- Delete a couple of obsolete kabi and other scripts from misc/:
- Delete misc/checkmod.
- Delete misc/collect_ksyms.
- Delete misc/diff-the-xfs-cvs.
- Delete misc/ksyms.py.
- Delete misc/mangle-ifdef.py.
- Delete misc/obsolete-module-aliases.
- Delete misc/testmodule.
- commit 3dd255b
-------------------------------------------------------------------
Mon Feb 14 13:19:22 CET 2011 - mmarek@suse.cz
- rpm/kernel-source.spec.in: Delete misc/extract-modaliases, the code
was merged into rpm's find-supplements script.
- Delete rpm/check-build.sh, not needed anymore.
- commit bd6fa48
-------------------------------------------------------------------
Thu Feb 10 02:57:43 CET 2011 - jeffm@suse.com
- flexcop: fix registering braindead stupid names (brc#575873
bnc#661429).
- commit 72bd3d0
-------------------------------------------------------------------
Thu Feb 10 02:55:41 CET 2011 - jeffm@suse.com
- flexcop: fix registering braindead stupid names (brc#575873
bnc#661429).
- commit 2e98bfc
-------------------------------------------------------------------
Wed Feb 9 22:55:13 CET 2011 - gregkh@suse.de
- Staging: samsung-laptop: add support for lots of laptops
(bnc#661682).
- commit 1eb5eaa
-------------------------------------------------------------------
Wed Feb 9 22:52:29 CET 2011 - gregkh@suse.de
- Staging: samsung-laptop: add support for lots of laptops
(bnc#661682).
- commit c6d0dc9
-------------------------------------------------------------------
Wed Feb 9 13:37:33 CET 2011 - mmarek@suse.cz
- rpm/kernel-source.spec.in: Set timestamp of sources to the commit
timestamp, so that they are always older than generated files in
/usr/src/linux-obj (bnc#669669).
- commit 31e675a
-------------------------------------------------------------------
Wed Feb 9 13:00:11 CET 2011 - tiwai@suse.de
- ALSA: hda - Fix missing CA initialization for HDMI/DP
(bnc#670577).
- commit 474305e
-------------------------------------------------------------------
Wed Feb 9 00:08:37 CET 2011 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Do not run fdupes in /usr/src/linux-obj, to
keep timestamps intact.
- commit e211a69
-------------------------------------------------------------------
Tue Feb 8 23:42:06 CET 2011 - mmarek@suse.cz
- rpm/kernel-source.spec.in: Remove .gitignore files after applying patches
(fix last change).
- commit d6a7494
-------------------------------------------------------------------
Tue Feb 8 18:10:13 CET 2011 - jeffm@suse.com
- Updated to 2.6.38-rc4.
- Eliminated 1 patch.
- commit c327e0a
-------------------------------------------------------------------
Tue Feb 8 17:24:22 CET 2011 - jslaby@suse.cz
- orinoco: allow IW_AUTH_MFP to pass through (bnc#661624).
- commit 442a3d7
-------------------------------------------------------------------
Tue Feb 8 16:52:35 CET 2011 - jbeulich@novell.com
- Fix a few issues in patches.suse/stack-unwind (bnc#661409).
- commit f82619b
-------------------------------------------------------------------
Tue Feb 8 16:48:28 CET 2011 - jbeulich@novell.com
- Fix a few issues in patches.suse/stack-unwind (bnc#661409).
- commit 027481c
-------------------------------------------------------------------
Tue Feb 8 14:31:56 CET 2011 - jbeulich@novell.com
- Update Xen patches to 2.6.38-rc4 and c/s 1066.
- config.conf: Re-enable Xen.
- Update x86 config files.
- commit 72cea6e
-------------------------------------------------------------------
Tue Feb 8 14:17:50 CET 2011 - jbeulich@novell.com
- Update config files.
- Refresh and re-enable patches.arch/x86_64-unwind-annotations.
- Refresh and re-enable patches.suse/stack-unwind.
- commit 1fabeda
-------------------------------------------------------------------
Tue Feb 8 13:16:52 CET 2011 - sjayaraman@suse.de
- Refresh patches.suse/SoN-06-mm-kmem_estimate_pages.patch to accomodate an
upstream change.
- commit ae5bb3f
-------------------------------------------------------------------
Mon Feb 7 19:41:04 CET 2011 - jslaby@suse.cz
- staging: rt2860: Fix incorrect netif_stop_queue usage warning
(bnc#661657).
- commit 39b4c1e
-------------------------------------------------------------------
Mon Feb 7 18:20:11 CET 2011 - mmarek@suse.cz
- rpm/{kernel-source.spec.in,mkspec}: Sync with vanilla and linux-next
- commit fecf998
-------------------------------------------------------------------
Mon Feb 7 16:19:29 CET 2011 - mmarek@suse.cz
- rpm/kernel-source.spec.in: Remove dead code.
- commit b97b6f2
-------------------------------------------------------------------
Mon Feb 7 14:27:36 CET 2011 - mmarek@suse.cz
- rpm/kernel-binary.spec.in, rpm/kernel-spec-macros: Fix the definition
of %release_major and rename it to %release_num to better describe
what it does. Add some comments to explain how the versioning works.
- commit 3de2a1a
-------------------------------------------------------------------
Fri Feb 4 22:22:44 CET 2011 - mmarek@suse.cz
- rpm/compute-PATCHVERSION.sh: Fix sed regexp
- commit d889fe7
-------------------------------------------------------------------
Fri Feb 4 01:46:21 CET 2011 - jeffm@suse.com
- hfs: avoid crash in hfs_bnode_create (bnc#552250).
- commit d84296a
-------------------------------------------------------------------
Fri Feb 4 01:44:50 CET 2011 - jeffm@suse.com
- Updated to 2.6.38-rc3.
- Eliminated 2 patches.
- commit b6b51ca
-------------------------------------------------------------------
Thu Feb 3 21:54:01 CET 2011 - rjw@suse.de
- x86, mtrr: avoid MTRR reprogramming on BP during boot on (bnc#623393).
- commit 0664ad4
-------------------------------------------------------------------
Thu Feb 3 17:46:29 CET 2011 - jbeulich@novell.com
- Update Xen patches to c/s 1066.
- cpuidle/x86/perf: fix power:cpu_idle double end events and
throw cpu_idle events from the cpuidle layer (bnc#665153).
- perf: Clean up power events by introducing new, more generic
ones (bnc#665153).
- commit e88242f
-------------------------------------------------------------------
Thu Feb 3 17:43:57 CET 2011 - jbeulich@novell.com
- Fix jiffy calculations in calibrate_delay_direct to handle
overflow.
- commit 4a69163
-------------------------------------------------------------------
Wed Feb 2 17:34:01 CET 2011 - jeffm@suse.com
- patches.fixes/reiserfs-xattr-crash-fix: fix crash
during failed mount (bnc#668878)
- commit de24d15
-------------------------------------------------------------------
Wed Feb 2 17:25:06 CET 2011 - tiwai@suse.de
- ALSA: hda - Fix memory leaks in conexant jack arrays
(bnc#668929).
- commit 7dbcb59
-------------------------------------------------------------------
Mon Jan 31 23:00:46 CET 2011 - jslaby@suse.cz
- Refresh patches.rpmify/cloneconfig.diff.
scripts/kconfig/conf accepts long parameters only for some time already
- commit 939410c
-------------------------------------------------------------------
Mon Jan 31 13:22:49 CET 2011 - jslaby@suse.cz
- block: loop queue_lock null pointer derefence in blk_throtl_exit
v3 (bnc#666598).
- commit cd38efd
-------------------------------------------------------------------
Mon Jan 31 10:47:27 CET 2011 - jslaby@suse.cz
- Fix prlimit64 for suid/sgid processes (bnc#668262).
- commit dae8217
-------------------------------------------------------------------
Sun Jan 23 23:19:08 CET 2011 - jeffm@suse.com
- netfilter: Implement RFC 1123 for FTP conntrack (bnc#466279).
- commit 3e2a2b2
-------------------------------------------------------------------
Sun Jan 23 23:18:14 CET 2011 - jeffm@suse.com
- netfilter: Implement RFC 1123 for FTP conntrack (bnc#466279).
- commit 4bf0e68
-------------------------------------------------------------------
Sun Jan 23 02:20:06 CET 2011 - jeffm@suse.de
- winbond: needs <linux/delay.h> for msleep and friends.
- commit e394a2e
-------------------------------------------------------------------
Sun Jan 23 02:17:51 CET 2011 - jeffm@suse.de
- Refresh patches.arch/ia64-page-migration: Update for migrate_pages() API change.
- commit 40d2921
-------------------------------------------------------------------
Sun Jan 23 02:16:20 CET 2011 - jeffm@suse.de
- supported.conf: Added rc_core as a dependency.
- commit 8b2c1b9
-------------------------------------------------------------------
Sun Jan 23 00:25:45 CET 2011 - jeffm@suse.com
- Update config files.
- CONFIG_EXPERT=n on all but ps3
- Vanilla configs updated.
- commit b25e639
-------------------------------------------------------------------
Sun Jan 23 00:20:41 CET 2011 - jeffm@suse.com
- Revert "- Update config files: CONFIG_EXPERT=n except ps3"
This reverts commit 3f2c93d6a0593b2cc0c40f5ffee426eef27cc400.
This was a bad commit. I have no idea where all the changes came from.
- commit 459f3f1
-------------------------------------------------------------------
Sun Jan 23 00:09:06 CET 2011 - jeffm@suse.com
- Update config files: CONFIG_EXPERT=n except ps3
- commit 3f2c93d
-------------------------------------------------------------------
Sat Jan 22 23:45:07 CET 2011 - jeffm@suse.com
- Updated to 2.6.38-rc2.
- Eliminated 1 patch.
- commit 90baa82
-------------------------------------------------------------------
Sat Jan 22 00:40:16 CET 2011 - jeffm@suse.com
- ata: Fix panics with ata_id (bnc#660464).
- commit fa7af15
-------------------------------------------------------------------
Sat Jan 22 00:32:08 CET 2011 - jeffm@suse.de
- Updated some Patch-mainline headers.
- commit 9122bf0
-------------------------------------------------------------------
Sat Jan 22 00:27:46 CET 2011 - jeffm@suse.de
- Update to 2.6.38-rc1.
- Removed 12 patches.
- Xen is disabled.
- Quite a few patches need updating.
- commit 9163bb7
-------------------------------------------------------------------
Fri Jan 21 02:42:39 CET 2011 - trenn@suse.de
- perf: Do not export power_frequency, but power_start event
(bnc#665153).
- cpuidle/x86/perf: fix power:cpu_idle double end events and
throw cpu_idle events from the cpuidle layer (bnc#665153).
- perf: Clean up power events by introducing new, more generic
ones (bnc#665153).
- cpuidle: Rename X86 specific idle poll state from C0 to POLL
(bnc#665153).
- perf timechart: Adjust perf timechart to the new power events
(bnc#665153).
- Fix display of idle boxes (none).
- Fix huge and wronge C-state drawings due to uninitialized
start/end timestamps (none).
- Update config files.
- doc/config-options.changes:
CONFIG_EVENT_POWER_TRACING_DEPRECATED=y
- commit b308eff
-------------------------------------------------------------------
Wed Jan 19 21:13:46 CET 2011 - jbohac@suse.cz
- revert: ipv6: don't flush routes when setting loopback down.
- commit de347ac
-------------------------------------------------------------------
Tue Jan 18 01:48:18 CET 2011 - trenn@suse.de
- ACPI / ACPICA: Initialize the global lock spinlock as
appropriate (bnc#637377).
- commit c4b8238
-------------------------------------------------------------------
Tue Jan 18 00:19:14 CET 2011 - trenn@suse.de
- ACPI / ACPICA: Fix global lock acquisition (bnc#637377).
- commit 15cd726
-------------------------------------------------------------------
Mon Jan 17 20:52:49 CET 2011 - jeffm@suse.com
- mISDN: Add support for group membership check (bnc#564423).
- commit 3f46938
-------------------------------------------------------------------
Fri Jan 14 16:29:03 CET 2011 - mmarek@suse.cz
- Revert %kernelrelease changes originally made on the vanilla branch,
they break on master and must be done differently.
- commit b610327
-------------------------------------------------------------------
Thu Jan 13 21:29:19 CET 2011 - jkosina@suse.cz
- Input: introduce 'notimeout' blacklist for Dell Vostro V13
(bnc#641105).
- commit cb76856
-------------------------------------------------------------------
Wed Jan 12 21:32:17 CET 2011 - jeffm@suse.com
- In-kernel dependencies for reiser4
- Delete patches.suse/reiser4-exports.
- Delete patches.suse/reiser4-set_page_dirty_notag.
- commit 1b97130
-------------------------------------------------------------------
Tue Jan 11 16:25:30 CET 2011 - jbeulich@novell.com
- patches.xen/xen3-patch-2.6.29: Fix a regression.
- commit 6acb967
-------------------------------------------------------------------
Mon Jan 10 18:25:08 CET 2011 - jack@suse.cz
- novfs: NCP Fragments can be upto 64k in size (bnc#625965).
- commit afd7489
-------------------------------------------------------------------
Mon Jan 10 16:23:03 CET 2011 - jbeulich@novell.com
- Update Xen patches to 2.6.37-final and c/s 1062.
- commit ef70146
-------------------------------------------------------------------
Mon Jan 10 15:31:02 CET 2011 - jeffm@suse.com
- Enabled AGP modules as parts of the static kernel on i386 (bnc#609607)
- commit 9446eb0
-------------------------------------------------------------------
Sat Jan 8 17:11:58 CET 2011 - jengelh@medozas.de
- config: run oldconfig on sparc64/default
- commit aa3e940
-------------------------------------------------------------------
Sat Jan 8 15:12:02 CET 2011 - jengelh@medozas.de
- config: update sparc64/net and throw out unworthy options
- commit 1aca53d
-------------------------------------------------------------------
Sat Jan 8 01:16:02 CET 2011 - gregkh@suse.de
- Comment out broken rt2x00 driver patch, don't know how to fix it right
now.
- commit 70ec9f3
-------------------------------------------------------------------
Sat Jan 8 00:35:39 CET 2011 - gregkh@suse.de
- updated to Linux 2.6.36.3.
- security fixes
- bug fixes
- obsoleted:
- patches.fixes/rt2x00-Fix-max-TX-power-settings.patch.
- patches.fixes/tehuti-firmware-name.
- commit d4997c9
-------------------------------------------------------------------
Fri Jan 7 16:03:50 CET 2011 - mmarek@suse.cz
- Delete patches.suse/gfs2-ro-mounts-only.patch (obsolete and unused)
- commit 38ff246
-------------------------------------------------------------------
Fri Jan 7 15:44:47 CET 2011 - trenn@suse.de
- Some are mainline, some are/will be implemented differently:
- Delete patches.drivers/dynamic_debug_1.patch.
- Delete patches.drivers/dynamic_debug_2.patch.
- Delete patches.drivers/dynamic_debug_3.patch.
- Delete patches.drivers/dynamic_debug_4.patch.
- Delete patches.drivers/dynamic_debug_5.patch.
- Delete patches.drivers/dynamic_debug_6.patch.
- Delete patches.drivers/dynamic_debug_7.patch.
- commit 68dc5c8
-------------------------------------------------------------------
Fri Jan 7 00:20:37 CET 2011 - neilb@suse.de
- Remove old patch
- commit 7fc009b
-------------------------------------------------------------------
Thu Jan 6 20:51:31 CET 2011 - jeffm@suse.com
- reiserfs: Fix crash during umount (bnc#610598).
- commit 88f26ac
-------------------------------------------------------------------
Thu Jan 6 19:48:28 CET 2011 - mmarek@suse.cz
- rpm/kernel-source.spec.in: Move the source tree if %kernelrelease is
different from the tarball version.
- commit 6be9ffe
-------------------------------------------------------------------
Thu Jan 6 17:44:53 CET 2011 - mmarek@suse.cz
- Delete patches.suse/export-sync_page_range (unused).
- commit d19ee6d
-------------------------------------------------------------------
Thu Jan 6 17:09:12 CET 2011 - jeffm@suse.com
- Delete patches.suse/supported-flag-sysfs: Merged with patches.suse/supported-flag
- commit 0f2e67b
-------------------------------------------------------------------
Thu Jan 6 17:08:44 CET 2011 - jeffm@suse.com
- Removed unused kdb patches.
- Delete patches.suse/kdb-build-fixes.
- Delete patches.suse/kdb-common.
- Delete
patches.suse/kdb-fix-assignment-from-incompatible-pointer-warnings.
- Delete
patches.suse/kdb-fix-kdb_cmds-to-include-the-arch-common-macro.
- Delete patches.suse/kdb-handle-nonexistance-keyboard-controller.
- Delete patches.suse/kdb-ia64.
- Delete patches.suse/kdb-usb-rework.
- Delete patches.suse/kdb-vm-api-changes-for-2-6-34.
- Delete patches.suse/kdb-x86.
- Delete patches.suse/kdb-x86-build-fixes.
- Delete patches.suse/kdb_dont_touch_i8042_early.patch.
- Delete patches.suse/kdb_fix_ia64_build.patch.
- Delete patches.xen/xen3-kdb-x86.
- commit c9e725d
-------------------------------------------------------------------
Thu Jan 6 16:10:26 CET 2011 - jeffm@suse.com
- rpm/kernel-source.spec.in: Remove the relocation of the source tree.
It's expanded in-place.
- commit 8cde941
-------------------------------------------------------------------
Thu Jan 6 15:49:34 CET 2011 - jack@suse.cz
- Delete patches.suse/ext2-fsync-err as it is obsolete and unused.
- commit c8f4ebe
-------------------------------------------------------------------
Thu Jan 6 13:56:07 CET 2011 - mmarek@suse.cz
- Delete patches.fixes/kbuild-fix-generating-of-.symtypes-files.
Fixed upstream in commit e26d6b8.
- commit 1b628e1
-------------------------------------------------------------------
Thu Jan 6 10:07:22 CET 2011 - mgalbraith@suse.de
- Replaced autogroup patch with what will appear in 2.6.38
- Replaced cgroup use after free fix wtih what will appear in 2.6.38
- Refresh
patches.fixes/sched-cgroup-use-exit-hook-to-avoid-use-after-free-crash.
- Refresh patches.suse/sched-automated-per-session-task-groups.
- Delete patches.fixes/sched-fix-autogroup-proc-interface-race.
- commit 81f018b
-------------------------------------------------------------------
Thu Jan 6 01:24:14 CET 2011 - jeffm@suse.com
- Update to 2.6.37-final.
- commit f4cd126
-------------------------------------------------------------------
Thu Dec 30 00:50:58 CET 2010 - jeffm@suse.com
- taskstats: Use better ifdef for alignment (bko#24272).
- commit 2948ffa
-------------------------------------------------------------------
Wed Dec 29 22:23:21 CET 2010 - jeffm@suse.com
- Update to 2.6.37-rc8.
- Eliminated 1 patch.
- commit 42518e6
-------------------------------------------------------------------
Tue Dec 28 07:01:24 CET 2010 - mgalbraith@suse.de
- sched: fix autogroup /proc interface race.
- commit fd7019c
-------------------------------------------------------------------
Mon Dec 27 23:28:19 CET 2010 - jeffm@suse.com
- scripts/wd-functions.sh: Re-added support for finding tarball in $MIRROR.
- commit c8014fb
-------------------------------------------------------------------
Mon Dec 27 23:24:08 CET 2010 - jeffm@suse.com
- sched, cgroup: Use exit hook to avoid use-after-free crash.
- commit 59c0581
-------------------------------------------------------------------
Mon Dec 27 23:09:38 CET 2010 - jeffm@suse.com
- Update to 2.6.37-rc7.
- Eliminated 9 patches.
- commit d38591c
-------------------------------------------------------------------
Mon Dec 20 12:48:45 CET 2010 - jslaby@suse.cz
- Revert "x86: allocate space within a region top-down"
(bnc#655048).
Backport to XEN.
- commit 92c0965
-------------------------------------------------------------------
Mon Dec 20 11:33:32 CET 2010 - jslaby@suse.cz
- Revert "PCI: fix pci_bus_alloc_resource() hang, prefer positive
decode" (bnc#655048).
- Revert "x86: allocate space within a region top-down"
(bnc#655048).
- Revert "x86/PCI: allocate space from the end of a region,
not the beginning" (bnc#655048).
- Revert "PCI: allocate bus resources from the top down"
(bnc#655048).
- Revert "resources: support allocating space within a region
from the top down" (bnc#655048).
- resources: add arch hook for preventing allocation in reserved
areas (bnc#655048).
- x86: avoid low BIOS area when allocating address space
(bnc#655048).
- x86: avoid E820 regions when allocating address space
(bnc#655048).
- x86: avoid high BIOS area when allocating address space
(bnc#655048).
- Refresh patches.xen/xen3-patch-2.6.34.
- commit 7bbbf27
-------------------------------------------------------------------
Mon Dec 20 11:29:01 CET 2010 - jslaby@suse.cz
- Revert "- resources: add arch hook for preventing allocation in reserved"
This reverts commit 7e253537787f4fb057e12a166356169c5adb9665. It was unintended to be pushed as the patches are obsoleted.
- commit 6f3bdf8
-------------------------------------------------------------------
Mon Dec 20 11:19:41 CET 2010 - jslaby@suse.cz
- Delete
patches.fixes/res-0010-PCI-fix-pci_bus_alloc_resource-hang-prefer-positive-.patch.
It's unreferenced and wrong.
- commit 9e6fc50
-------------------------------------------------------------------
Thu Dec 16 23:21:40 CET 2010 - mmarek@suse.cz
- rpm/kernel-source.spec.in: Define %kernelrelease
- commit 1d67b13
-------------------------------------------------------------------
Thu Dec 16 22:31:42 CET 2010 - jeffm@suse.com
- Linux: 2.6.37-rc6.
- Update config files.
- Refresh patches.suse/SoN-23-mm-swapfile.patch.
- Refresh patches.suse/SoN-26-nfs-swapper.patch.
- Refresh patches.xen/xen3-fixup-xen.
- Refresh patches.xen/xen3-patch-2.6.24.
- commit 5650f90
-------------------------------------------------------------------
Mon Dec 13 12:17:49 CET 2010 - jbeulich@novell.com
- Update Xen config files (XEN_NETDEV_LOOPBACK=n).
- supported.conf: Update.
- commit d385dff
-------------------------------------------------------------------
Mon Dec 13 09:12:36 CET 2010 - tiwai@suse.de
- Refresh patches.suse/bootsplash-scaler: Fix crash when bootsplash animation is used (bnc#646908)
- commit c5eced1
-------------------------------------------------------------------
Fri Dec 10 10:45:26 CET 2010 - jslaby@suse.cz
- Update to Linux 2.6.36.2
- Obsoletes:
patches.drivers/alsa-hda-01-Fix-wrong-SPDIF-NID-assignment-for-CA0110
patches.drivers/alsa-hda-02-Add-some-workarounds-for-Creative-IBG
patches.drivers/alsa-hda-03-Add-workarounds-for-CT-IBG-controllers
patches.fixes/hpet-unmap-unused-I-O-space.patch
patches.fixes/net-Limit-socket-I-O-iovec-total-length.patch
patches.fixes/tty-restore-tty_ldisc_wait_idle.patch
- Refresh patches.suse/SoN-22-netvm.patch
- commit 8c48718
-------------------------------------------------------------------
Fri Dec 10 09:23:46 CET 2010 - jslaby@suse.cz
- resources: add arch hook for preventing allocation in reserved
areas (bnc#655048).
- x86: avoid BIOS area when allocating address space (bnc#655048).
- x86: avoid PNP resources when allocating address space
(bnc#655048).
- Refresh patches.xen/xen3-patch-2.6.34.
- commit 7e25353
-------------------------------------------------------------------
Fri Dec 10 09:20:34 CET 2010 - jbeulich@novell.com
- Update Xen config files (CONFIG_XEN_COMPAT=0x040000).
- commit 5343e5f
-------------------------------------------------------------------
Fri Dec 10 09:11:01 CET 2010 - jbeulich@novell.com
- Update Xen patches to 2.6.37-rc5.
- commit bef1a0d
-------------------------------------------------------------------
Thu Dec 9 15:32:30 CET 2010 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Fix timestamp of /usr/src/linux-obj/.../.config
- commit dbec62c
-------------------------------------------------------------------
Thu Dec 9 14:48:13 CET 2010 - mmarek@suse.cz
- rpm/config.sh: Build against openSUSE:Factory
- commit bf19860
-------------------------------------------------------------------
Wed Dec 8 17:34:25 CET 2010 - mmarek@suse.cz
- rpm/kernel-docs.spec.in: Allow to build against any version of
kernel-source
- commit da8598f
-------------------------------------------------------------------
Tue Dec 7 16:38:29 CET 2010 - jbeulich@novell.com
- patches.arch/x86_64-unwind-annotations: Remove stringification
(needed only with very old assemblers).
- commit 987c244
-------------------------------------------------------------------
Tue Dec 7 16:35:04 CET 2010 - jeffm@suse.com
- scripts/sequence-patch.sh: Added -vanilla suffix to the directory name when expanding vanilla tree.
- commit 77ad87b
-------------------------------------------------------------------
Tue Dec 7 16:32:30 CET 2010 - jeffm@suse.com
- Update vanilla config files.
- commit f55f81b
-------------------------------------------------------------------
Tue Dec 7 16:14:14 CET 2010 - jeffm@suse.com
- Update to 2.6.37-rc5.
- commit ca102d9
-------------------------------------------------------------------
Tue Dec 7 09:40:37 CET 2010 - jbeulich@novell.com
- patches.suse/novfs-fix-ioctl-usage: Fix compiler warnings.
- commit faf2142
-------------------------------------------------------------------
Mon Dec 6 12:40:34 CET 2010 - mgalbraith@suse.de
- Replace buggy per tty autogroup patch with final per session patch as
integrated into tip.
- sched: Add 'autogroup' scheduling feature: automated per session task groups (bnc#657613).
- Delete patches.suse/sched-automated-per-tty-task-groups.
- commit 0db6b6b
-------------------------------------------------------------------
Mon Dec 6 09:12:01 CET 2010 - jbeulich@novell.com
- patches.rpmify/rpm-kernel-config, patches.rpmify/split-package,
patches.suse/0004-richacl-In-memory-representation-and-helper-function.patch,
patches.suse/SoN-15-netvm-reserve.patch,
patches.suse/SoN-27-nfs-swap_ops.patch,
patches.suse/kconfig-automate-kernel-desktop,
patches.suse/mm-tune-dirty-limits.patch,
patches.suse/supported-flag-enterprise: Clean up Kconfig portions.
- commit 713650c
-------------------------------------------------------------------
Mon Dec 6 05:38:40 CET 2010 - jeffm@suse.com
- Fixed corrupted -rc4 patch.
- commit 9802d4e
-------------------------------------------------------------------
Mon Dec 6 05:09:48 CET 2010 - jeffm@suse.de
- Update to 2.6.37-rc4.
- commit d102b44
-------------------------------------------------------------------
Wed Dec 1 11:50:49 CET 2010 - jslaby@suse.cz
- Update README.BRANCH
To reflect what the stable branch really is.
- commit 59a125e
-------------------------------------------------------------------
Mon Nov 29 18:22:04 CET 2010 - jeffm@suse.com
- Merge 2.6.37-rc3-git6 with Xen.
- commit 7089cd5
-------------------------------------------------------------------
Mon Nov 29 16:57:39 CET 2010 - jeffm@suse.com
- Update to 2.6.37-rc3-git6.
- commit 1294ef9
-------------------------------------------------------------------
Mon Nov 29 14:21:30 CET 2010 - jslaby@suse.cz
- Update to Linux 2.6.36.1
- commit 11bcaf3
-------------------------------------------------------------------
Fri Nov 26 15:04:27 CET 2010 - jbeulich@novell.com
- Update Xen patches to 2.6.37-rc3-git1 and c/s 1055.
- xen/acpi: Add memory hotadd to pvops dom0 (bnc#651066).
- xen/acpi: Export host physical CPU information to dom0
(bnc#651066).
- Xen: para-virtual watchdog driver.
- introduce {rd,wr}msr_safe_on_pcpu() and add/enable users.
- eliminate scalability issues from initrd handling.
- config.conf: Re-enable Xen.
- Update x86 config files.
- commit cf6d991
-------------------------------------------------------------------
Fri Nov 26 00:24:58 CET 2010 - trenn@suse.de
- PERF: Fix perf timechart C-state regression (none).
- commit ba2671d
-------------------------------------------------------------------
Wed Nov 24 15:49:45 CET 2010 - jeffm@suse.com
- Update to 2.6.37-rc3-git1.
- commit 4ad245f
-------------------------------------------------------------------
Mon Nov 22 19:48:15 CET 2010 - jeffm@suse.com
- Update to 2.6.37-rc3.
- Eliminated 1 patch.
- commit 12ac0ac
-------------------------------------------------------------------
Mon Nov 22 10:21:28 CET 2010 - jslaby@suse.cz
- Delete patches.fixes/make-note_interrupt-fast.diff.
- Delete patches.fixes/twl6030-fix-note_interrupt-call.
__do_IRQ from ia64 lapic code is no longer called, because they use
genirqs properly. So these patches don't make sense anymore. If we
need something to do, then it's adding action_ret == IRQ_NONE test
into handle_percpu_irq before jumping into note_interrupt.
- commit facd623
-------------------------------------------------------------------
Sun Nov 21 16:35:45 CET 2010 - jslaby@suse.cz
- PCI: fix offset check for sysfs mmapped files (bnc#655157).
- commit 2938f56
-------------------------------------------------------------------
Wed Nov 17 17:10:32 CET 2010 - jeffm@suse.com
- sched: automated per tty task groups.
- Enabled in -desktop flavors for better interactivity.
- commit 2d5d397
-------------------------------------------------------------------
Tue Nov 16 21:32:45 CET 2010 - jeffm@suse.de
- doc/config-options.changes: Updated changes for 2.6.37-rc1 and -rc2.
- commit 0aca8b8
-------------------------------------------------------------------
Tue Nov 16 20:08:11 CET 2010 - jeffm@suse.com
- Update to 2.6.37-rc2.
- commit 1453a04
-------------------------------------------------------------------
Mon Nov 15 23:58:59 CET 2010 - jslaby@suse.cz
- PCI: fix pci_bus_alloc_resource() hang, prefer positive decode
(bnc#651256).
- commit b4888f0
-------------------------------------------------------------------
Mon Nov 15 21:33:19 CET 2010 - jeffm@suse.com
- Update vanilla config files.
- commit 60b4a47
-------------------------------------------------------------------
Mon Nov 15 21:30:37 CET 2010 - jeffm@suse.com
- Update to 2.6.37-rc1-git11.
- Eliminated 2 patches.
- commit 5959a67
-------------------------------------------------------------------
Mon Nov 15 20:02:33 CET 2010 - jeffm@suse.com
- supported.conf: Added missing dependencies.
- commit 0537c35
-------------------------------------------------------------------
Sat Nov 13 10:08:17 CET 2010 - jslaby@suse.cz
- TTY: restore tty_ldisc_wait_idle (bnc#642043).
- commit 84d87bf
-------------------------------------------------------------------
Fri Nov 12 18:37:10 CET 2010 - jeffm@suse.de
- Update to 2.6.37-rc1.
- Eliminated 26 patches.
- Xen is disabled.
- commit b9044e3
-------------------------------------------------------------------
Thu Nov 11 19:37:05 CET 2010 - gregkh@suse.de
- Update config files. (bnc#652954) increase the number of possible and
default uarts for users with multi-port serial cards for the i386 and
x86-64 default configs.
- commit 85c87e3
-------------------------------------------------------------------
Thu Nov 11 13:48:24 CET 2010 - jslaby@suse.cz
- rt2x00: Fix max TX power settings (bnc#584028).
- rt2x00: Fix channel configuration for RF3052 (bnc#584028).
- commit 60c0452
-------------------------------------------------------------------
Thu Nov 11 11:18:45 CET 2010 - jslaby@suse.cz
- resources: add a default alignf to simplify find_resource()
(bnc#651256).
- resources: factor out resource_clip() to simplify
find_resource() (bnc#651256).
- resources: ensure callback doesn't allocate outside available
space (bnc#651256).
- resources: handle overflow when aligning start of available area
(bnc#651256).
- resources: support allocating space within a region from the
top down (bnc#651256).
- PCI: allocate bus resources from the top down (bnc#651256).
- x86/PCI: allocate space from the end of a region, not the
beginning (bnc#651256).
- x86: update iomem_resource end based on CPU physical address
capabilities (bnc#651256).
- x86: allocate space within a region top-down (bnc#651256).
- Refresh patches.xen/xen3-patch-2.6.34.
- commit 8c68ad1
-------------------------------------------------------------------
Tue Nov 9 15:28:57 CET 2010 - jack@suse.cz
- novfs: Fix for the issue of kernel dumps core on restart
(bnc#641811).
- commit 76ba833
-------------------------------------------------------------------
Fri Oct 29 13:47:35 CEST 2010 - jslaby@suse.cz
- net: Limit socket I/O iovec total length to INT_MAX
(bnc#650128).
- commit 2ba74bb
-------------------------------------------------------------------
Fri Oct 29 01:53:34 CEST 2010 - trenn@suse.de
- Update config files.
Forgot to enable ACPI_EC_DEBUGFS on i386/pae
- commit 66b605b
-------------------------------------------------------------------
Wed Oct 27 16:27:15 CEST 2010 - jslaby@suse.cz
- aha152x: enable PCMCIA on 64bit (bnc#630652).
- Update config files.
- commit a91d17c
-------------------------------------------------------------------
Wed Oct 27 14:51:30 CEST 2010 - jslaby@suse.cz
- hpet: unmap unused I/O space (bnc#629908 bnc#629901).
- commit dad22c3
-------------------------------------------------------------------
Tue Oct 26 21:31:22 CEST 2010 - trenn@suse.de
- Update config files.
Enable CONFIG_ACPI_EC_DEBUGFS=m on supported archs.
- commit 9035254
-------------------------------------------------------------------
Tue Oct 26 13:41:23 CEST 2010 - jbeulich@novell.com
- Update Xen config files (restore options accidentally deleted
by 1b1584fccbfdc42e6e8b35c3c207ca65feb0c7d5).
- commit f8e5000
-------------------------------------------------------------------
Mon Oct 25 12:53:38 CEST 2010 - jbeulich@novell.com
- Update Xen patches to 2.6.36 and c/s 1043.
- xen: netback: take net_schedule_list_lock when removing entry
from net_schedule_list.
- Update Xen config files.
- commit b772cd8
-------------------------------------------------------------------
Mon Oct 25 08:58:15 CEST 2010 - tiwai@suse.de
- ALSA: hda - Add workarounds for CT-IBG controllers (bnc#564324).
- ALSA: hda - Add some workarounds for Creative IBG (bnc#564324).
- ALSA: hda - Fix wrong SPDIF NID assignment for CA0110
(bnc#564324).
- commit 2256eda
-------------------------------------------------------------------
Fri Oct 22 21:18:25 CEST 2010 - jeffm@suse.de
- Update to 2.6.36-final.
- commit 1b1584f
-------------------------------------------------------------------
Fri Oct 22 13:32:04 CEST 2010 - jbeulich@novell.com
- patches.arch/i386-unwind-annotations,
patches.arch/x86_64-unwind-annotations: Update Patch-mainline tags.
- commit 6ee5ccb
-------------------------------------------------------------------
Thu Oct 21 10:11:03 CEST 2010 - sjayaraman@suse.de
- SoN: fix null pointer dereference in swap_entry_free.
- SoN: fix mess up on swap with multi files from same nfs server.
- Refresh patches.xen/tmem.
- commit d068f12
-------------------------------------------------------------------
Mon Oct 18 16:54:57 CEST 2010 - trenn@suse.de
- ACPI: Make Embedded Controller command timeout delay
configurable (bnc#639261).
- commit 9ea38cf
-------------------------------------------------------------------
Fri Oct 15 23:06:53 CEST 2010 - jslaby@suse.cz
- Update to 2.6.36-rc8.
- commit 0c0e67c
-------------------------------------------------------------------
Fri Oct 15 22:49:53 CEST 2010 - jslaby@suse.cz
- Refresh patches.suse/SoN-22-netvm.patch.
Fix lock imbalance in net core (caused errors with bridges). (bnc#637235)
- commit f7ca98f
-------------------------------------------------------------------
Tue Oct 12 10:00:08 CEST 2010 - jbeulich@novell.com
- Update Xen patches to 2.6.36-rc7 and c/s 1042.
- Update tmem interface to v1.
- commit 03c1043
-------------------------------------------------------------------
Mon Oct 11 17:14:51 CEST 2010 - mmarek@suse.cz
- Generate per-symbol provides again (fate#305945).
11.4 will do incremental downloads of repository metadata
(fate#309561), so there should be no problem with the update
repository anymore.
- commit 4258cf2
-------------------------------------------------------------------
Mon Oct 11 16:12:29 CEST 2010 - jbeulich@novell.com
- patches.apparmor/apparmor-compatibility-patch-for-v5-network-control:
Remove .rej file from this patch.
- commit 8828ce5
-------------------------------------------------------------------
Fri Oct 8 17:40:50 CEST 2010 - jeffm@suse.de
- Update to 2.6.36-rc7.
- commit 82673e4
-------------------------------------------------------------------
Tue Oct 5 18:02:19 CEST 2010 - jbeulich@novell.com
- patches.drivers/dynamic_debug_4.patch: Fix build issues with
!DYNAMIC_DEBUG and build warning.
- commit b08d2aa
-------------------------------------------------------------------
Tue Oct 5 17:19:46 CEST 2010 - jeffm@suse.de
- Enable SECURITY_APPARMOR_COMPAT_24
- commit f9b83fc
-------------------------------------------------------------------
Tue Oct 5 16:58:20 CEST 2010 - jeffm@suse.de
- AppArmor: Allow dfa backward compatibility with broken
userspace.
- AppArmor: compatibility patch for v5 interface.
- AppArmor: compatibility patch for v5 network control.
- commit cb27981
-------------------------------------------------------------------
Mon Oct 4 16:57:34 CEST 2010 - jeffm@suse.de
- Update to 2.6.36-rc6.
- Eliminated 2 patches.
- commit 57ae577
-------------------------------------------------------------------
Fri Sep 24 13:45:31 CEST 2010 - jbeulich@novell.com
- Refresh patches.xen/xen3-patch-2.6.28 one more time.
- commit d527087
-------------------------------------------------------------------
Fri Sep 24 13:23:13 CEST 2010 - trenn@suse.de
- acpi: ec_sys: access user space with get_user()/put_user()
(none).
- commit d028761
-------------------------------------------------------------------
Fri Sep 24 13:14:36 CEST 2010 - trenn@suse.de
- kernel/module.c: Fix compiler warnings if debug is compiled in
(none).
- PNP: Use dev_dbg instead of dev_printk(KERN_DEBUG.. if
DYNAMIC_DEBUG is compiled in (none).
- PNP: Compile all pnp built-in stuff in one module namespace
(none).
- Dynamic Debug: Introduce global fake module param module.ddebug
- V4 (none).
- Dynamic Debug: Initialize dynamic debug earlier via
arch_initcall (none).
- Dynamic Debug: Introduce ddebug_query= boot parameter (none).
- Dynamic Debug: Split out query string parsing/setup from
proc_write (none).
- commit dcd5c68
-------------------------------------------------------------------
Fri Sep 24 11:08:57 CEST 2010 - jbeulich@novell.com
- Refresh patches.xen/xen3-patch-2.6.28 again.
- commit 2fbc89f
-------------------------------------------------------------------
Fri Sep 24 10:38:36 CEST 2010 - jbeulich@novell.com
- Refresh patches.xen/xen3-patch-2.6.28.
- commit cba31c7
-------------------------------------------------------------------
Fri Sep 24 09:54:16 CEST 2010 - jbeulich@novell.com
- Update Xen patches to 2.6.36-rc5 and c/s 1038.
- commit 7b86243
-------------------------------------------------------------------
Thu Sep 23 23:33:54 CEST 2010 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Fix for kernel release strings like
2.6.36-rc5-73-g57aebd7-vanilla.
- rpm/kernel-spec-macros: Cleanup.
- commit 0926a18
-------------------------------------------------------------------
Thu Sep 23 19:16:00 CEST 2010 - jeffm@suse.de
- rose: Fix signedness issues wrt. digi count (CVE-2010-3310
bnc#640721).
- commit bcdc88b
-------------------------------------------------------------------
Tue Sep 21 21:41:15 CEST 2010 - jeffm@suse.de
- qla4xxx: add workaround for missing readq/writeq.
- commit 643f9cf
-------------------------------------------------------------------
Tue Sep 21 14:15:34 CEST 2010 - mmarek@suse.cz
- rpm/kernel-docs.spec.in: BuildRequire proper version of kernel-source
- commit beb33d1
-------------------------------------------------------------------
Tue Sep 21 13:59:10 CEST 2010 - jeffm@suse.de
- Linux: 2.6.36-rc5.
- Eliminated 6 patches (all security backports).
- commit d4603b4
-------------------------------------------------------------------
Mon Sep 20 19:57:39 CEST 2010 - jeffm@suse.de
- Revert "- make SCSI and ATA drivers modules again. (bnc#564357)."
This reverts commit 75e8e5eae2c1e8dd279370f2c7650835ee821deb.
- make SCSI and ATA drivers modules again.
(bnc#564357)
This change only applies to enterprise releases and should have been
reverted prior to the release of openSUSE 11.3.
- commit 7fc084f
-------------------------------------------------------------------
Mon Sep 20 16:03:14 CEST 2010 - sjayaraman@suse.de
- Update patches.suse/SoN-05-reserve-slub.patch to accomodate an upstream
change that uses kmem_cache flags instead of PageSlubDebug flag.
- commit 4ea582b
-------------------------------------------------------------------
Mon Sep 20 15:25:41 CEST 2010 - jeffm@suse.de
- Set LSM_MMAP_MIN_ADDR=0 to allow tools like DOSemu to work properly. The
default is still set to 64k.
- commit 0581892
-------------------------------------------------------------------
Sun Sep 19 17:39:03 CEST 2010 - jeffm@suse.com
- Delete patches.suse/cgroup-disable-memory.patch: It is no longer needed. The performance hit that it caused has been eliminated.
- commit 1ec7da8
-------------------------------------------------------------------
Fri Sep 17 01:05:47 CEST 2010 - jeffm@suse.de
- wext: fix potential private ioctl memory content leak
(CVE-2010-2955 bnc#635413).
- commit 6df45c9
-------------------------------------------------------------------
Thu Sep 16 20:58:28 CEST 2010 - jeffm@suse.de
- x86-64, compat: Test %rax for the syscall number, not %eax
(CVE-2010-3301 bnc#639708).
- x86-64, compat: Retruncate rax after ia32 syscall entry tracing
(CVE-2010-3301 bnc#639708).
- commit fb77404
-------------------------------------------------------------------
Thu Sep 16 20:37:08 CEST 2010 - jeffm@suse.de
- compat: Make compat_alloc_user_space() incorporate the
access_ok() (CVE-2010-3081 bnc#639709).
- commit 664a0ac
-------------------------------------------------------------------
Wed Sep 15 20:17:27 CEST 2010 - jeffm@suse.com
- Remove reference to unused patch.
- commit a3abfe2
-------------------------------------------------------------------
Wed Sep 15 19:32:01 CEST 2010 - jeffm@suse.de
- drivers/net/usb/hso.c: prevent reading uninitialized memory
(CVE-2010-3298 bnc#639483).
- commit 35e70c8
-------------------------------------------------------------------
Wed Sep 15 19:23:44 CEST 2010 - jeffm@suse.de
- drivers/net/cxgb3/cxgb3_main.c: prevent reading uninitialized
stack memory (CVE-2010-3296 bnc#639481).
- commit a0634a9
-------------------------------------------------------------------
Wed Sep 15 19:07:53 CEST 2010 - jeffm@suse.de
- drivers/net/eql.c: prevent reading uninitialized stack memory
(CVE-2010-3297 bnc#639482).
- commit 2a7b160
-------------------------------------------------------------------
Wed Sep 15 17:28:18 CEST 2010 - tiwai@suse.de
- Revert "patches.drivers/matador_reset_quirk.diff: Fix reset with Matador btusb devices bnc#626171"
This reverts commit c6a176c1df9ab4c69dd54ff3076bc92806eac8fd.
It should have been applied to SLE11-SP1 branch.
- commit 05f07f3
-------------------------------------------------------------------
Tue Sep 14 08:13:57 CEST 2010 - jslaby@suse.cz
- Update to Linux 2.6.36-rc4.
- Obsoletes:
- patches.fixes/bonding-jiffies2.patch.
- patches.fixes/irda-correctly-clean-up-self-ias_obj-on-irda_bind-failure.
- patches.fixes/net-sched-fix-kernel-leak-in-act_police.
- patches.rpmify/spectra-depends-on-moorestown.
- fix build on s390 as of 2.6.36-rc4.
- Refresh patches.suse/SoN-08-mm-page_alloc-emerg.patch.
- Refresh patches.suse/reiser4-set_page_dirty_notag.
- Refresh patches.xen/pci-guestdev.
- Update config files.
- commit 6915057
-------------------------------------------------------------------
Mon Sep 13 14:35:10 CEST 2010 - oneukum@suse.de
- patches.drivers/matador_reset_quirk.diff: Fix reset with Matador btusb devices bnc#626171
- commit c6a176c
-------------------------------------------------------------------
Mon Sep 13 10:14:50 CEST 2010 - jdelvare@suse.de
- patches.fixes/bonding-jiffies2.patch: Update patch-mainline.
- commit a1a8fdd
-------------------------------------------------------------------
Fri Sep 3 18:57:13 CEST 2010 - jeffm@suse.de
- Always build in AGP on x86/x86_64 so KMS works on LiveCDs (bnc#609607).
- commit d9bfa1e
-------------------------------------------------------------------
Fri Sep 3 16:38:10 CEST 2010 - jeffm@suse.com
- irda: Correctly clean up self->ias_obj on irda_bind() failure
(CVE-2010-2954 bnc#636112).
- commit f04e61f
-------------------------------------------------------------------
Wed Sep 1 12:04:59 CEST 2010 - jbeulich@novell.com
- Update Xen patches to 2.6.36-rc3 and c/s 1029.
- fix unwind annotations.
- config.conf: Re-enable Xen.
- Update x86 config files.
- commit 6836619
-------------------------------------------------------------------
Wed Sep 1 11:40:45 CEST 2010 - jbeulich@novell.com
- fix unwind annotations.
- commit 5aa6daf
-------------------------------------------------------------------
Wed Sep 1 00:53:49 CEST 2010 - jeffm@suse.com
- net sched: fix kernel leak in act_police (CVE-2010-2942
bnc#632309).
- commit 66e1d72
-------------------------------------------------------------------
Tue Aug 31 14:02:33 CEST 2010 - jdelvare@suse.de
- fix jiffies overflow problems in bonding (bnc#613273).
- commit 28bd2e8
-------------------------------------------------------------------
Mon Aug 30 17:24:07 CEST 2010 - jeffm@suse.de
- Refresh vanilla configs.
- commit 26a681e
-------------------------------------------------------------------
Mon Aug 30 17:03:30 CEST 2010 - jeffm@suse.de
- Update to Linux: 2.6.36-rc3.
- Eliminated 7 patches.
- commit d298d2d
-------------------------------------------------------------------
Sat Aug 28 13:09:20 CEST 2010 - jslaby@suse.cz
- Delete
patches.suse/rlim-0020-core-optimize-setrlimit-for-current-task.patch.
- Delete
patches.suse/rlim-0021-FS-proc-switch-limits-reading-to-fops.patch.
- Delete
patches.suse/rlim-0022-FS-proc-make-limits-writable.patch.
This was just a workaround crap. In 2.6.36 we have a nice syscall
instead. Sorry for commiting this to the merge-36 branch first. I
didn't notice it was already merged here.
- commit 5449fcb
-------------------------------------------------------------------
Fri Aug 27 16:26:16 CEST 2010 - jeffm@suse.com
- Disabled ACPI table override patches again. fsnotify was introduced into
the truncate path and causes crashes.
- commit e18fc2c
-------------------------------------------------------------------
Thu Aug 26 16:55:14 CEST 2010 - jeffm@suse.de
- Refresh patches.suse/supported-flag-enterprise: Fixed recovery on load failure.
- commit 8a06643
-------------------------------------------------------------------
Thu Aug 26 16:54:46 CEST 2010 - jeffm@suse.de
- Refresh patches.suse/stack-unwind: Fixed recovery on load failure.
- commit 7f15b29
-------------------------------------------------------------------
Tue Aug 24 20:06:39 CEST 2010 - jeffm@suse.com
- patches.arch/s390-message-catalog.diff: dev_info -> _dev_info
- commit ba20988
-------------------------------------------------------------------
Tue Aug 24 18:31:36 CEST 2010 - jeffm@suse.de
- patches.suse/stack-unwind: Fix build on !SMP
- commit abd5493
-------------------------------------------------------------------
Tue Aug 24 18:07:05 CEST 2010 - jeffm@suse.de
- s390: Fix prototype for execve.
- commit b448268
-------------------------------------------------------------------
Tue Aug 24 17:47:48 CEST 2010 - jeffm@suse.de
- spectra: depend on X86_MRST.
- commit 1e3fb89
-------------------------------------------------------------------
Tue Aug 24 17:16:11 CEST 2010 - jeffm@suse.de
- Delete patches.arch/ppc-vmcoreinfo.diff.
- commit ea39e14
-------------------------------------------------------------------
Tue Aug 24 17:11:08 CEST 2010 - jeffm@suse.de
- caif: Use asm/unaligned.h.
- commit 92c07c2
-------------------------------------------------------------------
Tue Aug 24 17:07:45 CEST 2010 - jeffm@suse.de
- Refresh patches.suse/SoN-20-netvm-tcp-deadlock.patch: Added missing
fixup for sk_rmem_schedule in caif.
- commit a9ff761
-------------------------------------------------------------------
Tue Aug 24 16:47:58 CEST 2010 - mmarek@suse.cz
- makefile: not need to regenerate kernel.release file when
make kernelrelease.
- commit 81237a8
-------------------------------------------------------------------
Tue Aug 24 16:18:58 CEST 2010 - jeffm@suse.com
- rpm/kernel-source.spec.in, scripts/tar-up.sh: Renamed config-options.changes
to config-options.changes.txt in the exported package to avoid triggering
a build service rule error.
- commit ff4889e
-------------------------------------------------------------------
Tue Aug 24 16:16:55 CEST 2010 - jeffm@suse.com
- Revert "- Renamed doc/config-options.changes to doc/config-options.changes.txt to"
This reverts commit 124ac26de4839f8181a5badedb231eedf5770a3e.
- commit 2932c62
-------------------------------------------------------------------
Tue Aug 24 15:43:02 CEST 2010 - jeffm@suse.com
- Renamed doc/config-options.changes to doc/config-options.changes.txt to
avoid triggering a build service rule error.
- commit 124ac26
-------------------------------------------------------------------
Mon Aug 23 21:06:58 CEST 2010 - jeffm@suse.de
- supported.conf: Add iscsi_boot_sysfs
- commit 80e2ea9
-------------------------------------------------------------------
Mon Aug 23 20:25:52 CEST 2010 - jeffm@suse.de
- rpm/kernel-binary.spec.in: Fixed typo with last fix.
- commit 22210d9
-------------------------------------------------------------------
Mon Aug 23 20:14:03 CEST 2010 - jeffm@suse.de
- rpm/kernel-binary.spec.in: Disable CONFIG_DEBUG_INFO. It's enabled
dynamically in the spec file and now has follow-on options.
- commit d270759
-------------------------------------------------------------------
Mon Aug 23 19:57:40 CEST 2010 - jeffm@suse.de
- patches.rpmify/spectra-drop-locked_ioctl-support: add <linux/smp_lock.h>
to grab the BKL
- commit 1df02ec
-------------------------------------------------------------------
Mon Aug 23 17:57:06 CEST 2010 - jeffm@suse.de
- Update config files: Disabled DEBUG_INFO_REDUCED.
- commit 393680a
-------------------------------------------------------------------
Mon Aug 23 16:59:08 CEST 2010 - jeffm@suse.de
- Updated to 2.6.36-rc2.
- Eliminated 2 patches.
- commit 52d47a0
-------------------------------------------------------------------
Wed Aug 18 19:44:13 CEST 2010 - jeffm@suse.de
- Update to 2.6.36-rc1.
- Eliminated 71 patches.
- spectra: Drop ->locked_ioctl support.
- spectra: Drop ->prepare_flush_fn support.
- spectra: Remove duplicate GLOB_VERSION.
- novfs: Fix ioctl usage.
- novfs: use evict_inode.
- Xen is disabled.
- commit 63642f6
-------------------------------------------------------------------
Mon Aug 16 10:22:09 CEST 2010 - tiwai@suse.de
- ALSA: hda - Add quirk for Dell Vostro 1220 (bnc#631066).
- commit df12c34
-------------------------------------------------------------------
Fri Aug 13 02:39:29 CEST 2010 - jeffm@suse.com
- README.BRANCH: Updated to reflect the permenent in-progress status.
- commit a2c882e
-------------------------------------------------------------------
Thu Aug 12 20:42:29 CEST 2010 - gregkh@suse.de
- Update to 2.6.35.1
- security fixes
- bug fixes
- obsoletes:
patches.fixes/e1000e-don-t-inadvertently-re-set-INTX_DISABLE.patch.
- commit a009fb4
-------------------------------------------------------------------
Thu Aug 12 20:39:52 CEST 2010 - gregkh@suse.de
- Delete patches.kernel.org/patch-2.6.35-rc1.
- Delete patches.kernel.org/patch-2.6.35-rc1-rc2.
- Delete patches.kernel.org/patch-2.6.35-rc2-rc3.
- Delete patches.kernel.org/patch-2.6.35-rc3-rc4.
- Delete patches.kernel.org/patch-2.6.35-rc4-rc5.
- Delete patches.kernel.org/patch-2.6.35-rc5-rc6.
- commit 2e67843
-------------------------------------------------------------------
Thu Aug 12 20:38:21 CEST 2010 - gregkh@suse.de
- Refresh patches.fixes/novfs-lindent.
Someone needs to remember to actually test out their patches before
adding them to the repo...
- commit 6569c56
-------------------------------------------------------------------
Thu Aug 12 19:24:58 CEST 2010 - jeffm@suse.com
- doc/README.SUSE: Updated to describe the process used to add custom patches
- commit e5f4670
-------------------------------------------------------------------
Thu Aug 12 08:54:30 CEST 2010 - jslaby@suse.cz
- patches.fixes/vmscan-fix-stalls.patch: vmscan: raise the bar
to PAGEOUT_IO_SYNC stalls (bnc#625339).
- commit 1efea94
-------------------------------------------------------------------
Wed Aug 11 23:34:07 CEST 2010 - jack@suse.cz
- novfs: Lindent novfs sources.
- commit 361594a
-------------------------------------------------------------------
Wed Aug 11 06:08:17 CEST 2010 - neilb@suse.de
- Refresh
patches.fixes/nfsd-05-sunrpc-cache-allow-thread-to-block-while-waiting-for.patch.
- Refresh patches.fixes/sunrpc-monotonic-expiry.
- Delete patches.fixes/nfs-write.c-bug-removal.patch.
Update some patches for 2.6.35
- commit 15d7a62
-------------------------------------------------------------------
Wed Aug 11 05:42:36 CEST 2010 - neilb@suse.de
- NFS: allow close-to-open cache semantics to apply to root of
NFS filesystem (bnc#584720).
- commit deea101
-------------------------------------------------------------------
Tue Aug 10 19:19:37 CEST 2010 - tiwai@suse.de
- ALSA: hda - patch_nvhdmi.c: Add missing codec IDs, unify names
(bnc#627212).
- ALSA: hda - Add pin-fix for HP dc5750 (bnc#624118).
- commit 4844a71
-------------------------------------------------------------------
Mon Aug 9 16:28:00 CEST 2010 - jbeulich@novell.com
- Update Xen patches to 2.6.35 final and c/s 1025.
- create devices in /dev/xen when they are expected to be
used there.
- xen/netback: Allow setting of large MTU before rings have
connected.
- xen/netback: Always pull through PKT_PROT_LEN bytes into the
linear part of an skb.
- pass trigger mode and polarity information to Xen for all
interrupts.
- Update Xen config files.
- supported.conf: Add pci-iomul.ko.
- commit c642c1b
-------------------------------------------------------------------
Fri Aug 6 17:03:09 CEST 2010 - jeffm@suse.de
- patches.xen/xen3-auto-xen-arch.diff: Update struct acpi_power_register
usage from upstream commit 718be4aa.
- commit 4ce0b48
-------------------------------------------------------------------
Fri Aug 6 16:09:39 CEST 2010 - jeffm@suse.de
- Update to 2.6.35-final and refresh patch set.
- commit a40327f
-------------------------------------------------------------------
Mon Aug 2 14:39:22 CEST 2010 - mmarek@suse.cz
- rpm/kernel-source.spec.in: Package log.sh in the source rpm
- commit 53246ff
-------------------------------------------------------------------
Fri Jul 23 20:09:25 CEST 2010 - jack@suse.cz
- novfs: Fix error codes for getxattr for novfs (bnc#529535).
- commit 06b3243
-------------------------------------------------------------------
Fri Jul 23 18:25:37 CEST 2010 - jeffm@suse.de
- Update to 2.6.35-rc6.
- commit 643df99
-------------------------------------------------------------------
Fri Jul 23 12:15:59 CEST 2010 - jack@suse.cz
- novfs: code cleanup for one case of novfs return value
(bnc#624606).
- commit 4875860
-------------------------------------------------------------------
Wed Jul 21 11:07:10 CEST 2010 - jack@suse.cz
- Fixed patch headers of patches.fixes/novfs-xattr-memleak
- commit 65ab01f
-------------------------------------------------------------------
Wed Jul 21 10:47:22 CEST 2010 - jack@suse.cz
- novfs: Fix a memory leak that causes an OOM condition
(bnc#610828).
- commit 519d8a0
-------------------------------------------------------------------
Tue Jul 20 14:01:26 CEST 2010 - jack@suse.cz
- novfs: backing device info initialization (bnc#623472).
- commit b576dae
-------------------------------------------------------------------
Tue Jul 20 12:55:33 CEST 2010 - mmarek@suse.cz
- scripts/tar-up.sh: Generate the rpm changelog using gitlog2changes.
- Move kernel-source.changes.old to rpm/ (not included in the pachage
though).
- commit 8ed2389
-------------------------------------------------------------------
Tue Jul 20 11:22:47 CEST 2010 - jblunck@suse.de
- rpm/package-descriptions: Change summary/description for trace flavor (bnc#488692).
- commit da8e17e
-------------------------------------------------------------------
Mon Jul 19 17:23:30 CEST 2010 - mmarek@suse.cz
- Move rpm changelog to kernel-source.changes.old
- commit 93036bd
-------------------------------------------------------------------
Thu Jul 15 11:47:45 CEST 2010 - bphilips@suse.de
- X86_MRST: Disable moorsetown since it deselects SERIO_I8042
- See 0b28bac5aef7bd1ab213723df031e61db9ff151a, fixed in -tip but we
still don't want X86_MRST
-------------------------------------------------------------------
Wed Jul 14 18:39:08 CEST 2010 - trenn@suse.de
- patches.arch/acpi_fix_fadt_32_bit_zero_length.patch: Only use
32 bit addresses if they have a valid length (bug#581644).
-------------------------------------------------------------------
Wed Jul 14 16:21:46 CEST 2010 - bphilips@suse.de
- Update -desktop config files to enable CGROUPS for systemd
-------------------------------------------------------------------
Wed Jul 14 14:04:36 CEST 2010 - jeffm@suse.de
- Update to 2.6.35-rc5.
- Eliminated 5 patches.
-------------------------------------------------------------------
Tue Jul 13 14:39:51 CEST 2010 - jack@suse.de
- patches.fixes/novfs-overflow-fixes: novfs: security: Add buffer
overflow, integer wraparound fixes (bnc#594362).
-------------------------------------------------------------------
Wed Jul 7 16:53:17 CEST 2010 - jbeulich@novell.com
- Update Xen patches to 2.6.35-rc4.
-------------------------------------------------------------------
Wed Jul 7 16:45:02 CEST 2010 - jeffm@suse.de
- patches.suse/xfs-dmapi-2.6.35-api-changes: Updated for -debug flavor.
-------------------------------------------------------------------
Wed Jul 7 15:57:27 CEST 2010 - jeffm@suse.de
- Update config files for vanilla.
-------------------------------------------------------------------
Wed Jul 7 12:14:43 CEST 2010 - mmarek@suse.cz
- rpm/kernel-source.spec.in: Install config-option.changes
This file documents the changes in the kernel configs.
-------------------------------------------------------------------
Wed Jul 7 10:37:59 CEST 2010 - mmarek@suse.cz
- patches.rpmify/kbuild-setlocalversion-fix: kbuild: Fix path
to scripts/setlocalversion.
-------------------------------------------------------------------
Wed Jul 7 00:27:53 CEST 2010 - jeffm@suse.de
- Update to 2.6.35-rc4.
- Eliminated 4 patches.
-------------------------------------------------------------------
Fri Jul 2 17:21:45 CEST 2010 - jeffm@suse.de
- patches.fixes/iwlwifi-fix-tx-power-configuration-on-3945-and-4965-devices:
iwlwifi: fix TX power configuration on 3945 and 4965 devices
(bnc#619440 bnc#610421).
-------------------------------------------------------------------
Fri Jul 2 11:27:54 CEST 2010 - jbeulich@novell.com
- patches.suse/stack-unwind: Fix after upstream commit
9e565292270a2d55524be38835104c564ac8f795.
-------------------------------------------------------------------
Fri Jul 2 10:54:39 CEST 2010 - trenn@suse.de
- patches.arch/x86_mce_intel_decode_physical_fix_dynamic_debug.patch:
For some reason the macro used by dynamic debug breaks things
(bnc#619416).
-------------------------------------------------------------------
Fri Jul 2 10:42:19 CEST 2010 - trenn@suse.de
Mainline goes another way (bug #476509).
Also there is a problem with this patch, breaking dynamic debugging
(bnc#619416) -> just remove it, there will show up something else
mainline.
- patches.xen/xen3-auto-arch-x86.diff: Refresh.
- patches.arch/x86_mce_intel_decode_physical_address.patch:
Delete.
- patches.arch/x86_mce_intel_decode_physical_address_compile_fix.patch:
Delete.
- patches.arch/x86_mce_intel_decode_physical_address_rename_fix.patch:
Delete.
-------------------------------------------------------------------
Thu Jul 1 20:19:51 CEST 2010 - jeffm@suse.de
- patches.suse/dm-raid45-api-update-remove-dm_put-after-dm_table_get_md:
dm-raid45: API update: Remove dm_put after dm_table_get_md
(bnc#615656).
-------------------------------------------------------------------
Thu Jul 1 09:09:17 CEST 2010 - jdelvare@suse.de
- patches.fixes/e1000e-don-t-inadvertently-re-set-INTX_DISABLE.patch:
Update references (bnc#610362).
-------------------------------------------------------------------
Thu Jul 1 01:02:25 CEST 2010 - bphilips@suse.de
- patches.drivers/ixgbe-disable-tx-engine-before-disabling-tx-laser.patch:
ixgbe: disable tx engine before disabling tx laser.
- patches.drivers/ixgbe-fix-panic-when-shutting-down-system-with-WoL-e.patch:
ixgbe: fix panic when shutting down system with WoL enabled.
- patches.drivers/ixgbe-skip-non-IPv4-packets-in-ATR-filter.patch:
ixgbe: skip non IPv4 packets in ATR filter.
- patches.fixes/e1000e-don-t-inadvertently-re-set-INTX_DISABLE.patch:
e1000e: don't inadvertently re-set INTX_DISABLE.
-------------------------------------------------------------------
Wed Jun 30 20:20:58 CEST 2010 - bphilips@suse.de
- patches.drivers/e1000-enhance-frame-fragment-detection.patch:
Delete.
- patches.drivers/e1000e-enhance-frame-fragment-detection.patch:
Delete.
-------------------------------------------------------------------
Tue Jun 29 02:38:57 CEST 2010 - nfbrown@suse.de
- patches.fixes/nfs_wb_page_deadlock.fix: NFS: Fix another
nfs_wb_page() deadlock (bnc#612794).
-------------------------------------------------------------------
Mon Jun 28 22:19:17 CEST 2010 - mmarek@suse.cz
- rpm/find-provides: Add base symsets to the main subpackage.
-------------------------------------------------------------------
Fri Jun 25 17:14:03 CEST 2010 - jeffm@suse.de
- Update config files for sparc64/net to remove unnecessary options.
-------------------------------------------------------------------
Fri Jun 25 15:41:20 CEST 2010 - jeffm@suse.de
- patches.suse/add-initramfs-file_read_write: Fixed typo.
-------------------------------------------------------------------
Fri Jun 25 08:52:45 CEST 2010 - jbeulich@novell.com
- patches.arch/kvm-split-paravirt-ops-by-functionality: Adjust
Kconfig style to match upstream.
- patches.fixes/seccomp-disable-tsc-option: Adjust Kconfig style
to match upstream.
- patches.suse/SoN-11-mm-reserve.patch: Fix compiler warning.
-------------------------------------------------------------------
Fri Jun 25 08:46:24 CEST 2010 - jbeulich@novell.com
- Update Xen patches to 2.6.35-rc3.
- config.conf: Re-enable Xen.
- Update x86 config files.
-------------------------------------------------------------------
Thu Jun 24 21:02:48 CEST 2010 - agruen@suse.de
- Replace the nfs4acl patches by their successor patch queue,
richacls (see http://www.suse.de/~agruen/richacl/).
- Update config files: CONFIG_EXT4_FS_RICHACL=y.
-------------------------------------------------------------------
Thu Jun 24 20:33:23 CEST 2010 - jeffm@suse.de
- patches.fixes/ext4-make-sure-the-move_ext-ioctl-can-t-overwrite-append-only-files:
ext4: Make sure the MOVE_EXT ioctl can't overwrite append-only
files (bnc#612457 CVE-2010-2066).
-------------------------------------------------------------------
Thu Jun 24 16:58:40 CEST 2010 - jeffm@suse.de
- patches.fixes/gfs2-fix-writing-to-non-page-aligned-gfs2_quota-structures:
GFS2: Fix writing to non-page aligned gfs2_quota structures
(bnc#599957 CVE-2010-1436).
-------------------------------------------------------------------
Thu Jun 24 16:38:14 CEST 2010 - jeffm@suse.de
- patches.fixes/gfs2-fix-permissions-checking-for-setflags-ioctl:
GFS2: Fix permissions checking for setflags ioctl() (bnc#608576
CVE-2010-1641).
-------------------------------------------------------------------
Thu Jun 24 11:30:08 CEST 2010 - jdelvare@suse.de
- patches.drivers/hwmon-it87-fix-in7-on-IT8720F.patch: hwmon:
(it87) Fix in7 on IT8720F (bnc#612910).
-------------------------------------------------------------------
Thu Jun 24 11:20:34 CEST 2010 - jdelvare@suse.de
- patches.drivers/hwmon-it87-fix-in7-on-IT8720F.patch: hwmon:
(it87) Fix in7 on IT8720F (bnc#612910).
-------------------------------------------------------------------
Thu Jun 24 00:05:06 CEST 2010 - jeffm@suse.de
- btrfs fix rollup from v2.6.35:
- patches.fixes/btrfs-handle-err_ptr-from-posix_acl_from_xattr:
Btrfs: handle ERR_PTR from posix_acl_from_xattr().
- patches.fixes/btrfs-avoid-bug-when-dropping-root-and-reference-in-same-transaction:
Btrfs: avoid BUG when dropping root and reference in same
transaction.
- patches.fixes/btrfs-prohibit-a-operation-of-changing-acl-s-mask-when-noacl-mount-option-used:
Btrfs: prohibit a operation of changing acl's mask when noacl
mount option used.
- patches.fixes/btrfs-should-add-a-permission-check-for-setfacl:
Btrfs: should add a permission check for setfacl.
- patches.fixes/btrfs-btrfs_lookup_dir_item-can-return-err_ptr:
Btrfs: btrfs_lookup_dir_item() can return ERR_PTR.
- patches.fixes/btrfs-btrfs_read_fs_root_no_name-returns-err_ptrs:
Btrfs: btrfs_read_fs_root_no_name() returns ERR_PTRs.
- patches.fixes/btrfs-unwind-after-btrfs_start_transaction-errors:
Btrfs: unwind after btrfs_start_transaction() errors.
- patches.fixes/btrfs-btrfs_iget-returns-err_ptr: Btrfs:
btrfs_iget() returns ERR_PTR.
- patches.fixes/btrfs-handle-kzalloc-failure-in-open_ctree:
Btrfs: handle kzalloc() failure in open_ctree().
- patches.fixes/btrfs-handle-error-returns-from-btrfs_lookup_dir_item:
Btrfs: handle error returns from btrfs_lookup_dir_item().
- patches.fixes/btrfs-fix-bug_on-for-fs-converted-from-extn:
Btrfs: Fix BUG_ON for fs converted from extN.
- patches.fixes/btrfs-fix-null-dereference-in-relocation-c:
Btrfs: Fix null dereference in relocation.c.
- patches.fixes/btrfs-fix-remap_file_pages-error: Btrfs: fix
remap_file_pages error.
- patches.fixes/btrfs-uninitialized-data-is-check_path_shared:
Btrfs: uninitialized data is check_path_shared().
- patches.fixes/btrfs-fix-fallocate-regression: Btrfs: fix
fallocate regression.
- patches.fixes/btrfs-fix-loop-device-on-top-of-btrfs: Btrfs:
fix loop device on top of btrfs.
- patches.fixes/btrfs-add-more-error-checking-to-btrfs_dirty_inode:
Btrfs: add more error checking to btrfs_dirty_inode.
- patches.fixes/btrfs-allow-unaligned-dio: Btrfs: allow unaligned
DIO.
- patches.fixes/btrfs-drop-verbose-enospc-printk: Btrfs: drop
verbose enospc printk.
- patches.fixes/btrfs-fix-block-generation-verification-race:
Btrfs: Fix block generation verification race.
- patches.fixes/btrfs-fix-preallocation-and-nodatacow-checks-in-o_direct:
Btrfs: fix preallocation and nodatacow checks in O_DIRECT.
- patches.fixes/btrfs-avoid-enospc-errors-in-btrfs_dirty_inode:
Btrfs: avoid ENOSPC errors in btrfs_dirty_inode.
- patches.fixes/btrfs-move-o_direct-space-reservation-to-btrfs_direct_io:
Btrfs: move O_DIRECT space reservation to btrfs_direct_IO.
- patches.fixes/btrfs-rework-o_direct-enospc-handling: Btrfs:
rework O_DIRECT enospc handling.
- patches.fixes/btrfs-use-async-helpers-for-dio-write-checksumming:
Btrfs: use async helpers for DIO write checksumming.
- patches.fixes/btrfs-don-t-walk-around-with-task-state-task_running:
Btrfs: don't walk around with task->state != TASK_RUNNING.
- patches.fixes/btrfs-do-aio_write-instead-of-write: Btrfs:
do aio_write instead of write.
- patches.fixes/btrfs-add-basic-dio-read-write-support: Btrfs:
add basic DIO read/write support.
- patches.fixes/direct-io-add-a-hook-for-the-fs-to-provide-its-own-submit_bio-function:
direct-io: add a hook for the fs to provide its own submit_bio
function.
- patches.fixes/btrfs-metadata-enospc-handling-for-balance:
Btrfs: Metadata ENOSPC handling for balance.
- patches.fixes/btrfs-pre-allocate-space-for-data-relocation:
Btrfs: Pre-allocate space for data relocation.
- patches.fixes/btrfs-metadata-enospc-handling-for-tree-log:
Btrfs: Metadata ENOSPC handling for tree log.
- patches.fixes/btrfs-metadata-reservation-for-orphan-inodes:
Btrfs: Metadata reservation for orphan inodes.
- patches.fixes/btrfs-introduce-global-metadata-reservation:
Btrfs: Introduce global metadata reservation.
- patches.fixes/btrfs-update-metadata-reservation-for-delayed-allocation:
Btrfs: Update metadata reservation for delayed allocation.
- patches.fixes/btrfs-integrate-metadata-reservation-with-start_transaction:
Btrfs: Integrate metadata reservation with start_transaction.
- patches.fixes/btrfs-introduce-contexts-for-metadata-reservation:
Btrfs: Introduce contexts for metadata reservation.
- patches.fixes/btrfs-kill-init_btrfs_i: Btrfs: Kill
init_btrfs_i().
- patches.fixes/btrfs-shrink-delay-allocated-space-in-a-synchronized:
Btrfs: Shrink delay allocated space in a synchronized.
- patches.fixes/btrfs-kill-allocate_wait-in-space_info: Btrfs:
Kill allocate_wait in space_info.
- patches.fixes/btrfs-link-block-groups-of-different-raid-types:
Btrfs: Link block groups of different raid types.
- patches.fixes/nilfs-fix-breakage-caused-by-barrier-flag-changes:
nilfs: fix breakage caused by barrier flag changes.
- patches.fixes/blkdev-generalize-flags-for-blkdev_issue_fn-functions:
blkdev: generalize flags for blkdev_issue_fn functions.
-------------------------------------------------------------------
Wed Jun 23 17:21:41 CEST 2010 - jeffm@suse.de
- patches.suse/add-initramfs-file_read_write: Fixed typo.
-------------------------------------------------------------------
Tue Jun 22 13:41:45 CEST 2010 - teheo@suse.de
- patches.drivers/libata-ata_generic-force_dma: ata_generic:
implement ATA_GEN_* flags and force enable DMA on MBP 7,1
(bko#15923).
-------------------------------------------------------------------
Tue Jun 22 13:38:16 CEST 2010 - knikanth@suse.de
- patches.suse/dm-raid45-26-Nov-2009.patch: DMRAID45 module
(bnc#615906, bnc#565962).
- patches.suse/dm-raid45_2.6.27_20081027.patch: Delete.
- Sync dm-raid45 to the later version.
-------------------------------------------------------------------
Tue Jun 22 13:17:40 CEST 2010 - mmarek@suse.cz
- rpm/config.sh: Build against openSUSE:11.3.
-------------------------------------------------------------------
Tue Jun 22 12:19:33 CEST 2010 - knikanth@suse.de
- patches.suse/dm-raid45-26-Nov-2009.patch: DMRAID45 module
(bnc#615906, bnc#565962).
- patches.suse/dm-raid45_2.6.27_20081027.patch: Delete.
- Sync dm-raid45 to the later version.
-------------------------------------------------------------------
Mon Jun 21 18:45:29 CEST 2010 - jeffm@suse.de
- Resync v2.6.35 with master.
-------------------------------------------------------------------
Mon Jun 21 18:34:42 CEST 2010 - jeffm@suse.de
- patches.suse/acpi-generic-initramfs-table-override-support:
Refresh.
- patches.suse/init-move-populate_rootfs-back-to-start_kernel:
Refresh.
-------------------------------------------------------------------
Mon Jun 21 14:53:16 CEST 2010 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Require a mkinitrd that supports
KMS (bnc#615680).
-------------------------------------------------------------------
Mon Jun 21 01:27:59 CEST 2010 - jeffm@suse.de
- patches.suse/add-initramfs-file_read_write: Fix missing kmap calls
while loading initramfs files.
-------------------------------------------------------------------
Mon Jun 21 01:25:19 CEST 2010 - jeffm@suse.de
- patches.suse/add-initramfs-file_read_write: Fix missing kmap of
pages for initramfs loading.
-------------------------------------------------------------------
Fri Jun 18 15:54:41 CEST 2010 - jbeulich@novell.com
- patches.xen/xen3-driver-core-add-devname-module-aliases-to-allow-module-on-demand-auto-loading.patch:
driver core: add devname module aliases to allow module
on-demand auto-loading.
- Refresh other Xen patches.
- Update Xen config files.
-------------------------------------------------------------------
Thu Jun 17 11:54:01 CEST 2010 - teheo@suse.de
- patches.drivers/libata-ata_generic-mcp89-mbp71:
ahci,ata_generic: let ata_generic handle new MBP w/ MCP89
(bko#15923).
-------------------------------------------------------------------
Wed Jun 16 20:01:01 CEST 2010 - jeffm@suse.de
- Update to 2.6.35-rc3.
- Eliminated 1 patch.
-------------------------------------------------------------------
Mon Jun 14 13:47:57 CEST 2010 - jslaby@suse.de
- patches.fixes/pci-hotplug-cpqphp-fix-crash.patch: PCI:
hotplug/cpqphp, fix NULL dereference (bnc#609338).
-------------------------------------------------------------------
Wed Jun 9 22:01:21 CEST 2010 - jeffm@suse.de
- patches.rpmify/ceph-atomic_long-init-fix: ceph: fix atomic64_t
initialization on ia64.
-------------------------------------------------------------------
Tue Jun 8 20:32:43 CEST 2010 - jeffm@suse.de
- patches.trace/utrace-core: Workaround missing kref_set().
-------------------------------------------------------------------
Tue Jun 8 20:20:27 CEST 2010 - jeffm@suse.de
- patches.arch/ppc64-xmon-dmesg-printing.patch: Refresh.
-------------------------------------------------------------------
Tue Jun 8 20:17:40 CEST 2010 - jeffm@suse.de
- patches.rpmify/powerpc-kvm-build-failure-workaround: Refresh.
- patches.rpmify/wlags49-missing-strlen-include: wlags49_h2:
build fix.
-------------------------------------------------------------------
Tue Jun 8 19:46:30 CEST 2010 - jeffm@suse.de
- patches.arch/x86_mce_intel_decode_physical_address.patch:
Refresh.
- patches.arch/x86_mce_intel_decode_physical_address_rename_fix.patch:
Refresh.
-------------------------------------------------------------------
Tue Jun 8 17:11:54 CEST 2010 - jeffm@suse.com
- supported.conf: Updated dependencies for 2.6.35
-------------------------------------------------------------------
Mon Jun 7 17:55:56 CEST 2010 - jeffm@suse.com
- patches.kernel.org/revert-tty-fix-a-little-bug-in-scrup-vt-c:
Revert "tty: fix a little bug in scrup, vt.c".
-------------------------------------------------------------------
Mon Jun 7 17:06:01 CEST 2010 - jeffm@suse.com
- Update to 2.6.35-rc2.
-------------------------------------------------------------------
Thu Jun 3 20:28:12 CEST 2010 - jeffm@suse.de
- Re-enable DSDT in initramfs code.
- patches.suse/acpi-don-t-preempt-until-the-system-is-up: acpi:
don't preempt until the system is up.
-------------------------------------------------------------------
Wed Jun 2 18:28:30 CEST 2010 - bphilips@suse.de
- patches.drivers/e1000e-entropy-source.patch: Reintroduce IRQF_SHARED
to fix non-MSI case (bnc#610362).
-------------------------------------------------------------------
Wed Jun 2 10:41:06 CEST 2010 - mmarek@suse.cz
- rpm/kernel-docs.spec.in: More -rt fixes.
-------------------------------------------------------------------
Tue Jun 1 23:04:32 CEST 2010 - jeffm@suse.com
- Update to 2.6.35-rc1.
- Eliminated 13 patches.
- Xen is disabled.
-------------------------------------------------------------------
Tue Jun 1 21:48:10 CEST 2010 - bphilips@suse.de
- patches.drivers/tg3-5785-and-57780-asic-revs-not-working.patch:
tg3: 5785 and 57780 asic revs not working (bnc#580780).
-------------------------------------------------------------------
Tue Jun 1 15:16:08 CEST 2010 - mmarek@suse.cz
- rpm/kernel-module-subpackage: Change the kmp versioning to prefix
the kernel version with "k" to avoid false version downgrades
(bnc#609483).
-------------------------------------------------------------------
Tue Jun 1 15:15:14 CEST 2010 - mmarek@suse.cz
- rpm/kernel-source.spec.in: pcre-tools is not needed.
-------------------------------------------------------------------
Mon May 31 12:48:40 CEST 2010 - mmarek@suse.cz
- rpm/kernel-docs.spec.in: Fix for -rt.
-------------------------------------------------------------------
Wed May 26 16:43:19 CEST 2010 - gregkh@suse.de
- patches.drivers/driver-core-add-devname-module-aliases-to-allow-module-on-demand-auto-loading.patch:
driver core: add devname module aliases to allow module
on-demand auto-loading.
-------------------------------------------------------------------
Tue May 25 20:42:35 CEST 2010 - jeffm@suse.de
- supported.conf: Added mperf, which powernow and acpi-cpufreq now
depend on.
-------------------------------------------------------------------
Tue May 25 19:50:59 CEST 2010 - jeffm@suse.com
- patches.arch/powernow-k8-add-core-performance-boost-support:
powernow-k8: Add core performance boost support (bnc#602209).
- patches.arch/x86-cpu-add-amd-core-boosting-feature-flag-to-proc-cpuinfo:
x86, cpu: Add AMD core boosting feature flag to /proc/cpuinfo.
- patches.arch/x86-cpufreq-add-aperf-mperf-support-for-amd-processors:
x86, cpufreq: Add APERF/MPERF support for AMD processors
(bnc#602209).
-------------------------------------------------------------------
Tue May 25 12:20:47 CEST 2010 - jbeulich@novell.com
- Update Xen patches to 2.6.34 final.
- Update Xen config files.
- patches.xen/xen3-acpi_processor_check_maxcpus.patch: Do not
try to set up acpi processor stuff on cores exceeding maxcpus=
(bnc#601520).
-------------------------------------------------------------------
Mon May 24 16:34:08 CEST 2010 - jbenc@suse.cz
- patches.suse/b43-missing-firmware-info.patch: b43: Change
firmware missing message to refer to openSUSE script.
-------------------------------------------------------------------
Sun May 23 10:37:41 CEST 2010 - teheo@suse.de
- patches.drivers/pci-disable-msi-on-K8M800: pci: disable MSI
on VIA K8M800 (bnc#599508).
-------------------------------------------------------------------
Sat May 22 07:43:34 CEST 2010 - trenn@suse.de
- patches.xen/xen3-auto-common.diff: Refresh.
-------------------------------------------------------------------
Sat May 22 07:13:47 CEST 2010 - trenn@suse.de
- patches.fixes/acpi_processor_check_maxcpus.patch: Do not try
to set up acpi processor stuff on cores exceeding maxcpus=
(bnc#601520).
-------------------------------------------------------------------
Sat May 22 07:11:40 CEST 2010 - trenn@suse.de
- patches.fixes/acpi_processor_check_maxcpus.patch: Do not try
to set up acpi processor stuff on cores exceeding maxcpus=
(bnc#601520).
- patches.fixes/acpi_wmi_debug.patch: X86 platform wmi: Introduce
debug param to log all WMI events (bnc#598059).
- patches.fixes/hp_wmi_fix_acpi_version_integer_size.patch:
x86 platform drivers: hp-wmi fix buffer size depending on ACPI
version (bnc#598059).
- patches.fixes/wmi_debug_pass_guid: X86 platform wmi: Also log
GUID string when an event happens and debug is set (bnc#598059).
- patches.fixes/wmi_dump_wdg_data.patch: X86 platfrom wmi: Add
debug facility to dump WMI data in a readable way (bnc#598059).
-------------------------------------------------------------------
Thu May 20 21:31:10 CEST 2010 - jeffm@suse.com
- Disabled CONFIG_RT2800PCI (bnc#606243)
- These devices are handled by the rt2860 staging driver.
-------------------------------------------------------------------
Mon May 17 17:30:17 CEST 2010 - jeffm@suse.com
- patches.fixes/kvm-ioapic.patch: Refresh.
-------------------------------------------------------------------
Mon May 17 16:19:09 CEST 2010 - jeffm@suse.com
- Update to 2.6.34-final.
-------------------------------------------------------------------
Wed May 12 15:15:24 CEST 2010 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Fix preserving of old symsets.
-------------------------------------------------------------------
Wed May 12 10:43:19 CEST 2010 - jbeulich@novell.com
- Update Xen patches to 2.6.34-rc7 and c/s 1017.
-------------------------------------------------------------------
Tue May 11 20:40:38 CEST 2010 - jeffm@suse.com
- Update config files for vanilla.
-------------------------------------------------------------------
Tue May 11 20:02:24 CEST 2010 - jeffm@suse.com
- Updated to 2.6.34-rc7.
- Eliminated 3 patches.
-------------------------------------------------------------------
Sun May 2 19:55:13 CEST 2010 - jeffm@suse.com
- Update to 2.6.34-rc6.
- Eliminated 2 patches.
-------------------------------------------------------------------
Sun May 2 17:14:46 CEST 2010 - sjayaraman@suse.de
- patches.fixes/cifs-fix-oops-due-to-null-nameidata: Allow null nd
(as nfs server uses) on create (bnc#593940).
-------------------------------------------------------------------
Fri Apr 30 10:30:26 CEST 2010 - trenn@suse.de
- patches.fixes/acpi_ensure_spec_correct_address_space_length.patch:
ACPI/x86/PCI: compute Address Space length rather than using
_LEN (bnc#598641).
-------------------------------------------------------------------
Thu Apr 29 15:13:28 CEST 2010 - jbeulich@novell.com
- Update -ec2 config files (X86_MSR=m again).
-------------------------------------------------------------------
Thu Apr 29 15:09:43 CEST 2010 - jbeulich@novell.com
- Update Xen patches to 2.6.34-rc5-git8 and c/s 1016.
- Update Xen config files.
- patches.xen/xen-kzalloc: use kzalloc() in favor of
kmalloc()+memset().
- patches.xen/xen-fix_trace_power.patch: Rename to ...
- patches.xen/xen3-x86_cpufreq_make_trace_power_frequency_cpufreq_driver_independent.patch:
... this.
-------------------------------------------------------------------
Thu Apr 29 13:11:21 CEST 2010 - knikanth@suse.de
- patches.fixes/dm-release-map_lock-before-set_disk_ro: Refresh.
Fix patch to call dm_table_get directly instead of calling
dm_get_table unnecesarily.
-------------------------------------------------------------------
Thu Apr 29 01:03:00 CEST 2010 - jeffm@suse.com
- Update to 2.6.34-rc5-git8.
- Eliminated 1 patch.
-------------------------------------------------------------------
Wed Apr 28 14:10:41 CEST 2010 - trenn@suse.de
- patches.xen/xen-fix_trace_power.patch: x86 cpufreq: Make
trace_power_frequency cpufreq driver independent (none).
-------------------------------------------------------------------
Wed Apr 28 11:47:11 CEST 2010 - mmarek@suse.cz
- Disable CONFIG_FIRMWARE_IN_KERNEL in all configs, we start udev
early enough in the initrd.
-------------------------------------------------------------------
Wed Apr 28 10:39:04 CEST 2010 - trenn@suse.de
- patches.fixes/acpi-cpufreq_fix_cpu_any_notification.patch:
acpi-cpufreq: Fix CPU_ANY CPUFREQ_{PRE,POST}CHANGE notification
(none).
- patches.trace/x86_cpufreq_make_trace_power_frequency_cpufreq_driver_independent.patch:
x86 cpufreq: Make trace_power_frequency cpufreq driver
independent (none).
-------------------------------------------------------------------
Wed Apr 28 10:34:23 CEST 2010 - trenn@suse.de
- Update config files.
Unify X86_MSR and X86_CPUID configs:
- CONFIG_X86_CPUID=m
- CONFIG_X86_MSR=y
for all i386 and x86_64 flavors.
-------------------------------------------------------------------
Mon Apr 26 15:45:19 CEST 2010 - jeffm@suse.com
- Disabled CONFIG_TUNE_CELL on ppc64 (bnc#599045)
-------------------------------------------------------------------
Mon Apr 26 03:08:10 CEST 2010 - jeffm@suse.com
- Enabled CONFIG_FIREWIRE (bnc#586172)
- CONFIG_IEEE1394 is still enabled but deprecated.
-------------------------------------------------------------------
Fri Apr 23 17:08:10 CEST 2010 - trenn@suse.de
- patches.fixes/hp_wmi_add_media_key.patch: x86 platform drivers:
hp-wmi Add media key 0x20e8 (bnc#598059).
-------------------------------------------------------------------
Fri Apr 23 16:54:33 CEST 2010 - trenn@suse.de
- patches.fixes/hp-wmi_detect_keys.patch: x86 platform drivers:
hp-wmi Reorder event id processing (bnc#598059).
- patches.fixes/hp_wmi_catch_unkown_event_key_codes.patch: x86
platform drivers: hp-wmi Catch and log unkown event and key
codes correctly (bnc#598059).
- patches.fixes/hp_wmi_use_prefix_string.patch: x86 platform
drivers: hp-wmi Use consistent prefix string for messages
(bnc#598059).
-------------------------------------------------------------------
Thu Apr 22 21:18:11 CEST 2010 - jeffm@suse.com
- patches.suse/s390-Kerntypes.diff: Fix slab.h vs slab_def.h
include ordering in kerntypes.c
-------------------------------------------------------------------
Thu Apr 22 09:47:57 CEST 2010 - mmarek@suse.cz
- rpm/kernel-*.spec.in: Provide %name = %version-%source_rel in
all spec files (bnc#598453).
-------------------------------------------------------------------
Thu Apr 22 09:32:19 CEST 2010 - tiwai@suse.de
- Update config files: Fix remaining CONFIG_LEDS_CLASS=m
-------------------------------------------------------------------
Thu Apr 22 08:24:58 CEST 2010 - tiwai@suse.de
- patches.drivers/input-Add-LED-support-to-Synaptics-device:
Refresh. Fix dependency with LED class.
- Update config files.
-------------------------------------------------------------------
Wed Apr 21 16:44:28 CEST 2010 - tiwai@suse.de
- patches.drivers/input-Add-LED-support-to-Synaptics-device:
input: Add LED support to Synaptics device
(bnc#547370,bnc#582529,bnc#589014).
- patches.drivers/input-Add-support-of-Synaptics-Clickpad-device:
input: Add support of Synaptics Clickpad device
(bnc#547370,bnc#582529,bnc#589014).
- patches.drivers/synaptics-hp-clickpad: Delete.
-------------------------------------------------------------------
Wed Apr 21 03:01:21 CEST 2010 - jeffm@suse.com
- Update to 2.6.34-rc5.
- Eliminated 1 patch.
-------------------------------------------------------------------
Tue Apr 20 00:25:54 CEST 2010 - jack@suse.de
- patches.fixes/novfs-LFS-initialization: fs: novfs: Initialize
super-block with standard macros.
- patches.fixes/novfs-return-ENOTEMPTY-when-deleting-nonempty-dir:
fs: novfs: Return ENOTEMPTY when tyring to delete a non-empty
folder (bnc#583964).
-------------------------------------------------------------------
Mon Apr 19 21:21:26 CEST 2010 - jeffm@suse.de
- patches.fixes/x86-apbt-conditionally-register-cpu-hp-notifier-for-apbt:
x86/apbt: conditionally register cpu hp notifier for apbt
(bko#15786).
-------------------------------------------------------------------
Fri Apr 16 12:29:31 CEST 2010 - mmarek@suse.cz
- rpm/kernel-binary.spec.in, rpm/mkspec, rpm/old-packages.conf:
Obsolete kernel-vmi-base by kernel-pae-base (bnc#594271).
- commit a48fbdf
-------------------------------------------------------------------
Fri Apr 16 12:22:06 CEST 2010 - mmarek@suse.cz
- rpm/mkspec, rpm/old-packages.conf: Explicitly list ppc64 flavors that
obsolete kernel-kdump.
- commit 0a4f75b
-------------------------------------------------------------------
Fri Apr 16 12:12:12 CEST 2010 - mmarek@suse.cz
- rpm/old-packages.conf: s390(x) provides/obsoletes not needed anymore.
- commit 0e039b7
-------------------------------------------------------------------
Thu Apr 15 15:13:15 CEST 2010 - jbeulich@novell.com
- Update Xen patches to 2.6.34-rc4 and c/s 1011.
- patches.xen/xen-netfront-ethtool: netfront: ethtool -i does
not return info about xennet driver (bnc#591179).
- patches.xen/xen-no-reboot-vector: eliminate REBOOT_VECTOR.
- patches.xen/xen-x86_64-kern_addr_valid: x86-64:
kern_addr_valid() must not walk page tables mapping hypervisor
space (bnc#591371).
- Update Xen config files.
- supported.conf: drivers/xen/evtchn.ko is supported.
-------------------------------------------------------------------
Thu Apr 15 02:17:03 CEST 2010 - teheo@suse.de
- patches.fixes/block-blk_abort_request-lock-fix: libata/SCSI:
fix locking around blk_abort_request() (bnc#585927).
-------------------------------------------------------------------
Wed Apr 14 22:24:22 CEST 2010 - jeffm@suse.de
- Update to 2.6.34-rc4.
- Eliminated 3 patches.
-------------------------------------------------------------------
Tue Apr 13 13:02:28 CEST 2010 - mmarek@suse.cz
- Update vanilla config files.
-------------------------------------------------------------------
Tue Apr 13 12:39:30 CEST 2010 - tiwai@suse.de
- patches.suse/bootsplash-console-fix: Fix rendering on linux
console with bootsplash (bnc#595657,bnc#594209).
-------------------------------------------------------------------
Tue Apr 13 11:43:30 CEST 2010 - agraf@suse.de
- Update config files to disable KVM on PPC also for ppc/ppc64.
-------------------------------------------------------------------
Tue Apr 13 07:52:50 CEST 2010 - teheo@suse.de
- Update config files to disable CONFIG_DEBUG_BLOCK_EXT_DEVT which was
enabled by 5246824c to ease testing userland handling of ext devt.
-------------------------------------------------------------------
Mon Apr 12 21:01:14 CEST 2010 - jslaby@suse.de
- patches.fixes/hibernation-fix-s2disk.patch: PM / Hibernate:
user.c, fix SNAPSHOT_SET_SWAP_AREA handling (bko#15728).
-------------------------------------------------------------------
Mon Apr 12 18:43:55 CEST 2010 - jack@suse.de
- patches.fixes/novfs-dentry-cache-limit.patch: novfs: Remove
dcache count restricting code (bnc#576026).
-------------------------------------------------------------------
Mon Apr 12 12:55:42 CEST 2010 - jbeulich@novell.com
- patches.arch/x86_64-unwind-annotations: Refresh (bnc#588458).
-------------------------------------------------------------------
Fri Apr 9 18:24:38 CEST 2010 - jeffm@suse.de
- patches.fixes/reiserfs-remove-2-tb-file-size-limit: Fix issue on
32-bit systems.
-------------------------------------------------------------------
Fri Apr 9 10:29:45 CEST 2010 - knikanth@suse.de
- patches.fixes/loop-update-mtime.patch: loop: Update mtime when
writing using aops (bnc#590738).
-------------------------------------------------------------------
Fri Apr 9 00:24:55 CEST 2010 - jeffm@suse.de
- patches.fixes/reiserfs-fix-permissions-on-reiserfs_priv:
reiserfs: Fix permissions on .reiserfs_priv (bnc#593906
CVE-2010-1146).
-------------------------------------------------------------------
Thu Apr 8 16:01:25 CEST 2010 - agraf@suse.de
- Update PPC config files to disable KVM on PPC. It's not ready yet.
Please enable it again as soon as we hit 2.6.35.
-------------------------------------------------------------------
Wed Apr 7 12:41:32 UTC 2010 - jengelh@medozas.de
- Add config/sparc64/net that is light on size. For netbooting,
both the kernel and initrd must fit into 10MB.
-------------------------------------------------------------------
Wed Mar 31 17:12:43 CEST 2010 - jeffm@suse.de
- Updated sparc64 config.
-------------------------------------------------------------------
Wed Mar 31 16:46:56 CEST 2010 - jeffm@suse.de
- Update to 2.6.34-rc3.
-------------------------------------------------------------------
Wed Mar 31 15:58:27 CEST 2010 - mmarek@suse.cz
- doc/README.SUSE: Update some obsolete information.
-------------------------------------------------------------------
Wed Mar 31 14:29:46 CEST 2010 - mmarek@suse.cz
- rpm/kernel-docs.spec.in: Fix path to kernel source.
-------------------------------------------------------------------
Wed Mar 31 14:18:52 CEST 2010 - mmarek@suse.cz
- rpm/configtool.pl, rpm/kernel-binary.spec.in,
rpm/kernel-source.spec.in: Add support for custom config options
in config.addon.tar.bz2. This tarball is expected to have the
same layout as config.tar.bz2 and the config options listed there
take precedence over config.tar.bz2.
-------------------------------------------------------------------
Wed Mar 31 14:03:10 CEST 2010 - mmarek@suse.cz
- rpm/kernel-binary.spec.in, rpm/kernel-source.spec.in, rpm/mkspec:
Generate the chmod +x line automatically.
-------------------------------------------------------------------
Wed Mar 31 13:45:33 CEST 2010 - mmarek@suse.cz
- rpm/kernel-binary.spec.in, rpm/mkspec, scripts/tar-up.sh:
Generate the Source: lines from kernel-source.spec.in.
-------------------------------------------------------------------
Tue Mar 30 19:18:01 CEST 2010 - jeffm@suse.de
- patches.fixes/reiserfs-remove-2-tb-file-size-limit: reiserfs:
Remove 2 TB file size limit (bnc#592100).
-------------------------------------------------------------------
Tue Mar 30 17:03:54 CEST 2010 - mmarek@suse.cz
- rpm/kernel-binary.spec.in, rpm/kernel-source.spec.in, rpm/mkspec:
Generated the NoSource and %setup lines automatically from the
preamble.
-------------------------------------------------------------------
Tue Mar 30 14:36:25 CEST 2010 - mmarek@suse.cz
- rpm/kernel-source.spec.in: Provide $pkg = %version-%source_rel
in kernel-devel and kernel-source-vanilla.
-------------------------------------------------------------------
Mon Mar 29 21:26:55 CEST 2010 - jeffm@suse.de
- patches.fixes/reiserfs-fix-locking-BUG-during-mount-failure:
reiserfs: Fix locking BUG during mount failure (bnc#591807).
-------------------------------------------------------------------
Mon Mar 29 19:57:49 CEST 2010 - jeffm@suse.de
- Disabled MAX63XX_WATCHDOG on s390.
-------------------------------------------------------------------
Mon Mar 29 19:31:46 CEST 2010 - jeffm@suse.de
- Update to 2.6.34-rc2-git3.
- Eliminated 1 patch.
- Fixed ps3 config.
-------------------------------------------------------------------
Mon Mar 29 18:53:20 CEST 2010 - jbeulich@novell.com
- Update Xen patches to 2.6.34-rc2 and c/s 1007.
- Update config files.
- config.conf: Re-enable Xen configs.
- patches.xen/xen-floppy: Xen: improve floppy behavior
(bnc#584216).
- patches.xen/xen-vscsi-module-alias: allow pv scsi hba driver
to be loaded automatically.
- patches.xen/xen-vusb-module-alias: allow pv usb hcd driver to
be loaded automatically (bnc#584213).
-------------------------------------------------------------------
Fri Mar 26 18:27:41 CET 2010 - jkosina@suse.cz
- patches.fixes/hid-fix-gyration-oops.patch: HID: fix oops in
gyration_event() (bnc#589329).
-------------------------------------------------------------------
Thu Mar 25 23:01:11 CET 2010 - jack@suse.de
- patches.fixes/novfs-fix-oops-in-scope-finding: novfs: fix an
oops in novfs scope-finding code (bnc#588579).
-------------------------------------------------------------------
Thu Mar 25 17:48:45 CET 2010 - jeffm@suse.de
- patches.fixes/powerpc-fix-handling-of-strnlen-with-zero-len:
powerpc: fix handling of strnlen with zero len (bnc#582681).
-------------------------------------------------------------------
Tue Mar 23 16:22:37 CET 2010 - jeffm@suse.de
- patches.drivers/lpfc-add-raywire-id: Delete.
-------------------------------------------------------------------
Tue Mar 23 16:17:52 CET 2010 - jeffm@suse.de
- patches.suse/linux-2.6.29-kms-after-sata.patch: Refresh.
-------------------------------------------------------------------
Tue Mar 23 16:00:31 CET 2010 - jeffm@suse.de
- patches.fixes/do_anonymous_page-race: Delete.
-------------------------------------------------------------------
Tue Mar 23 15:50:39 CET 2010 - jeffm@suse.de
- patches.drivers/libata-prefer-over-ide: Delete.
- patches.drivers/libata-ahci-aspire-3810t-noncq: Delete.
- patches.drivers/libata-ata_piix-clear-spurious-IRQ: Delete.
- patches.suse/block-add-mangle-devt-switch: Delete.
-------------------------------------------------------------------
Tue Mar 23 15:44:47 CET 2010 - jeffm@suse.de
- patches.suse/apm_setup_UP.diff: Delete.
-------------------------------------------------------------------
Mon Mar 22 18:37:10 CET 2010 - jeffm@suse.de
- patches.arch/ppc-efika-bestcomm-ata-dma.patch: Delete.
- patches.arch/ppc-efika-mpc52xx-ac97.patch: Delete.
- patches.arch/ppc-efika-psc-console-autodetection.patch: Delete.
- patches.suse/suse-ppc32-mol-BIT: Delete.
- patches.suse/suse-ppc32-mol-get-property: Delete.
- patches.suse/suse-ppc32-mol-handle-mm-fault: Delete.
- patches.suse/suse-ppc32-mol-ioctl: Delete.
- patches.suse/suse-ppc32-mol-kbuild.patch: Delete.
- patches.suse/suse-ppc32-mol-semaphore: Delete.
- patches.suse/suse-ppc32-mol-sheep: Delete.
- patches.suse/suse-ppc32-mol.patch: Delete.
-------------------------------------------------------------------
Mon Mar 22 18:17:00 CET 2010 - jeffm@suse.de
- patches.fixes/dlm-enable-debug.patch: Delete.
-------------------------------------------------------------------
Mon Mar 22 18:16:39 CET 2010 - jeffm@suse.de
- patches.fixes/ds1682-build-fix: Delete.
-------------------------------------------------------------------
Mon Mar 22 17:55:43 CET 2010 - jeffm@suse.de
- patches.suse/kvm-as-kmp: Delete.
-------------------------------------------------------------------
Mon Mar 22 17:32:50 CET 2010 - jeffm@suse.de
- patches.suse/xfs-dmapi-fix-incompatible-pointer-type-warning:
xfs/dmapi: fix incompatible pointer type warning.
-------------------------------------------------------------------
Sun Mar 21 23:30:01 CET 2010 - jeffm@suse.de
- Updated to 2.6.34-rc2.
- Eliminated 4 patches.
-------------------------------------------------------------------
Fri Mar 19 17:33:27 CET 2010 - jbohac@suse.cz
- set CONFIG_IPV6=y for all flavours (bnc#561611)
-------------------------------------------------------------------
Thu Mar 18 18:57:20 CET 2010 - jeffm@suse.de
- Refreshed patch series.
-------------------------------------------------------------------
Wed Mar 17 16:51:34 CET 2010 - jeffm@suse.de
- Updated to 2.6.34-rc1-git6.
- Eliminated 8 patches.
-------------------------------------------------------------------
Tue Mar 16 16:09:25 CET 2010 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Remove Obsoletes: for 10.3 KMPs.
-------------------------------------------------------------------
Tue Mar 16 16:03:45 CET 2010 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Move Obsoletes: msi-wmi-kmp to the
sle11-ga group and make the comment more explanatory.
-------------------------------------------------------------------
Tue Mar 16 14:14:05 CET 2010 - trenn@suse.de
- rpm/kernel-binary.spec.in:
bnc#587578
-------------------------------------------------------------------
Thu Mar 11 16:39:36 CET 2010 - jeffm@suse.de
- patches.rpmify/powerpc-mpc52xx-build-fix: powerpc: Build fix
for mpc52xx.
-------------------------------------------------------------------
Thu Mar 11 11:47:14 CET 2010 - knikanth@suse.de
- patches.fixes/xfs-nonblocking-inode-locking-io-completion.patch:
Fix unintialized variable. Refresh.
-------------------------------------------------------------------
Wed Mar 10 22:14:03 CET 2010 - jeffm@suse.de
- supported.conf: Added kernel/drivers/gpio/max730x, max7301 now
depends on it.
-------------------------------------------------------------------
Wed Mar 10 22:11:16 CET 2010 - jeffm@suse.de
- Enabled CONFIG_DRM_RADEON_KMS; Matching KMS-enabled X.org has
been committed to Factory.
-------------------------------------------------------------------
Wed Mar 10 22:06:12 CET 2010 - jeffm@suse.de
- patches.rpmify/powerpc-kvm-build-failure-workaround: powerpc:
kvm build failure workaround.
-------------------------------------------------------------------
Wed Mar 10 22:03:49 CET 2010 - jeffm@suse.de
- patches.rpmify/powerpc-mpc52xx-build-fix: powerpc: Build fix
for mpc52xx.
-------------------------------------------------------------------
Wed Mar 10 21:07:27 CET 2010 - jeffm@suse.de
- supported.conf: Added kernel/drivers/i2c/i2c-smbus, i2c-parport
now depends on it.
-------------------------------------------------------------------
Wed Mar 10 20:26:13 CET 2010 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: the dwarfextract package has been
dropped from Factory.
-------------------------------------------------------------------
Wed Mar 10 20:22:20 CET 2010 - jeffm@suse.de
- Update config files for vanilla.
-------------------------------------------------------------------
Wed Mar 10 18:48:00 CET 2010 - jdelvare@suse.de
- supported.conf: Add hwmon/ams back.
-------------------------------------------------------------------
Wed Mar 10 14:52:16 CET 2010 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Fix symsets for non-split kernels.
-------------------------------------------------------------------
Wed Mar 10 13:09:33 CET 2010 - mmarek@suse.cz
- rpm/kernel-source.spec.in: Add symsets.pl to the src.rpm.
-------------------------------------------------------------------
Wed Mar 10 00:03:12 CET 2010 - jeffm@suse.de
- Updated to 2.6.34-rc1.
- Eliminated 36 patches.
- Xen is disabled
- Added new doc/config-options.changes to document configuration
changes.
-------------------------------------------------------------------
Tue Mar 9 14:36:48 CET 2010 - mmarek@suse.cz
- rpm/old-packages.conf: Obsolete kernel-vmi by kernel-default.
- rpm/kernel-module-subpackage: Also obsolete vmi KMPs.
- commit bf55479
-------------------------------------------------------------------
Tue Mar 9 13:57:49 CET 2010 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Package symsets in the -devel package.
-------------------------------------------------------------------
Tue Mar 9 13:38:42 CET 2010 - mmarek@suse.cz
- rpm/find-provides: Do not generate ksym(...) provides.
-------------------------------------------------------------------
Tue Mar 9 11:11:55 CET 2010 - mmarek@suse.cz
- rpm/find-provides, rpm/symsets.pl: Generate symsets again
(bnc#582907).
-------------------------------------------------------------------
Fri Mar 5 10:48:50 CET 2010 - knikanth@suse.de
- patches.fixes/xfs-nonblocking-inode-locking-io-completion.patch:
xfs: Non-blocking inode locking in IO completion (bnc#568319).
-------------------------------------------------------------------
Fri Mar 5 02:02:01 UTC 2010 - jengelh@medozas.de
- rpm/kernel-source.spec.in: split devel files and full source
into two rpms, of which only the former is really required for
KMP building
-------------------------------------------------------------------
Fri Mar 5 02:00:50 UTC 2010 - jengelh@medozas.de
- add configs/sparc64/default
-------------------------------------------------------------------
Wed Mar 3 19:38:43 CET 2010 - tonyj@suse.de
- patches.trace/powerpc-rename-irq-tracing: should have been deleted by
previous commit
-------------------------------------------------------------------
Wed Mar 3 14:43:27 CET 2010 - tonyj@suse.de
- remove perfmon2 patches
-------------------------------------------------------------------
Wed Mar 3 14:40:46 CET 2010 - tonyj@suse.de
- Remove lttng-instrumentation patches, they have been removed from
SLE11SP1
- patches.xen/tmem: Refresh.
- patches.xen/xen3-auto-common.diff: Refresh.
-------------------------------------------------------------------
Tue Mar 2 17:26:25 CET 2010 - jbeulich@novell.com
- Update Xen patches to 2.6.33 and c/s 1003.
- patches.xen/xen-clockevents: replace Xen's custom time handling
with such using GENERIC_CLOCKEVENTS infrastructure.
- Update Xen config files.
-------------------------------------------------------------------
Tue Mar 2 04:01:27 CET 2010 - nfbrown@suse.de
- patches.fixes/sunrpc-monotonic-expiry: sunrpc: use monotonic
time in expiry cache (bnc#578668).
-------------------------------------------------------------------
Thu Feb 25 20:06:05 CET 2010 - jeffm@suse.de
- patches.rpmify/ia64-sn-fix-percpu-warnings: Obsolete.
-------------------------------------------------------------------
Thu Feb 25 16:38:31 CET 2010 - mmarek@suse.cz
- Drop include of generated/autoconf.h in our patches, it's not
needed since 2.6.15:
- patches.suse/novfs-client-module: Refresh.
- patches.suse/s390-Kerntypes.diff: Refresh.
- patches.suse/suse-ppc32-mol.patch: Refresh.
-------------------------------------------------------------------
Thu Feb 25 08:39:15 CET 2010 - teheo@suse.de
- scripts/run_oldconfig.sh doesn't update ppc/vanilla for some reason.
Do it manually.
-------------------------------------------------------------------
Thu Feb 25 08:13:40 CET 2010 - teheo@suse.de
- ppc explicitly sets CONFIG_LEDS_TRIGGER_IDE_DISK. Run
scripts/run_oldconfig.sh to fix configs up.
-------------------------------------------------------------------
Thu Feb 25 07:25:49 CET 2010 - teheo@suse.de
- Drop CONFIG_IDE from all configs.
-------------------------------------------------------------------
Thu Feb 25 06:04:24 CET 2010 - jeffm@suse.com
- patches.xen/xen3-patch-2.6.33-rc8-final: Build fix for the
2.6.33-final update.
-------------------------------------------------------------------
Wed Feb 24 21:00:52 CET 2010 - jeffm@suse.de
- Updated to 2.6.33-final.
- Eliminated 4 patches.
-------------------------------------------------------------------
Wed Feb 24 20:02:26 CET 2010 - jeffm@suse.de
- patches.rpmify/ftrace-fix-ftrace_event_call-alignment-for-use-with-gcc-4-5:
ftrace: fix ftrace_event_call alignment for use with gcc 4.5
(bnc#582222).
-------------------------------------------------------------------
Wed Feb 24 14:46:28 CET 2010 - jbeulich@novell.com
- Update Xen patches to 2.6.33-rc8 and c/s 997.
- patches.xen/xen-x86-time-per-cpu: fold per-CPU accounting data
into a structure.
- patches.xen/xen-x86-xtime-lock: reduce contention on xtime_lock
(bnc#569014, bnc#571041, bnc#571769, bnc#572146).
-------------------------------------------------------------------
Wed Feb 24 10:54:56 UTC 2010 - jengelh@medozas.de
- rpm/kernel-source.spec.in: use macros in a few more places
-------------------------------------------------------------------
Tue Feb 23 00:34:32 CET 2010 - jack@suse.de
- patches.fixes/novfs-fix-inode-uid: novfs: Get proper UID when
looking up inode (bnc#486997).
- patches.fixes/novfs-incorrect-filesize-fix: novfs: novfs
reports incorrect file size (bnc#426536).
- patches.fixes/novfs-truncate-fix: novfs: Fixes corruption of
OO documents on NSS Volumes (bnc#508259).
-------------------------------------------------------------------
Sat Feb 20 22:31:31 UTC 2010 - jengelh@medozas.de
- use standard short options in tar commands
-------------------------------------------------------------------
Wed Feb 17 04:07:36 CET 2010 - nfbrown@suse.de
- patches.fixes/nfs-find-crash: Fix potential oops when running
find on an NFS mount. (bnc#573107).
-------------------------------------------------------------------
Tue Feb 16 21:21:22 CET 2010 - jeffm@suse.com
- Set CONFIG_LSM_MMAP_MIN_ADDR=4096 to allow qemu to emulate
other architectures properly (bnc#574654).
-------------------------------------------------------------------
Tue Feb 16 18:10:01 CET 2010 - jeffm@suse.com
- Update to 2.6.33-rc8.
- Eliminated 1 patch.
-------------------------------------------------------------------
Mon Feb 15 19:15:43 CET 2010 - rgoldwyn@suse.de
- patches.fixes/novfs-err_ptr-fix.diff: Oops in novfs:unlink_local
(bnc#569071).
-------------------------------------------------------------------
Fri Feb 12 17:36:05 CET 2010 - trenn@suse.de
- Update config files.
Enable p4_clockmod for i386 desktop
While this is broken by design it allows to remove clocking
limits from the vendor on e.g. eeepc 701
-------------------------------------------------------------------
Fri Feb 12 17:10:47 CET 2010 - jeffm@suse.com
- patches.fixes/taskstats-alignment: delayacct: align to 8 byte
boundary on 64-bit systems (bnc#578065).
-------------------------------------------------------------------
Fri Feb 12 16:57:20 CET 2010 - trenn@suse.de
- patches.fixes/acpi_fix_no_critical_tp.patch: ACPI thermal:
Don't invalidate thermal zone if critical trip point is bad
(bnc#531547).
- patches.fixes/acpi_pci_hot_plug_sanity_checks.patch: ACPI:
acpi_bus_{scan,bus,add}: return -ENODEV if no device was found
(bnc#531547).
- patches.fixes/acpi_thermal_check_trip_points.patch: ACPI
thermal: Check for thermal zone requirement (bnc#531547).
-------------------------------------------------------------------
Fri Feb 12 10:32:54 CET 2010 - jdelvare@suse.de
- supported.conf: saa7111 and saa7114 are gone.
-------------------------------------------------------------------
Wed Feb 10 16:37:00 CET 2010 - jeffm@suse.com
- patches.suse/kdb-fix-kdb_cmds-to-include-the-arch-common-macro:
kdb: fix kdb_cmds to include the arch common macro (bnc#578421).
-------------------------------------------------------------------
Wed Feb 10 02:13:27 CET 2010 - jeffm@suse.com
- patches.suse/kdb-handle-nonexistance-keyboard-controller: kdb:
handle nonexistance keyboard controller (bnc#578051).
-------------------------------------------------------------------
Wed Feb 10 00:29:46 CET 2010 - gregkh@suse.de
- patches.fixes/dvb-l64781.ko-broken-with-gcc-4.5.patch: dvb:
l64781.ko broken with gcc 4.5.
-------------------------------------------------------------------
Tue Feb 9 22:55:21 CET 2010 - jeffm@suse.com
- patches.apparmor/apparmor-check-for-network-in-interrupt-and-work-around:
apparmor: check for network in interrupt and work around
(bnc#492961, bln#350789).
-------------------------------------------------------------------
Tue Feb 9 17:02:26 CET 2010 - jeffm@suse.com
- Update to 2.6.33-rc7.
- Eliminated 1 patch.
-------------------------------------------------------------------
Tue Feb 9 10:09:08 CET 2010 - tiwai@suse.de
- patches.drivers/alsa-sp1-hda-66-idt-hp-mute-led-fix-polarity:
ALSA: hda - Fix default polarity of mute-LED GPIO on 92HD83x/88x
codecs (bnc#578190).
-------------------------------------------------------------------
Mon Feb 8 15:49:01 CET 2010 - tiwai@suse.de
- patches.drivers/alsa-sp1-hda-63-idt-hp-mute-led-detect:
ALSA: hda - Detect HP mute-LED GPIO setup from GPIO counts
(bnc#577927).
- patches.drivers/alsa-sp1-hda-64-idt-hp-mute-led-cleanup: ALSA:
hda - Merge HP mute-LED status callback on both IDT 92HD7x
and 8x codecs (bnc#577927).
- patches.drivers/alsa-sp1-hda-65-idt-hp-mute-led-cleanup2:
ALSA: hda - Remove static gpio_led setup via model (bnc#577927).
-------------------------------------------------------------------
Fri Feb 5 18:10:37 CET 2010 - coly.li@suse.de
- patches.suse/64bytes_lvb_len.diff: Delete from repo and series.conf.
Back to 32bytes lvb length for clvm (bnc#573460).
-------------------------------------------------------------------
Fri Feb 5 16:12:12 CET 2010 - duwe@suse.de
- Update config files: Compile IBM_BSR into kernel, not as module.
(ppc only, bnc#572381)
-------------------------------------------------------------------
Fri Feb 5 16:07:34 CET 2010 - duwe@suse.de
- patches.arch/ppc-pseries-ncpus-1: powerpc: Add static fields
to ibm,client-architecture call (bnc#570909).
- patches.arch/ppc-pseries-ncpus-2: powerpc/pseries: Pass more
accurate number of supported cores to firmware (bnc#570909).
-------------------------------------------------------------------
Fri Feb 5 11:10:01 CET 2010 - coly.li@suse.de
- disable patches.suse/64bytes_lvb_len.diff in series.conf
-------------------------------------------------------------------
Thu Feb 4 15:17:01 CET 2010 - mmarek@suse.de
- rpm/find-provides, rpm/kernel-binary.spec.in: Remove the previous
hack and set STRIP_KEEP_SYMTAB='*/vmlinux-*' instead to avoid
stripping symbols from the ppc vmlinux image (bnc#572148).
-------------------------------------------------------------------
Thu Feb 4 13:23:57 CET 2010 - knikanth@suse.de
- patches.fixes/dm-stripe-zero-stripes: dm-stripe: return -EINVAL
if stripe count is zero (bnc#576312).
-------------------------------------------------------------------
Thu Feb 4 12:17:25 CET 2010 - npiggin@suse.de
- Disable patches.suse/files-slab-rcu.patch.
-------------------------------------------------------------------
Thu Feb 4 11:29:58 CET 2010 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Obsolete iwlagn-2-6-27-kmp
(bnc#559533).
-------------------------------------------------------------------
Thu Feb 4 09:46:35 CET 2010 - tiwai@suse.de
- supported.conf: mark snd-wss-lib unsupported
-------------------------------------------------------------------
Thu Feb 4 09:45:34 CET 2010 - tiwai@suse.de
- patches.drivers/alsa-sp1-hda-61-add-idt92hd88x-support2:
ALSA: hda - Adding support for another IDT 92HD83XXX codec
(bnc#569354).
-------------------------------------------------------------------
Wed Feb 3 19:17:01 CET 2010 - jbohac@suse.cz
- supported.conf: marked em_cmp supported (bnc#568130)
-------------------------------------------------------------------
Wed Feb 3 14:57:19 CET 2010 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: automatically install a matching
-devel package if kernel-source is installed.
-------------------------------------------------------------------
Wed Feb 3 13:06:15 CET 2010 - jbeulich@novell.com
- Update Xen patches to 2.6.33-rc6 and c/s 989.
- patches.xen/xen-netback-generalize: Netback: Generalize
static/global variables into 'struct xen_netbk'.
- patches.xen/xen-netback-kernel-threads: Use Kernel thread to
replace the tasklet.
- patches.xen/xen-netback-multiple-tasklets: Netback:
Multiple tasklets support.
-------------------------------------------------------------------
Tue Feb 2 15:59:38 CET 2010 - jkosina@suse.cz
- patches.suse/suse-ppc64-branding: the message about crashed kernel
doesn't make sense any more with CONFIG_CRASH_DUMP enabled by
default (bnc#575884).
-------------------------------------------------------------------
Sat Jan 30 21:51:35 CET 2010 - jeffm@suse.com
- Update to 2.6.33-rc6.
- Eliminated 12 patches.
-------------------------------------------------------------------
Sat Jan 30 21:30:27 CET 2010 - jeffm@suse.com
- patches.rpmify/hugetlbfs-fix-section-mismatches: Removed __init
from hugetlb_sysfs_add_hstate instead of adding it elsewhere.
-------------------------------------------------------------------
Sat Jan 30 09:05:13 CET 2010 - jslaby@suse.de
- patches.suse/kdb-common: Fix hid crash (bnc#570591)
-------------------------------------------------------------------
Fri Jan 29 12:11:55 CET 2010 - tiwai@suse.de
- patches.drivers/alsa-sp1-hda-60-add-idt92hd88x-support: ALSA:
hda - Add support for IDT 92HD88 family codecs (bnc#569354).
-------------------------------------------------------------------
Fri Jan 29 11:14:39 CET 2010 - jkosina@suse.cz
- patches.fixes/pci-fix-nested-spinlock-hang-in-aer_inject.patch:
Update patch-mainline tag.
-------------------------------------------------------------------
Fri Jan 29 10:39:59 CET 2010 - jbenc@suse.cz
- Update config files: fixed vanilla configs.
-------------------------------------------------------------------
Thu Jan 28 19:27:54 CET 2010 - tiwai@suse.de
- patches.drivers/alsa-sp1-hda-59-idt92hd83xxx-hp-mute-led: ALSA:
hda - Add mute LED check for HP laptops with IDT 92HD83xxx codec
(bnc#569354).
-------------------------------------------------------------------
Thu Jan 28 16:56:02 CET 2010 - jbeulich@novell.com
- patches.suse/supported-flag: Fix -ec2 build.
- patches.suse/supported-flag-enterprise: Refresh.
- patches.xen/xen3-patch-2.6.33-rc3: Fix ia64 build.
-------------------------------------------------------------------
Thu Jan 28 16:28:01 CET 2010 - jbeulich@novell.com
- Update Xen patches to 2.6.33-rc5 and c/s 987.
- config.conf: Re-enable Xen.
- Update config files.
-------------------------------------------------------------------
Thu Jan 28 14:50:18 CET 2010 - jbenc@suse.cz
- Update config files: enabled CONFIG_CFG80211_WEXT to keep backward
user space compatibility and enabled few wireless drivers.
-------------------------------------------------------------------
Thu Jan 28 14:37:22 CET 2010 - mmarek@suse.cz
- rpm/apply-patches: Add support for an additional series file in
patches.addon/series, this will be applied after the main series.
-------------------------------------------------------------------
Thu Jan 28 11:17:01 CET 2010 - mmarek@suse.cz
- rpm/apply-patches: put the patch loops from kernel-binary.spec.in
and kernel-source.spec.in to one place.
-------------------------------------------------------------------
Wed Jan 27 20:24:43 CET 2010 - jeffm@suse.de
- Deleted 28 unused patches.
-------------------------------------------------------------------
Wed Jan 27 15:56:48 CET 2010 - jeffm@suse.com
- Update config files.
-------------------------------------------------------------------
Wed Jan 27 14:55:29 CET 2010 - jkosina@suse.cz
- patches.fixes/pci-fix-nested-spinlock-hang-in-aer_inject.patch:
pci: fix nested spinlock hang in aer_inject (bnc#573578).
-------------------------------------------------------------------
Wed Jan 27 14:34:07 CET 2010 - mmarek@suse.cz
- Update config files: disable generic_serial users.
- patches.drivers/reenable-generic_serial: Delete.
- rpm/generic_serial-blacklist: Delete (bnc#569676).
-------------------------------------------------------------------
Wed Jan 27 12:57:31 CET 2010 - jengelh@medozas.de
- remove patches.suse/netfilter-ipv4options [bnc#490142]
-------------------------------------------------------------------
Wed Jan 27 00:14:42 CET 2010 - jeffm@suse.com
- patches.suse/slab-handle-memoryless-nodes-v2a.patch: Refresh.
-------------------------------------------------------------------
Tue Jan 26 07:00:33 CET 2010 - knikanth@suse.de
- supported.conf: Fix misspelt dm-region-hash and mark it supported
correctly (bnc#565962)
-------------------------------------------------------------------
Mon Jan 25 21:55:49 CET 2010 - gregkh@suse.de
- Update to 2.6.32.6
- security fixes
- bugfixes
- obsoletes:
- patches.drivers/8250_pnp-wacom-add
- patches.drivers/staging-hv-fix-smp-problems-in-the-hyperv-core-code.patch
- patches.kernel.org/scsi-enclosure-fix-oops-while-iterating-enclosure_status-array.patch
- patches.kernel.org/x86-msr-cpuid-register-enough-minors-for-the-msr-and-cpuid-drivers.patch
-------------------------------------------------------------------
Mon Jan 25 20:43:56 CET 2010 - jeffm@suse.com
- supported.conf: Added sparse_keymap (eeepc_laptop depends on it)
-------------------------------------------------------------------
Mon Jan 25 20:07:23 CET 2010 - npiggin@suse.de
- patches.suse/slab-handle-memoryless-nodes-v2a.patch: slab -
handle memoryless nodes V2a (bnc#436025, bnc#570492).
-------------------------------------------------------------------
Mon Jan 25 17:54:26 CET 2010 - jeffm@suse.com
- Updated to 2.6.33-rc5.
- Eliminated 2 patches.
-------------------------------------------------------------------
Mon Jan 25 16:46:19 CET 2010 - trenn@suse.de
- Update config files.
- patches.arch/x86_mce_intel_decode_physical_address.patch: x86,
mce: Xeon75xx specific interface to get corrected memory error
information (bnc#573380, fate#307738).
- patches.arch/x86_mce_intel_decode_physical_address_compile_fix.patch:
x86, mce: Xeon75xx specific interface to get corrected memory
error information (bnc#573380, fate#307738).
- patches.arch/x86_mce_intel_decode_physical_address_rename_fix.patch:
x86, mce: Rename cpu_specific_poll to mce_cpu_specific_poll
(bnc#573380, fate#307738).
- patches.xen/xen3-auto-arch-x86.diff: Refresh.
-------------------------------------------------------------------
Mon Jan 25 14:13:08 CET 2010 - tiwai@suse.de
- patches.drivers/alsa-sp1-hda-57-cx5051-toshiba-quirk:
ALSA: hda - Add support for Toshiba Satellite M300
(bnc#492233,bnc#565904).
- patches.drivers/alsa-sp1-hda-58-cx5051-lenovo-mute-fix: ALSA:
hda - Change headphone pin control with master volume on cx5051
(bnc#573050).
-------------------------------------------------------------------
Mon Jan 25 12:30:14 CET 2010 - trenn@suse.de
- patches.arch/x86_enable_tsc_sync_check_again.patch: x86:
Reenable TSC sync check at boot, even with NONSTOP_TSC
(bnc#573379).
-------------------------------------------------------------------
Mon Jan 25 12:22:55 CET 2010 - trenn@suse.de
- patches.arch/x86_node_hotplug_parse_srat_fix_2nd_ver.patch:
x86: Set hotpluggable nodes in nodes_possible_map (bnc#567216).
- patches.arch/x86_node_hotplug_parse_srat_fix.patch: Delete.
-------------------------------------------------------------------
Mon Jan 25 11:45:39 CET 2010 - trenn@suse.de
- supported.conf:
Add mce-inject and hwpoison-inject so that Intel can easier test
this. As these are debug drivers, they might get reverted from
support.conf again if kernel-extra package gets fixed for SLES,
only seem to exist for SLED because "NCC is not yet working for SP1".
(bnc#572552).
-------------------------------------------------------------------
Sat Jan 23 02:54:31 CET 2010 - bphilips@suse.de
- patches.drivers/tg3-updates-from-f4188d-to-ba5b0bf.patch: tg3:
updates from f4188d to ba5b0bf (bnc#573237).
-------------------------------------------------------------------
Sat Jan 23 01:57:20 CET 2010 - rjw@suse.de
- patches.arch/x86-irq-check-move_in_progress-before-freeing-the-vector-mapping.patch:
x86, irq: Check move_in_progress before freeing the vector
mapping (bnc#558247).
-------------------------------------------------------------------
Sat Jan 23 01:36:27 CET 2010 - rjw@suse.de
- patches.arch/x86-apic-use-logical-flat-for-systems-with-8-or-fewer-logical-cpus.patch:
x86, apic: use logical flat for systems with <= 8 logical cpus
(bnc#567510).
- patches.arch/x86-revert-apic-Use-logical-flat-on-intel-with-8-or-fewer-logical-cpus.patch:
x86: Revert "apic: Use logical flat on intel with <= 8 logical
cpus" (bnc#567510).
-------------------------------------------------------------------
Sat Jan 23 00:52:57 CET 2010 - gregkh@suse.de
- Update to 2.6.32.5:
- security fixes
- bug fixes
- obsoletes:
- patches.drivers/alsa-sp1-hda-54-alc861-capture-fix
- patches.fixes/hid-add-device-ids-for-new-model-of-apple-wireless-keyboard
- patches.fixes/megaraid_sas-fix-permissions-on-poll_mode_io
- patches.fixes/reiserfs-truncate-blocks-not-used-by-a-write.patch
-------------------------------------------------------------------
Fri Jan 22 21:11:54 CET 2010 - tonyj@suse.de
- Update config files: drop UTRACE from default s390 configs
as per communication from Ihno.
-------------------------------------------------------------------
Fri Jan 22 19:48:25 CET 2010 - gregkh@suse.de
- patches.kernel.org/x86-msr-cpuid-register-enough-minors-for-the-msr-and-cpuid-drivers.patch:
x86, msr/cpuid: Register enough minors for the MSR and CPUID
drivers (bnc#572720).
-------------------------------------------------------------------
Fri Jan 22 18:54:28 CET 2010 - gregkh@suse.de
- patches.kernel.org/scsi-enclosure-fix-oops-while-iterating-enclosure_status-array.patch:
SCSI: enclosure: fix oops while iterating enclosure_status array
(bnc#572818).
- patches.fixes/enclosure-fix-oops-while-iterating-enclosure_status-array:
Delete.
-------------------------------------------------------------------
Fri Jan 22 15:50:12 CET 2010 - duwe@suse.de
- Update config files.
- patches.arch/ppc-extended_h_cede-update-to-mainline: Incremental
patch set to sync H_CEDE with actual mainline (bnc#550447,
FATE#307059).
-------------------------------------------------------------------
Fri Jan 22 15:30:21 CET 2010 - trenn@suse.de
- Update config files.
Add DMAR to -trace flavor -> get in sync with -default
-------------------------------------------------------------------
Fri Jan 22 09:17:21 CET 2010 - hare@suse.de
- patches.fixes/qla2xxx-restore-pci-state-after-eeh-recovery:
Re-save PCI state after EEH recovery (bnc#570233).
-------------------------------------------------------------------
Fri Jan 22 07:38:23 CET 2010 - jjolly@suse.de
- patches.arch/s390-06-01-zfcp-introduce-bsg-timeout-callback.patch:
zfcp: introduce BSG timeout callback (BNC#572659).
- patches.arch/s390-06-02-zfcp-set-hw-timeout-requested-by-bsg.patch:
zfcp: set HW timeout requested by BSG request (BNC#572659).
-------------------------------------------------------------------
Fri Jan 22 03:20:49 CET 2010 - bphilips@suse.de
- patches.drivers/netxen-8f9b3f-to-c651a8.patch: netxen: 8f9b3f
to c651a8 (bnc#572832).
-------------------------------------------------------------------
Fri Jan 22 02:39:54 CET 2010 - mfasheh@suse.com
- patches.suse/ocfs2-allocation-resrvations.patch: Refresh to
newest version of patch.
-------------------------------------------------------------------
Thu Jan 21 20:22:46 CET 2010 - astarikovskiy@suse.de
- supported.conf: added power_meter driver (FATE #306959).
-------------------------------------------------------------------
Thu Jan 21 18:16:54 CET 2010 - tonyj@suse.de
- config.conf: add -trace flavor for s390* at Ihno's request
- Add more tracers to existing ppc64 trace config at IBM request
Both related to FATE# 307051.
- patches.trace/ftrace-framepointer.diff: Delete.
-------------------------------------------------------------------
Thu Jan 21 14:41:29 CET 2010 - hare@suse.de
- patches.fixes/scsi-fc-class-allow-LLD-bsg-timeout:
scsi_transport_fc: Allow LLD to reset FC BSG timeout
(bnc#572658).
-------------------------------------------------------------------
Thu Jan 21 13:13:53 CET 2010 - jbeulich@novell.com
- patches.xen/xen-privcmd-mmap-batch-clear: privcmd: mmapbatch-v2
fixes.
-------------------------------------------------------------------
Thu Jan 21 09:08:08 CET 2010 - hare@suse.de
- patches.drivers/lpfc-8.3.5-update: lpfc update to 8.3.5
(bnc#572427).
- patches.drivers/lpfc-8.3.5.3-update: lpfc driver update to
8.3.5.3 (bnc#572427).
-------------------------------------------------------------------
Thu Jan 21 09:04:37 CET 2010 - hare@suse.de
- patches.drivers/e1000-enhance-frame-fragment-detection.patch:
Update headers for series2git.
- patches.drivers/e1000e-enhance-frame-fragment-detection.patch:
Update headers for series2git.
-------------------------------------------------------------------
Wed Jan 20 18:23:18 CET 2010 - tiwai@suse.de
- patches.suse/bootsplash-scaler: Refresh. More updates and
clean-up by Egbert (bnc#570082)
-------------------------------------------------------------------
Wed Jan 20 16:30:38 CET 2010 - jkosina@suse.cz
- patches.drivers/add-support-for-intel-cougar-point-chipset.patch:
Intel Cougar Point PCH Support (FATE#308854 bnc#565845).
-------------------------------------------------------------------
Wed Jan 20 15:07:59 CET 2010 - jslaby@suse.de
- patches.drivers/bnx2x-backports-v2.6.32-to-af901ca.patch:
Refresh.
(change firmwares in makefile)
-------------------------------------------------------------------
Wed Jan 20 13:55:16 CET 2010 - jslaby@suse.de
- patches.suse/rlim-0006-PPC-use-helpers-for-rlimits.patch: Refresh.
- patches.suse/rlim-0007-S390-use-helpers-for-rlimits.patch: Refresh.
-------------------------------------------------------------------
Wed Jan 20 12:10:03 CET 2010 - jbeulich@novell.com
- Update Xen patches to 2.6.32.4 and c/s 984.
- Update Xen config files.
-------------------------------------------------------------------
Wed Jan 20 08:19:53 CET 2010 - bphilips@suse.de
- patches.drivers/bnx2i-backport-from-v2.6.32-to-45ca38e.patch:
bnx2i: Backport from v2.6.32 to 45ca38e (bnc#564640).
- patches.drivers/bnx2x-backports-v2.6.32-to-af901ca.patch:
bnx2x: backports v2.6.32 to af901ca (bnc#564638).
- patches.drivers/cnic-backport-from-v2.6.32-4e9c4f.patch: cnic:
backport from v2.6.32-4e9c4f (bnc#564640).
-------------------------------------------------------------------
Wed Jan 20 00:08:36 CET 2010 - gregkh@suse.de
- Update config files. 2.6.32.4 changed one of them.
-------------------------------------------------------------------
Tue Jan 19 23:40:51 CET 2010 - tiwai@suse.de
- patches.suse/bootsplash-scaler: Refresh. Fixed the text area
calculation, and added the upscaling (bnc#570082).
-------------------------------------------------------------------
Tue Jan 19 21:57:59 CET 2010 - jeffm@suse.com
- Updated to 2.6.33-rc4-git7.
- Eliminated 4 patches.
-------------------------------------------------------------------
Tue Jan 19 18:39:59 CET 2010 - gregkh@suse.de
- Patch refresh for fuzz due to upstream -stable additions.
-------------------------------------------------------------------
Tue Jan 19 18:35:44 CET 2010 - gregkh@suse.de
- Update to 2.6.32.4
- security fixes
- bug fixes
- obsoletes:
- patches.arch/module-handle-ppc64-relocating-kcrctabs-when-config_relocatable-y
- patches.drivers/alsa-sp1-hda-53-alc861vd-capture-fix
- patches.fixes/audit_untag_chunk.patch
- patches.fixes/quota-fix-reserved-space-management-for-ordinary-fs.patch
- patches.fixes/signal-fix-kernel-information-leak-with-print-fatal-signals-1
-------------------------------------------------------------------
Tue Jan 19 18:08:33 CET 2010 - tiwai@suse.de
- patches.drivers/alsa-sp1-hda-55-alc259-hp-pin-fix: ALSA: hda -
Fix parsing pin node 0x21 on ALC259 (bnc#571879).
- patches.drivers/alsa-sp1-hda-56-realtek-eapd-fix: ALSA: hda -
Turn on EAPD only if available for Realtek codecs (bnc#571879).
-------------------------------------------------------------------
Tue Jan 19 18:01:58 CET 2010 - tiwai@suse.de
- patches.suse/bootsplash-scaler: Refresh. Fix the hang-up with
resolution changes (bnc#570082)
-------------------------------------------------------------------
Tue Jan 19 14:36:48 CET 2010 - jkosina@suse.cz
- supported.conf: added aesni-intel (bnc#566618 FATE#304209).
-------------------------------------------------------------------
Tue Jan 19 14:21:10 CET 2010 - jkosina@suse.cz
- Update config files: set CONFIG_CRYPTO_HMAC=y to avoid
regression from SLE11 (bnc#571501).
-------------------------------------------------------------------
Tue Jan 19 13:32:02 CET 2010 - jack@suse.de
- patches.fixes/reiserfs-truncate-blocks-not-used-by-a-write.patch:
reiserfs: truncate blocks not used by a write (bnc#483375).
-------------------------------------------------------------------
Mon Jan 18 22:34:50 CET 2010 - jeffm@suse.de
- patches.suse/smtnice-disable: Delete (obsolete).
-------------------------------------------------------------------
Mon Jan 18 16:22:29 CET 2010 - jeffm@suse.com
- Disabled custom ACPI table loading from initramfs again.
-------------------------------------------------------------------
Mon Jan 18 16:22:08 CET 2010 - jeffm@suse.com
- patches.fixes/nfsd-05-sunrpc-cache-allow-thread-to-block-while-waiting-for.patch:
Refresh.
-------------------------------------------------------------------
Mon Jan 18 14:59:22 CET 2010 - trenn@suse.de
- patches.arch/x86_node_hotplug_parse_srat_fix.patch:
x86/mm/srat_64.c: nodes_parsed should include all nodes detected
by ACPI (bnc#567140).
-------------------------------------------------------------------
Mon Jan 18 09:47:31 CET 2010 - hare@suse.de
- patches.fixes/aic79xx-null-scb-in-nonpkt-busfree: aic79xx: check
for non-NULL scb in ahd_handle_nonpkt_busfree (bnc#542568).
-------------------------------------------------------------------
Mon Jan 18 00:35:36 CET 2010 - nfbrown@suse.de
- patches.fixes/nfsd-05-sunrpc-cache-allow-thread-to-block-while-waiting-for.patch:
Refresh to fix 60 second delay. (bnc#568271)
-------------------------------------------------------------------
Sat Jan 16 02:05:38 CET 2010 - tonyj@suse.de
- patches.fixes/audit_untag_chunk.patch: fix braindamage in
audit_tree.c untag_chunk() (bnc#556282).
-------------------------------------------------------------------
Sat Jan 16 01:44:42 CET 2010 - rjw@suse.de
- patches.xen/xen-x86-rename-display_cacheinfo-to-cpu_detect_cache_sizes.patch:
x86: Rename display_cacheinfo() to cpu_detect_cache_sizes().
-------------------------------------------------------------------
Fri Jan 15 21:42:09 CET 2010 - gregkh@suse.de
- Update config files.
- patches.drivers/vmw_pvscsi-scsi-driver-for-vmware-s-virtual-hba.patch:
vmw_pvscsi: SCSI driver for VMware's virtual HBA.
-------------------------------------------------------------------
Fri Jan 15 20:54:10 CET 2010 - jeffm@suse.com
- patches.fixes/hid-add-device-ids-for-new-model-of-apple-wireless-keyboard:
HID: add device IDs for new model of Apple Wireless Keyboard
(bnc#568231).
-------------------------------------------------------------------
Fri Jan 15 13:47:33 CET 2010 - hare@suse.de
- patches.drivers/qla2xxx-8.03.01.01.11.1-k8-update: qla2xxx
driver update to 8.03.01.01.11.1-k8 (bnc#560415).
- patches.drivers/qla2xxx-8.03.01.02.11.1-k8-update: qla2xxx
driver update to 8.03.01.02.11.1-k8 (bnc#570233).
- patches.drivers/lpfc-add-raywire-id: Delete.
- patches.drivers/qla2xxx-8.03.01-k7-update: Delete.
-------------------------------------------------------------------
Fri Jan 15 13:46:38 CET 2010 - hare@suse.de
- patches.drivers/qla2xxx-8.03.01.01.11.1-k8-update: qla2xxx
driver update to 8.03.01.01.11.1-k8 (bnc#560415).
- patches.drivers/qla2xxx-8.03.01.02.11.1-k8-update: qla2xxx
driver update to 8.03.01.02.11.1-k8 (bnc#570233).
- patches.drivers/lpfc-add-raywire-id: Delete.
- patches.drivers/qla2xxx-8.03.01-k7-update: Delete.
-------------------------------------------------------------------
Fri Jan 15 09:41:39 CET 2010 - trenn@suse.de
- patches.suse/kdb_dont_touch_i8042_early.patch: Avoid early
hang when i8042 controller is missing (bnc#528811).
-------------------------------------------------------------------
Fri Jan 15 03:56:15 CET 2010 - jeffm@suse.com
- patches.suse/reiser4-sync_inodes: Delete (obsolete).
-------------------------------------------------------------------
Fri Jan 15 03:55:52 CET 2010 - jeffm@suse.com
- patches.suse/reiser4-sync_inodes: Delete (obsolete).
-------------------------------------------------------------------
Fri Jan 15 03:39:29 CET 2010 - jeffm@suse.com
- patches.fixes/remount-no-shrink-dcache: Updated to 2.6.33.
-------------------------------------------------------------------
Fri Jan 15 03:22:19 CET 2010 - jeffm@suse.com
- patches.arch/s390-08-02-zfcp-gpn-align-fix.diff: Delete (obsolete).
-------------------------------------------------------------------
Fri Jan 15 03:21:54 CET 2010 - jeffm@suse.com
- patches.suse/smtnice-disable: Updated to 2.6.33.
-------------------------------------------------------------------
Fri Jan 15 03:19:18 CET 2010 - jeffm@suse.com
- patches.arch/s390-08-02-zfcp-gpn-align-fix.diff: Delete (obsolete).
-------------------------------------------------------------------
Fri Jan 15 00:25:16 CET 2010 - mfasheh@suse.com
- patches.fixes/Ocfs2-Let-ocfs2-support-fiemap-for-symlink-and-fast-.patch:
Ocfs2: Let ocfs2 support fiemap for symlink and fast symlink..
- patches.fixes/Ocfs2-Should-ocfs2-support-fiemap-for-S_IFDIR-inode.patch:
Ocfs2: Should ocfs2 support fiemap for S_IFDIR inode?.
- patches.fixes/ocfs-stop-using-do_sync_mapping_range.patch:
ocfs: stop using do_sync_mapping_range.
- patches.fixes/ocfs2-Add-reflinked-file-s-inode-to-inode-hash-earil.patch:
ocfs2: Add reflinked file's inode to inode hash eariler..
- patches.fixes/ocfs2-Find-proper-end-cpos-for-a-leaf-refcount-block.patch:
ocfs2: Find proper end cpos for a leaf refcount block..
- patches.fixes/ocfs2-Set-i_nlink-properly-during-reflink.patch:
ocfs2: Set i_nlink properly during reflink..
- patches.fixes/ocfs2-always-include-acl-support.patch: ocfs2:
Always include ACL support.
- patches.fixes/ocfs2-cluster-Make-fence-method-configurable-v2.patch:
ocfs2/cluster: Make fence method configurable - v2.
- patches.fixes/ocfs2-devel-remove-redundant-OCFS2_MOUNT_POSIX_ACL-c.patch:
ocfs2-devel: remove redundant OCFS2_MOUNT_POSIX_ACL check
in ocfs2_get_acl_nolock().
- patches.fixes/ocfs2-explicit-declare-uninitialized-var-in-user_clu.patch:
ocfs2: explicit declare uninitialized var in
user_cluster_connect().
- patches.fixes/ocfs2-make-acl-use-the-default.patch: ocfs2:
Make acl use the default.
- patches.fixes/ocfs2-refcounttree.c-cleanup.patch: ocfs2:
refcounttree.c cleanup..
- patches.fixes/ocfs2-replace-u8-by-__u8-in-ocfs2_fs.h.patch:
ocfs2: replace u8 by __u8 in ocfs2_fs.h.
- patches.fixes/ocfs2-return-EAGAIN-instead-of-EAGAIN-in-dlm.patch:
ocfs2: return -EAGAIN instead of EAGAIN in dlm.
- patches.fixes/ocfs2-set-MS_POSIXACL-on-remount.patch: ocfs2:
Set MS_POSIXACL on remount.
- patches.fixes/ocfs2-trivial-Use-le16_to_cpu-for-a-disk-value-in-xa.patch:
ocfs2/trivial: Use le16_to_cpu for a disk value in xattr.c.
- patches.fixes/ocfs2-trivial-Use-proper-mask-for-2-places-in-hearbe.patch:
ocfs2/trivial: Use proper mask for 2 places in hearbeat.c.
- patches.suse/fiemap-Add-new-extent-flag-FIEMAP_EXTENT_SHARED.patch:
fiemap: Add new extent flag FIEMAP_EXTENT_SHARED.
- patches.suse/ocfs2-Use-FIEMAP_EXTENT_SHARED.patch: ocfs2:
Use FIEMAP_EXTENT_SHARED.
- patches.suse/ocfs2-allocation-resrvations.patch: Refresh.
-------------------------------------------------------------------
Thu Jan 14 22:40:43 CET 2010 - rjw@suse.de
- patches.arch/x86-Limit-number-of-per-cpu-TSC-sync-messages.patch:
x86: Limit number of per cpu TSC sync messages (bnc#564618,
FATE#306952).
- patches.arch/x86-Limit-the-number-of-processor-bootup-messages.patch:
x86: Limit the number of processor bootup messages (bnc#564618,
FATE#306952).
- patches.arch/x86-Remove-CPU-cache-size-output-for-non-Intel-too.patch:
x86: Remove CPU cache size output for non-Intel too (bnc#564618,
FATE#306952).
- patches.arch/x86-Remove-enabling-x2apic-message-for-every-CPU.patch:
x86: Remove enabling x2apic message for every CPU (bnc#564618,
FATE#306952).
- patches.arch/x86-Remove-the-CPU-cache-size-printks.patch: x86:
Remove the CPU cache size printk's (bnc#564618, FATE#306952).
- patches.arch/x86-cpu-mv-display_cacheinfo-cpu_detect_cache_sizes.patch:
x86, cpu: mv display_cacheinfo -> cpu_detect_cache_sizes
(bnc#564618, FATE#306952).
- patches.arch/x86-ucode-amd-Load-ucode-patches-once-and-not-separately-of-each-CPU.patch:
x86: ucode-amd: Load ucode-patches once and not separately of
each CPU (bnc#564618, FATE#306952).
- patches.fixes/sched-Limit-the-number-of-scheduler-debug-messages.patch:
sched: Limit the number of scheduler debug messages (bnc#564618,
FATE#306952).
- patches.fixes/timers-init-Limit-the-number-of-per-cpu-calibration-bootup-messages.patch:
timers, init: Limit the number of per cpu calibration bootup
messages (bnc#564618, FATE#306952).
-------------------------------------------------------------------
Thu Jan 14 20:54:29 CET 2010 - jeffm@suse.com
- patches.suse/add-initramfs-file_read_write: Build fix.
-------------------------------------------------------------------
Thu Jan 14 20:44:18 CET 2010 - jeffm@suse.com
- patches.suse/supported-flag-enterprise: Refresh.
-------------------------------------------------------------------
Thu Jan 14 20:16:19 CET 2010 - jeffm@suse.com
- patches.suse/supported-flag-sysfs: Properly report proprietary modules
-------------------------------------------------------------------
Thu Jan 14 19:24:32 CET 2010 - jeffm@suse.de
- patches.suse/add-initramfs-file_read_write: initramfs: add
initramfs_{read,write} (bnc#568120).
- Re-enabled custom ACPI table loading from initramfs.
-------------------------------------------------------------------
Thu Jan 14 12:58:33 CET 2010 - trenn@suse.de
- Update config files.
Enable CONFIG_CPU_FREQ_DEBUG as requested by HP.
This one adds conditional printks which can be enabled via:
cpufreq.debug=7
-------------------------------------------------------------------
Thu Jan 14 12:55:42 CET 2010 - tiwai@suse.de
- patches.drivers/alsa-sp1-hda-54-alc861-capture-fix: ALSA: hda -
Fix missing capture mixer for ALC861/660 codecs (bnc#567340).
-------------------------------------------------------------------
Thu Jan 14 10:25:21 CET 2010 - mmarek@suse.de
- rpm/find-provides, rpm/kernel-binary.spec.in: really fix ppc
symbol provides.
-------------------------------------------------------------------
Thu Jan 14 00:10:11 CET 2010 - rjw@suse.de
- patches.arch/UV-Expose-irq_desc-node-in-proc.patch: Expose the
irq_desc node as /proc/irq/*/node. (bnc#566745, fate#306952).
-------------------------------------------------------------------
Wed Jan 13 23:37:52 CET 2010 - bphilips@suse.de
- patches.drivers/dmfe-tulip-Let-dmfe-handle-DM910x-except-for-SPARC-o.patch:
dmfe/tulip: Let dmfe handle DM910x except for SPARC on-board
chips (bnc#537016).
-------------------------------------------------------------------
Wed Jan 13 23:13:19 CET 2010 - jkosina@suse.cz
- patches.fixes/crypto-testmgr-fix-complain-about-lacking-test.patch:
crypto: testmgr - Fix complain about lack test for internal
used algorithm (bnc#568278 FATE#306883).
-------------------------------------------------------------------
Wed Jan 13 16:23:49 CET 2010 - jeffm@suse.com
- Update to 2.6.33-rc4.
- Eliminated patches.fixes/megaraid_sas-fix-permissions-on-poll_mode_io
-------------------------------------------------------------------
Wed Jan 13 16:04:58 CET 2010 - mmarek@suse.cz
- patches.suse/bootsplash-keep-multiple-data: Keep multiple
splash screens for KMS (bnc#570082).
- patches.suse/bootsplash-scaler: Add bootsplash image scaler
(bnc#570082).
-------------------------------------------------------------------
Wed Jan 13 13:53:04 CET 2010 - mmarek@suse.de
- rpm/kernel-binary.spec.in, rpm/find-provides: extract exported
symbols from /boot/vmlinux before the image is stripped (affects
ppc).
-------------------------------------------------------------------
Wed Jan 13 03:49:52 CET 2010 - jeffm@suse.com
- patches.rpmify/twl6030-fix-note_interrupt-call: Rename to patches.fixes/twl6030-fix-note_interrupt-call
-------------------------------------------------------------------
Wed Jan 13 02:01:55 CET 2010 - bphilips@suse.de
- patches.drivers/e1000-enhance-frame-fragment-detection.patch:
Avoid atomic op by introducing discarding flag instead
- patches.drivers/e1000e-enhance-frame-fragment-detection.patch:
Avoid atomic op by introducing discarding flag instead
-------------------------------------------------------------------
Wed Jan 13 01:35:57 CET 2010 - jeffm@suse.com
- patches.rpmify/autoconf-h-fix-locations: autoconf.h: Fix
locations.
-------------------------------------------------------------------
Wed Jan 13 01:35:09 CET 2010 - jeffm@suse.com
- patches.fixes/ppc-crashdump-typefix: Renamed to patches.rpmify/ppc-crashdump-typefix
-------------------------------------------------------------------
Tue Jan 12 23:25:59 CET 2010 - jeffm@suse.com
- patches.fixes/signal-fix-kernel-information-leak-with-print-fatal-signals-1:
Delete.
-------------------------------------------------------------------
Tue Jan 12 22:19:03 CET 2010 - jeffm@suse.com
- Update config files.
-------------------------------------------------------------------
Tue Jan 12 22:08:43 CET 2010 - jeffm@suse.com
- patches.fixes/ppc-crashdump-typefix: rename to patches.rpmify/ppc-crashdump-typefix
-------------------------------------------------------------------
Tue Jan 12 21:40:53 CET 2010 - jeffm@suse.com
- patches.fixes/signal-fix-kernel-information-leak-with-print-fatal-signals-1:
kernel/signal.c: fix kernel information leak with
print-fatal-signals=1 (bnc#569902).
-------------------------------------------------------------------
Tue Jan 12 21:33:19 CET 2010 - jeffm@suse.com
- patches.fixes/signal-fix-kernel-information-leak-with-print-fatal-signals-1:
kernel/signal.c: fix kernel information leak with
print-fatal-signals=1 (bnc#569902).
-------------------------------------------------------------------
Tue Jan 12 21:14:05 CET 2010 - rjw@suse.de
- needs_update: Remove some patches that we've got through the
upstream.
-------------------------------------------------------------------
Tue Jan 12 17:57:52 CET 2010 - tiwai@suse.de
- patches.drivers/alsa-sp1-hda-53-alc861vd-capture-fix: ALSA:
hda - Fix ALC861-VD capture source mixer (bnc#568305).
-------------------------------------------------------------------
Tue Jan 12 17:20:25 CET 2010 - jeffm@suse.com
- Update to 2.6.33-rc3-git5.
-------------------------------------------------------------------
Tue Jan 12 16:49:37 CET 2010 - trenn@suse.de
- patches.fixes/nohz_prevent_clocksource_wrapping_during_idle.patch:
nohz: Prevent clocksource wrapping during idle (bnc#569238).
-------------------------------------------------------------------
Tue Jan 12 14:21:01 CET 2010 - jack@suse.de
- patches.suse/readahead-request-tunables.patch: Update readahead
and max_sectors tunables (bnc#548529).
-------------------------------------------------------------------
Tue Jan 12 14:14:08 CET 2010 - jkosina@suse.cz
- patches.drivers/watchdog-iTCO_wdt-Add-support-for-Intel-Ibex-Peak.patch:
iTCO_wdt: Add support for Intel Ibex Peak (bnc#557081
FATE#308591).
-------------------------------------------------------------------
Tue Jan 12 09:21:52 CET 2010 - tonyj@suse.de
- needs_update: remove LTT/trace patches (assigned to jbl), all had
already been taken care of by my fwd port to 2.6.32
-------------------------------------------------------------------
Tue Jan 12 08:09:38 CET 2010 - hare@suse.de
- patches.drivers/qla4xxx-5.01.00-k9-5.01.00.00.11.01-k10.patch:
Fixup compilation error.
-------------------------------------------------------------------
Tue Jan 12 00:52:29 CET 2010 - rjw@suse.de
- patches.fixes/PCI-Always-set-prefetchable-base-limit-upper32-registers.patch:
PCI: Always set prefetchable base/limit upper32 registers
(bnc#569003).
-------------------------------------------------------------------
Mon Jan 11 21:04:07 CET 2010 - jeffm@suse.com
- Merged patches.rpmify/x86_64-ksyms-make-the-native_load_gs_index-export-depend-on-config_paravirt_cpu into
patches.arch/kvm-split-paravirt-ops-by-functionality
-------------------------------------------------------------------
Mon Jan 11 21:02:38 CET 2010 - jeffm@suse.com
- Renamed patches.rpmify/kmsg-fix-parameter-limitations to
patches.arch/kmsg-fix-parameter-limitations
-------------------------------------------------------------------
Mon Jan 11 20:50:27 CET 2010 - jeffm@suse.com
- patches.rpmify/x86_64-ksyms-make-the-native_load_gs_index-export-depend-on-config_paravirt_cpu:
x86_64/ksyms: Make the native_load_gs_index export depend
on CONFIG_PARAVIRT_CPU.
-------------------------------------------------------------------
Mon Jan 11 20:49:26 CET 2010 - jeffm@suse.com
- Renamed patches.rpmify/rt2870-fix-section-mismatches to
patches.rpmify/rt2860-fix-section-mismatches
-------------------------------------------------------------------
Mon Jan 11 20:14:56 CET 2010 - jeffm@suse.de
- Updated to 2.6.33-rc3-git3.
- Eliminated 392 patches.
- Xen is disabled.
-------------------------------------------------------------------
Mon Jan 11 16:32:52 CET 2010 - hare@suse.de
- patches.drivers/qla4xxx-5.01.00-k9-5.01.00.00.11.01-k10.patch:
Update qla4xxx driver for SLES11 SP1 (bnc#556572,FATE#307128).
-------------------------------------------------------------------
Mon Jan 11 15:51:14 CET 2010 - jblunck@suse.de
- needs_update: Removed some patches.
-------------------------------------------------------------------
Mon Jan 11 14:34:00 CET 2010 - hare@suse.de
- patches.drivers/bfa-2.1.2.1-update: Update bfa driver to
version 2.1.2.1 (bnc#561881).
-------------------------------------------------------------------
Mon Jan 11 12:39:21 CET 2010 - hare@suse.de
- patches.fixes/fcoe-libfc-adds-enable-di: adds enable/disable
for fcoe interface (bnc#562046).
- patches.fixes/fcoe-use-lld-s-wwpn-and-w: Use LLD's WWPN and
WWNN for lport if LLD supports ndo_fcoe_get_wwn (bnc#562046).
- patches.fixes/libfc-reduce-hold-time-on: reduce hold time on
SCSI host lock (bnc#562046).
- patches.fixes/libfc-remote-port-gets-st: remote port gets
stuck in restart state without really restarting (bnc#562046).
-------------------------------------------------------------------
Mon Jan 11 12:13:36 CET 2010 - hare@suse.de
- patches.drivers/mpt2sas-03.100.03.00-update: LSI mptsas2 driver
update to 03.100.03.00 (bnc#566013).
-------------------------------------------------------------------
Mon Jan 11 10:33:48 CET 2010 - jbeulich@novell.com
- patches.xen/xen-x86_64-nx-user-mappings: Delete (bnc#568741).
- patches.xen/xen3-rlim-0025-x86-add-ia32-compat-prlimit-syscalls.patch:
Delete.
-------------------------------------------------------------------
Mon Jan 11 09:57:40 CET 2010 - hare@suse.de
- patches.fixes/qla4xxx-lun-reset-fix: Delete.
-------------------------------------------------------------------
Mon Jan 11 09:55:52 CET 2010 - hare@suse.de
- patches.fixes/scsi-dh-rdac-add-ibm-174x: scsi_dh_rdac: Add
two new IBM devices (bnc# 556159).
-------------------------------------------------------------------
Sat Jan 9 21:07:34 CET 2010 - jslaby@suse.de
- patches.suse/perfmon2.patch: Refresh.
- patches.suse/rlim-0001-SECURITY-selinux-fix-update_rlimit_cpu-parameter.patch:
Refresh.
- patches.suse/rlim-0002-resource-move-kernel-function-inside-__KERNEL__.patch:
resource: move kernel function inside __KERNEL__ (FATE#305733).
- patches.suse/rlim-0003-resource-add-helpers-for-fetching-rlimits.patch:
resource: add helpers for fetching rlimits (FATE#305733).
- patches.suse/rlim-0004-IA64-use-helpers-for-rlimits.patch:
[IA64] use helpers for rlimits (FATE#305733).
- patches.suse/rlim-0005-core-posix-cpu-timers-cleanup-rlimits-usage.patch:
core: posix-cpu-timers, cleanup rlimits usage (FATE#305733).
- patches.suse/rlim-0006-PPC-use-helpers-for-rlimits.patch: PPC:
use helpers for rlimits (FATE#305733).
- patches.suse/rlim-0007-S390-use-helpers-for-rlimits.patch:
S390: use helpers for rlimits (FATE#305733).
- patches.suse/rlim-0008-SPARC-use-helpers-for-rlimits.patch:
SPARC: use helpers for rlimits (FATE#305733).
- patches.suse/rlim-0009-X86-use-helpers-for-rlimits.patch: X86:
use helpers for rlimits (FATE#305733).
- patches.suse/rlim-0010-FS-use-helpers-for-rlimits.patch: FS:
use helpers for rlimits (FATE#305733).
- patches.suse/rlim-0011-MM-use-helpers-for-rlimits.patch: MM:
use helpers for rlimits (FATE#305733).
- patches.suse/rlim-0012-core-use-helpers-for-rlimits.patch:
core: use helpers for rlimits (FATE#305733).
- patches.suse/rlim-0013-infiniband-use-helpers-for-rlimits.patch:
infiniband: use helpers for rlimits (FATE#305733).
- patches.suse/rlim-0014-ipc-use-helpers-for-rlimits.patch: ipc:
use helpers for rlimits (FATE#305733).
- patches.suse/rlim-0015-SECURITY-add-task_struct-to-setrlimit.patch:
SECURITY: add task_struct to setrlimit (FATE#305733).
- patches.suse/rlim-0016-core-add-task_struct-to-update_rlimit_cpu.patch:
core: add task_struct to update_rlimit_cpu (FATE#305733).
- patches.suse/rlim-0017-sys_setrlimit-make-sure-rlim_max-never-grows.patch:
sys_setrlimit: make sure ->rlim_max never grows (FATE#305733).
- patches.suse/rlim-0018-core-split-sys_setrlimit.patch: core:
split sys_setrlimit (FATE#305733).
- patches.suse/rlim-0019-core-allow-setrlimit-to-non-current-tasks.patch:
core: allow setrlimit to non-current tasks (FATE#305733).
- patches.suse/rlim-0020-core-optimize-setrlimit-for-current-task.patch:
core: optimize setrlimit for current task (FATE#305733).
- patches.suse/rlim-0021-FS-proc-switch-limits-reading-to-fops.patch:
FS: proc, switch limits reading to fops (FATE#305733).
- patches.suse/rlim-0022-FS-proc-make-limits-writable.patch:
FS: proc, make limits writable (FATE#305733).
- patches.suse/rlim-0023-core-do-security-check-under-task_lock.patch:
core: do security check under task_lock (FATE#305733).
- patches.suse/rlim-0002-SECURITY-add-task_struct-to-setrlimit.patch:
Delete.
- patches.suse/rlim-0003-core-add-task_struct-to-update_rlimit_cpu.patch:
Delete.
- patches.suse/rlim-0004-sys_setrlimit-make-sure-rlim_max-never-grows.patch:
Delete.
- patches.suse/rlim-0005-core-split-sys_setrlimit.patch: Delete.
- patches.suse/rlim-0006-core-allow-setrlimit-to-non-current-tasks.patch:
Delete.
- patches.suse/rlim-0007-core-optimize-setrlimit-for-current-task.patch:
Delete.
- patches.suse/rlim-0008-FS-proc-make-limits-writable.patch:
Delete.
- patches.suse/rlim-0009-core-posix-cpu-timers-cleanup-rlimits-usage.patch:
Delete.
- patches.suse/rlim-0010-core-do-security-check-under-task_lock.patch:
Delete.
- patches.suse/rlim-0011-resource-add-helpers-for-fetching-rlimits.patch:
Delete.
- patches.suse/rlim-0012-IA64-use-helpers-for-rlimits.patch:
Delete.
- patches.suse/rlim-0013-PPC-use-helpers-for-rlimits.patch:
Delete.
- patches.suse/rlim-0014-S390-use-helpers-for-rlimits.patch:
Delete.
- patches.suse/rlim-0015-SPARC-use-helpers-for-rlimits.patch:
Delete.
- patches.suse/rlim-0016-X86-use-helpers-for-rlimits.patch:
Delete.
- patches.suse/rlim-0017-FS-use-helpers-for-rlimits.patch: Delete.
- patches.suse/rlim-0018-MM-use-helpers-for-rlimits.patch: Delete.
- patches.suse/rlim-0019-core-use-helpers-for-rlimits.patch:
Delete.
- patches.suse/rlim-0020-misc-use-helpers-for-rlimits.patch:
Delete.
- patches.suse/rlim-0021-core-rename-setrlimit-to-do_setrlimit.patch:
Delete.
- patches.suse/rlim-0022-core-implement-getprlimit-and-setprlimit-syscalls.patch:
Delete.
- patches.suse/rlim-0023-unistd-add-__NR_-get-set-prlimit-syscall-numbers.patch:
Delete.
- patches.suse/rlim-0024-COMPAT-add-get-put_compat_rlimit.patch:
Delete.
- patches.suse/rlim-0025-x86-add-ia32-compat-prlimit-syscalls.patch:
Delete.
Sync with upstream. Drop limits syscalls altogether.
-------------------------------------------------------------------
Sat Jan 9 14:50:07 CET 2010 - jkosina@suse.cz
- patches.apparmor/apparmor.diff: Delete, as it is unused after
splitup into smaller patches.
-------------------------------------------------------------------
Fri Jan 8 18:22:42 CET 2010 - sjayaraman@suse.de
- patches.suse/SoN-23-mm-swapfile.patch: Fix up the enum declaration
to avoid conflict due to a upstream change.
-------------------------------------------------------------------
Fri Jan 8 18:17:27 CET 2010 - jkosina@suse.cz
- patches.fixes/quota-fix-reserved-space-management-for-ordinary-fs.patch:
quota: fix reserved space management for ordinary fs.
-------------------------------------------------------------------
Fri Jan 8 18:15:09 CET 2010 - gregkh@suse.de
- patches.drivers/staging-hv-fix-smp-problems-in-the-hyperv-core-code.patch:
Staging: hv: fix smp problems in the hyperv core code.
-------------------------------------------------------------------
Fri Jan 8 17:39:28 CET 2010 - jeffm@suse.de
- Disable set,getprlimit compat syscalls on xen.
-------------------------------------------------------------------
Fri Jan 8 17:09:03 CET 2010 - jeffm@suse.de
- Disabled custom ACPI table loading from initramfs until
the scheduling while atomic issues are worked out.
-------------------------------------------------------------------
Fri Jan 8 05:41:28 CET 2010 - jeffm@suse.de
- Disabled set,getprlimit syscalls until the syscall numbers
have been officially reserved.
-------------------------------------------------------------------
Fri Jan 8 03:32:21 CET 2010 - jeffm@suse.com
- patches.arch/module-handle-ppc64-relocating-kcrctabs-when-config_relocatable-y:
module: handle ppc64 relocating kcrctabs when
CONFIG_RELOCATABLE=y (bnc#566243).
-------------------------------------------------------------------
Thu Jan 7 12:18:20 CET 2010 - jbeulich@novell.com
- Update Xen patches to 2.6.32.3 and c/s 974.
- Update EC2 config files.
- patches.xen/xen3-x86-Remove-local_irq_enable-local_irq_disable-in-fixup_irqs.patch:
x86: Remove local_irq_enable()/local_irq_disable() in
fixup_irqs() (bnc#558247).
- patches.xen/xen3-x86-Unify-fixup_irqs-for-32-bit-and-64-bit-kernels.patch:
x86: Unify fixup_irqs() for 32-bit and 64-bit kernels
(bnc#558247).
- patches.xen/xen3-x86-intr-remap-Avoid-irq_chip-mask-unmask-in-fixup_irqs-for-intr-remapping.patch:
x86, intr-remap: Avoid irq_chip mask/unmask in fixup_irqs()
for intr-remapping (bnc#558247).
- patches.xen/xen-fix-compilation-after-rename_generic_int.patch:
Delete.
- patches.xen/xen-scsifront-block-timeout-update: Delete.
- patches.xen/xen3-x86-fix-nodac: Delete.
-------------------------------------------------------------------
Thu Jan 7 07:12:44 CET 2010 - bphilips@suse.de
- patches.drivers/e1000-enhance-frame-fragment-detection.patch:
e1000: enhance frame fragment detection (bnc#567376,
CVE-2009-4536).
- patches.drivers/e1000e-enhance-frame-fragment-detection.patch:
e1000e: enhance frame fragment detection (bnc#567376,
CVE-2009-4538).
-------------------------------------------------------------------
Thu Jan 7 01:24:18 CET 2010 - gregkh@suse.de
- Update to 2.6.32.3
- security fixes
- bugfixes
- obsoletes:
- patches.arch/s390-04-03-dasd-diag-ro.patch
- patches.drivers/alsa-sp1-hda-50-alc88x-missing-capsrc_nids
- patches.fixes/qla2xxx-dpc-thread-can-execute-before-scsi-host
- patches.fixes/scsi-ipr-fix-eeh-recovery
-------------------------------------------------------------------
Wed Jan 6 20:51:27 CET 2010 - rjw@suse.de
- patches.arch/x86-Force-irq-complete-move-during-cpu-offline.patch:
x86: Force irq complete move during cpu offline (bnc#558247).
- patches.arch/x86-Remove-local_irq_enable-local_irq_disable-in-fixup_irqs.patch:
x86: Remove local_irq_enable()/local_irq_disable() in
fixup_irqs() (bnc#558247).
- patches.arch/x86-Remove-move_cleanup_count-from-irq_cfg.patch:
x86: Remove move_cleanup_count from irq_cfg (bnc#558247).
- patches.arch/x86-Remove-unnecessary-mdelay-from-cpu_disable_common.patch:
x86: Remove unnecessary mdelay() from cpu_disable_common() .
- patches.arch/x86-Unify-fixup_irqs-for-32-bit-and-64-bit-kernels.patch:
x86: Unify fixup_irqs() for 32-bit and 64-bit kernels
(bnc#558247).
- patches.arch/x86-Use-EOI-register-in-io-apic-on-intel-platforms.patch:
x86: Use EOI register in io-apic on intel platforms
(bnc#558247).
- patches.arch/x86-intr-remap-Avoid-irq_chip-mask-unmask-in-fixup_irqs-for-intr-remapping.patch:
x86, intr-remap: Avoid irq_chip mask/unmask in fixup_irqs()
for intr-remapping (bnc#558247).
- patches.arch/x86-io-apic-Move-the-effort-of-clearing-remoteIRR-explicitly-before-migrating-the-irq.patch:
x86, io-apic: Move the effort of clearing remoteIRR explicitly
before migrating the irq (bnc#558247).
- patches.arch/x86-ioapic-Document-another-case-when-level-irq-is-seen-as-an-edge.patch:
x86, ioapic: Document another case when level irq is seen as
an edge (bnc#558247).
- patches.arch/x86-ioapic-Fix-the-EOI-register-detection-mechanism.patch:
x86, ioapic: Fix the EOI register detection mechanism
(bnc#558247).
-------------------------------------------------------------------
Wed Jan 6 15:01:16 CET 2010 - trenn@suse.de
- patches.fixes/pci_aer_mce_inject_check_osc_for_aer.patch: PCI:
AER: fix aer inject result in kernel oops (bnc#566619).
-------------------------------------------------------------------
Wed Jan 6 14:50:52 CET 2010 - trenn@suse.de
- patches.fixes/PCIe-AER-reject-aer-inject-if-hardware-mask-error-reporting.patch:
PCIe AER: reject aer inject if hardware mask error reporting
(bnc#566621).
-------------------------------------------------------------------
Wed Jan 6 00:30:09 CET 2010 - gregkh@suse.de
- patches.xen/xen3-patch-2.6.29: Refresh due to conflicts from i915
changes. Ick, the xen code sucks rocks, it shouldn't be touching
stuff here at all.
-------------------------------------------------------------------
Wed Jan 6 00:14:14 CET 2010 - gregkh@suse.de
- patches.drivers/drm-i915-add-acpi-opregion-support-for-ironlake.patch:
Refresh.
- patches.drivers/drm-i915-add-i915_lp_ring_sync-helper.patch:
drm/i915: add i915_lp_ring_sync helper (bnc#568447).
- patches.drivers/drm-i915-fix-get_core_clock_speed-for-g33-class-desktop-chips.patch:
drm/i915: fix get_core_clock_speed for G33 class desktop chips
(bnc#568447).
- patches.drivers/drm-i915-fully-switch-off-overlay-when-not-in-use.patch:
drm/i915: fully switch off overlay when not in use (bnc#568447).
- patches.drivers/drm-i915-implement-drmmode-overlay-support-v4.patch:
drm/i915: implement drmmode overlay support v4 (bnc#568447).
- patches.drivers/drm-i915-implement-fastpath-for-overlay-flip-waiting.patch:
drm/i915: implement fastpath for overlay flip waiting
(bnc#568447).
-------------------------------------------------------------------
Wed Jan 6 00:00:59 CET 2010 - gregkh@suse.de
- patches.drivers/drm-i915-add-acpi-opregion-support-for-ironlake.patch:
Refresh.
-------------------------------------------------------------------
Tue Jan 5 23:49:26 CET 2010 - gregkh@suse.de
- patches.drivers/drm-i915-add-acpi-opregion-support-for-ironlake.patch:
drm/i915: Add ACPI OpRegion support for Ironlake (bnc#568436).
-------------------------------------------------------------------
Tue Jan 5 22:49:44 CET 2010 - tonyj@suse.de
- patches.fixes/oprofile_bios_ctr.patch: Update to newer version
-------------------------------------------------------------------
Wed Dec 30 01:01:25 CET 2009 - nfbrown@suse.de
- patches.fixes/nfs-fix-NFS4ERR_FILE_OPEN-handling:
NFS4ERR_FILE_OPEN handling in Linux/NFS (bnc#526819).
-------------------------------------------------------------------
Mon Dec 28 17:56:19 CET 2009 - jeffm@suse.com
- patches.fixes/dmar-fix-oops-with-no-dmar-table: dmar: Fix oops
with no DMAR table (bnc#548108).
-------------------------------------------------------------------
Sun Dec 27 14:23:47 CET 2009 - tiwai@suse.de
- patches.drivers/alsa-sp1-hda-52-hdmi-sticky-stream-tag: ALSA:
hda - HDMI sticky stream tag support (FATE#306783).
-------------------------------------------------------------------
Wed Dec 23 23:10:02 CET 2009 - rjw@suse.de
- patches.arch/xpc_first_contact_when_active.patch: X86: UV
- xpc_make_first_contact hang due to not accepting ACTIVE
state. (bnc#562288, fate#306952).
- patches.arch/xpc_fix_xpc_get_fifo_entry_uv.patch: x86: UV - XPC
NULL deref when mesq becomes empty. (bnc#562288, fate#306952).
- patches.arch/xpc_introduce_xp_socket.patch: x86: UV - XPC
needs to provide an abstraction for uv_gpa. (bnc#562288,
fate #306952).
- patches.arch/xpc_pass_nasid_to_gru_create_message_queue.patch:
UV - pass nasid instead of nid to gru_create_message_queue
(bnc#562288, fate#306952).
- patches.arch/xpc_recv_msg_slots_wrap.patch: X86: UV - XPC
receive message reuse triggers invalid BUG_ON(). (bnc#562288,
fate#306952).
- patches.arch/xpc_uv_bios_changes.patch: x86: UV - Update XPC
to handle updated BIOS interface. (bnc#562288, fate#306952).
-------------------------------------------------------------------
Wed Dec 23 21:57:53 CET 2009 - rjw@suse.de
- patches.arch/bug-561989_gru_rollup.patch: SGI GRU Updates
(bnc#561989, fate#306952).
-------------------------------------------------------------------
Wed Dec 23 20:31:57 CET 2009 - jeffm@suse.com
- patches.fixes/ppc-crashdump-typefix: powerpc: use min_t in
copy_oldmem_page.
-------------------------------------------------------------------
Wed Dec 23 18:56:48 CET 2009 - rjw@suse.de
- patches.arch/bug-561946_uv_irq_affinity.patch: x86: SGI UV: Fix
irq affinity for hub based interrupts (bnc#561946, fate#306952).
- patches.arch/bug-561946_uv_move_ioapic.patch: x86, apic: Move
SGI UV functionality out of generic IO-APIC code (bnc#561946,
fate#306952).
- patches.arch/bug-561946_uv_use_rtc.patch: x86: UV RTC: Always
enable RTC clocksource (bnc#561946, fate#306952).
-------------------------------------------------------------------
Wed Dec 23 17:51:32 CET 2009 - jeffm@suse.com
- patches.fixes/ia64-fix-sba-iommu-to-handle-allocation-failure-properly:
fix SBA IOMMU to handle allocation failure properly
(bnc#545367).
-------------------------------------------------------------------
Tue Dec 22 22:17:33 CET 2009 - jeffm@suse.com
- Enabled CONFIG_CRASH_DUMP on ppc/ppc64 (bnc#566243).
-------------------------------------------------------------------
Tue Dec 22 16:51:37 CET 2009 - jeffm@suse.com
- patches.fixes/scsi-ipr-fix-eeh-recovery: ipr: fix EEH recovery
(bnc#566613).
-------------------------------------------------------------------
Sun Dec 20 22:23:41 CET 2009 - trenn@suse.de
- Update config files.
- patches.drivers/cpufreq_ondemand_limit_fix.patch: cpufreq:
Fix ondemand to not request targets outside policy limits
(fate#306746).
- patches.drivers/cpufreq_processor_clocking_control_pcc_driver.patch:
x86,cpufreq: Processor Clocking Control (PCC) driver
(fate#306746).
- supported.conf:
-------------------------------------------------------------------
Sun Dec 20 00:42:16 CET 2009 - rjw@suse.de
- patches.arch/bug-561939_uv_bios_call_hwperf_updated.patch:
x86, uv: Add serial number parameter to uv_bios_get_sn_info()
(bnc#561939, fate#306952).
- patches.arch/bug-561939_uv_bios_call_hwperf.patch: Delete.
-------------------------------------------------------------------
Sat Dec 19 18:53:28 CET 2009 - jeffm@suse.com
- patches.fixes/scsi_debug-scale-virtual_gb-with-sector_size-properly:
scsi_debug: scale virtual_gb with sector_size properly
(bnc#535939).
-------------------------------------------------------------------
Sat Dec 19 00:58:56 CET 2009 - gregkh@suse.de
- patches.xen/xen3-fixup-xen: Refresh. Fix up build error from
.32.2 import
-------------------------------------------------------------------
Sat Dec 19 00:22:21 CET 2009 - gregkh@suse.de
- Update to 2.6.32.2
- lots of security fixes
- loads of bugfixes
- other goodness
- obsoletes:
- patches.arch/bug-561939_bau_data_config.patch
- patches.arch/bug-564471_x86_Fix_duplicated_UV_BAU_interrupt_vector.patch
- patches.arch/s390-04-01-clear-high-regs.patch
- patches.arch/s390-kvm-prefix.patch
- patches.arch/s390-kvm-psw.patch
- patches.arch/x86-fix-nodac
- patches.arch/x86_mce_nfs-mig2
- patches.fixes/firewire-ohci-handle-receive-packets-with-a-data-length-of-zero
- patches.fixes/uart-txen-race.patch
-------------------------------------------------------------------
Fri Dec 18 21:17:46 CET 2009 - jeffm@suse.com
- Update config files: CONFIG_STRICT_DEVMEM=n. It was enabled
mistakenly in commit 3df90f9e and affects using tools like
crash with a live system.
-------------------------------------------------------------------
Fri Dec 18 17:53:06 CET 2009 - gregkh@suse.de
- Update config files.
CONFIG_CALGARY_IOMMU_ENABLED_BY_DEFAULT = n (bnc#565607)
-------------------------------------------------------------------
Fri Dec 18 15:11:18 CET 2009 - tiwai@suse.de
- patches.drivers/alsa-sp1-hda-{24..51}-*: 2.6.33-rc1 backport
fixes for HDMI and new Realtek codecs (FATE#306783)
- Refresh Patch-mainline tags in patches.drivers/alsa-*
-------------------------------------------------------------------
Fri Dec 18 14:45:04 CET 2009 - jjolly@suse.de
- patches.arch/s390-05-01-netiucv-tx-bytes.patch: netiucv:
displayed TX bytes value much too high (BNC#565612).
- patches.arch/s390-05-02-cmm-suspend.patch: cmm: free pages on
hibernate. (BNC#565612).
- patches.arch/s390-05-03-iucv-suspend.patch: iucv: add work_queue
cleanup for suspend (BNC#565612).
- patches.arch/s390-05-04-zfcp-work-queue.patch: zfcp: Assign
scheduled work to driver queue (BNC#565612).
- patches.arch/s390-05-05-zfcp-fail-commands.patch: zfcp: Don't
fail SCSI commands when transitioning to blocked fc_rport
(BNC#565612,LTC#58541).
- patches.arch/s390-05-06-zfcp-adisc.patch: zfcp: Improve ELS
ADISC handling (BNC#565612).
- patches.arch/s390-05-07-zfcp-fsf-errors.patch: zfcp: Update
FSF error reporting (BNC#565612).
- patches.arch/s390-05-08-zfcp-block.diff: zfcp: Block SCSI EH
thread for rport state BLOCKED (BNC#565612).
- patches.arch/s390-05-09-ctcm-suspend-wait.diff: ctcm: suspend
has to wait for outstanding I/O (BNC#565612).
- patches.arch/s390-05-10-rework-tso.diff: qeth: rework TSO
functions (BNC#565612).
- patches.arch/s390-05-11-atomic-volatile.patch: kernel: improve
code generated by atomic operations. (BNC#565612).
- patches.arch/s390-05-12-tape-remove-fn.patch: tape: incomplete
device removal (BNC#565612).
- patches.arch/s390-05-13-qeth-blkt-defaults.patch: qeth:
set default BLKT settings dependend on OSA hw level
(BNC#565612,LTC#58654).
- patches.arch/s390-05-14-dasd-dasd-enable-prefix.patch: dasd:
enable prefix independent of pav support (BNC#565612).
- patches.arch/s390-05-15-dasd-s390dbf-strings.patch: dasd:
remove strings from s390dbf (BNC#565612).
- patches.arch/s390-05-16-dasd-wait-lcu-setup.patch: dasd:
let device initialization wait for LCU setup (BNC#565612).
-------------------------------------------------------------------
Fri Dec 18 12:58:36 CET 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Fix generating /boot/Kerntypes* for
s390/vanilla.
-------------------------------------------------------------------
Fri Dec 18 04:35:18 CET 2009 - nfbrown@suse.de
- patches.fixes/md-start_ro-fix: md: fix small irregularity with
start_ro module parameter (bnc#565219).
-------------------------------------------------------------------
Fri Dec 18 01:29:32 CET 2009 - rjw@suse.de
- patches.xen/xen-fix-compilation-after-rename_generic_int.patch:
XEN: Fix compilation after renaming of generic_irqs.
-------------------------------------------------------------------
Fri Dec 18 00:30:52 CET 2009 - rjw@suse.de
- patches.arch/bug-561946_rename_generic_int.patch: x86: UV RTC:
Rename generic_interrupt to x86_platform_ipi (bnc#561946,
fate#306952).
-------------------------------------------------------------------
Thu Dec 17 23:48:10 CET 2009 - mmarek@suse.cz
- patches.suse/s390-Kerntypes.diff: S390: Generate Kerntypes file.
- rpm/kernel-binary.spec.in: install /boot/Kerntypes-$version.
-------------------------------------------------------------------
Thu Dec 17 21:02:52 CET 2009 - jeffm@suse.com
- patches.fixes/powerpc-fix-cpu-name-in-show-cpuinfo: powerpc:
fix cpu name in show-cpuinfo (bnc#565267).
-------------------------------------------------------------------
Thu Dec 17 13:32:32 CET 2009 - hare@suse.de
- patches.fixes/fc-transport-remove-BUG_ON: scsi_transport_fc:
remove invalid BUG_ON (bnc#564479).
- patches.fixes/lpfc-ia64-hang: lpfc: fix hang on SGI ia64
platform (bnc#564479).
- patches.fixes/qla2xxx-dpc-thread-can-execute-before-scsi-host:
qla2xxx: dpc thread can execute before scsi host has been added
(bnc#564479).
- patches.suse/fc-transport-allow-dev_loss_tmo-disable: Remove
capping from dev_loss_tmo (bnc#492469).
-------------------------------------------------------------------
Wed Dec 16 19:25:01 CET 2009 - gregkh@suse.de
- Update config files.
- fix up CONFIG_IPV6 option that was built into the kernel
incorrectly (bnc#564357)
-------------------------------------------------------------------
Wed Dec 16 18:52:34 CET 2009 - jeffm@suse.com
- patches.fixes/acpi-fix-build-when-config_acpi_custom_override_initramfs-is-not-defined:
acpi: Fix build when CONFIG_ACPI_CUSTOM_OVERRIDE_INITRAMFS is
not defined.
-------------------------------------------------------------------
Wed Dec 16 15:41:04 CET 2009 - jbeulich@novell.com
- Update Xen patches to c/s 960.
- Update Xen EC2 config files.
- patches.xen/xen3-bug-561933_uv_pat_is_gru_range.patch: x86:
UV SGI: Don't track GRU space in PAT (bnc#561933, fate#306952).
- patches.xen/xen3-x86-mark_rodata_rw.patch: Add mark_rodata_rw()
to un-protect read-only kernel code pages (bnc#439348).
-------------------------------------------------------------------
Wed Dec 16 10:15:18 CET 2009 - npiggin@suse.de
- needs_update:
- patches.fixes/aggressive-zone-reclaim.patch: be more aggressive
with zone reclaims (bnc#476525).
-------------------------------------------------------------------
Wed Dec 16 10:02:18 CET 2009 - npiggin@suse.de
- needs_update:
- patches.suse/x86-mark_rodata_rw.patch: Refresh.
-------------------------------------------------------------------
Wed Dec 16 09:45:58 CET 2009 - npiggin@suse.de
- patches.suse/x86-mark_rodata_rw.patch: Add mark_rodata_rw()
to un-protect read-only kernel code pages (bnc#439348).
-------------------------------------------------------------------
Tue Dec 15 20:07:17 CET 2009 - jeffm@suse.com
- patches.fixes/firewire-ohci-handle-receive-packets-with-a-data-length-of-zero:
firewire: ohci: handle receive packets with a data length of
zero (bnc#564712 CVE-2009-4138).
-------------------------------------------------------------------
Tue Dec 15 12:50:08 CET 2009 - mmarek@suse.cz
- rpm/config.sh: set OBS_PROJECT and IBS_PROJECT variables for
osc_wrapper.
-------------------------------------------------------------------
Tue Dec 15 12:43:00 CET 2009 - knikanth@suse.de
- supported.conf: Mark dm-log-userspace as supported (fate#307380).
-------------------------------------------------------------------
Tue Dec 15 08:41:01 CET 2009 - sjayaraman@suse.de
- patches.fixes/sched-recalculate-tunables-on-hot-add-remove:
Fix the build failure due to previous commit.
-------------------------------------------------------------------
Tue Dec 15 06:14:43 CET 2009 - sjayaraman@suse.de
- patches.fixes/sched-recalculate-tunables-on-hot-add-remove:
sched: Fix missing sched tunable recalculation on cpu add/remove
(bnc#560317).
- patches.fixes/sched-make-tunable-scaling-configurable: sched:
Make tunable scaling style configurable (bnc#560317).
- patches.fixes/sched-sysctl-for-normalized-tunables: sched:
Update normalized values on user updates via proc (bnc#560317).
-------------------------------------------------------------------
Tue Dec 15 01:10:25 CET 2009 - gregkh@suse.de
- Update config files.
- make SCSI and ATA drivers modules again.
(bnc#564357)
-------------------------------------------------------------------
Tue Dec 15 00:56:41 CET 2009 - gregkh@suse.de
- Update config files.
- fix up USB options that were built into the kernel incorrectly
(bnc#564357)
-------------------------------------------------------------------
Mon Dec 14 22:58:22 CET 2009 - jjolly@suse.de
- patches.arch/s390-04-01-clear-high-regs.patch: kernel:
clear high-order bits after switching to 64-bit mode
(BNC#563999,LTC#58088).
- patches.arch/s390-04-02-zcrypt-hrtimer.patch: zcrypt: Do not
simultaneously schedule hrtimer (BNC#563999,LTC#58222).
- patches.arch/s390-04-03-dasd-diag-ro.patch: dasd: support DIAG
access for read-only devices (BNC#563999,LTC#57147).
- patches.arch/s390-04-04-mm-fault-fix.patch: kernel: performance
counter fix and page fault optimization (BNC#563999).
- patches.arch/s390-04-05-sclp-dump-indicator.patch: kernel:
fix dump indicator (BNC#563999).
- patches.arch/s390-04-06-dasd-move-diag-kmsg.patch: dasd:
move diag kmsg to generic dasd kmsg (BNC#563999).
- patches.arch/s390-04-07-cio-fix-double-free.patch: cio: double
free under memory pressure (BNC#563999).
- patches.arch/s390-04-08-cio-fix-dev-stall.patch: cio: device
recovery stalls after multiple hardware events (BNC#563999).
- patches.arch/s390-04-09-cio-recover-hw-changes.patch: cio:
device recovery fails after concurrent hardware changes
(BNC#563999).
- patches.arch/s390-04-10-cio-fix-onoffline-failure.patch: cio:
setting a device online or offline fails for unknown reasons
(BNC#563999).
- patches.arch/s390-04-11-cio-error-reporting.patch: cio:
incorrect device state after device recognition and recovery
(BNC#563999).
- patches.arch/s390-04-12-cio-avoid-panic.patch: cio: kernel
panic after unexpected interrupt (BNC#563999).
- patches.arch/s390-04-13-cio-internal-io.patch: cio:
initialization of I/O devices fails (BNC#563999).
- patches.arch/s390-04-14-cio-allow-offline.patch: cio: not
operational devices cannot be deactivated (BNC#563999).
- patches.arch/s390-04-15-cio-split-pgid.patch: cio: erratic
DASD I/O behavior (BNC#563999).
- patches.arch/s390-04-16-cio-path-verification.patch: cio:
DASD cannot be set online (BNC#563999).
- patches.arch/s390-04-17-cio-steal-lock.patch: cio: DASD steal
lock task hangs (BNC#563999).
- patches.arch/s390-04-18-cio-fix-memleak-chk-dev.patch: cio:
memory leaks when checking unusable devices (BNC#563999).
- patches.arch/s390-04-19-cio-fix-deact-dev-panic.patch: cio:
deactivated devices can cause use after free panic (BNC#563999).
-------------------------------------------------------------------
Mon Dec 14 22:29:34 CET 2009 - rjw@suse.de
- patches.arch/bug-561933_uv_pat_is_gru_range.patch: x86: UV SGI:
Don't track GRU space in PAT (bnc#561933, fate#306952).
- patches.arch/bug-561939_bau_data_config.patch: x86: SGI UV
BAU initialization (bnc#561939, fate#306952).
- patches.arch/bug-561939_uv_bios_call_hwperf.patch: x86: UV
hardware performance counter and topology access (bnc#561939,
fate#306952).
- patches.arch/bug-561939_uv_gpa_is_mmr_space.patch: x86: UV -
Introduce uv_gpa_is_mmr. (bnc#561939, fate#306952).
- patches.arch/bug-561939_uv_gpa_to_soc_phys_ram.patch:
x86: UV - Introduce a means to translate from gpa ->
socket_paddr. (bnc#561939, fate#306952).
- patches.arch/bug-561939_uv_ipi_macro.patch: x86: UV: Introduce
uv_hub_ipi_value (bnc#561939, fate#306952).
- patches.arch/bug-561939_uv_mmap_low.patch: x86: SGI UV: Map
low MMR ranges (bnc#561939, fate#306952).
- patches.arch/bug-561939_uv_rtc_cleanup.patch: x86: UV RTC:
Clean up error handling (bnc#561939, fate#306952).
- patches.arch/bug-561939_uv_rtc_fixes.patch: x86: UV RTC:
Fix early expiry handling (bnc#561939, fate#306952).
- patches.arch/bug-561939_uv_rtc_setup_evt.patch: x86: UV RTC:
Add clocksource only boot option (bnc#561939, fate#306952).
- patches.arch/bug-564471_x86_Fix_duplicated_UV_BAU_interrupt_vector.patch:
x86: Fix duplicated UV BAU interrupt vector (bnc#564471).
-------------------------------------------------------------------
Mon Dec 14 19:33:04 CET 2009 - gregkh@suse.de
- Refresh patches to be clean of fuzz
-------------------------------------------------------------------
Mon Dec 14 19:20:52 CET 2009 - gregkh@suse.de
- Update to 2.6.32.1
- security fixes
- bugfixes
- obsoletes:
- patches.fixes/ext4-fix-insufficient-checks-in-ext4_ioc_move_ext
- patches.fixes/scsi-fix-bug-with-dma-maps-on-nested-scsi-objects
-------------------------------------------------------------------
Mon Dec 14 18:45:26 CET 2009 - jjolly@suse.de
- patches.drivers/dcb-data-center-bridging-ops-s: dcb: data
center bridging ops should be r/o (BNC#562046).
- patches.drivers/drivers-net-request_irq-remove: drivers/net:
request_irq - Remove unnecessary leading & from second arg
(BNC#562046).
- patches.drivers/ethtool-add-direct-attach-supp: ethtool: Add
Direct Attach support to connector port reporting (BNC#562046).
- patches.drivers/ixgbe-add-support-for-82599-al: ixgbe: Add
support for 82599 alternative WWNN/WWPN prefix (BNC#562046).
- patches.drivers/ixgbe-add-support-for-82599-ba: ixgbe: add
support for 82599 based Express Module X520-P2 (BNC#562046).
- patches.drivers/ixgbe-add-support-for-netdev_o: ixgbe: Add
support for netdev_ops.ndo_fcoe_get_wwn to 82599 (BNC#562046).
- patches.drivers/ixgbe-change-default-ring-size: ixgbe: change
default ring size (BNC#562046).
- patches.drivers/ixgbe-disable-flow-control-for: ixgbe: Disable
Flow Control for certain devices (BNC#562046).
- patches.drivers/ixgbe-display-currently-attach: ixgbe: Display
currently attached PHY through ethtool (BNC#562046).
- patches.drivers/ixgbe-fix-erroneous-display-of: ixgbe: Fix
erroneous display of stats by ethtool -S (BNC#562046).
- patches.drivers/ixgbe-fix-kr-to-kx-fail-over-f: ixgbe: Fix KR
to KX fail over for Mezzanine cards (BNC#562046).
- patches.drivers/ixgbe-fix-receive-address-regi: ixgbe:
Fix Receive Address Register (RAR) cleaning and accounting
(BNC#562046).
- patches.drivers/ixgbe-flush-the-lsc-mask-chang: ixgbe: Flush
the LSC mask change to prevent repeated interrupts (BNC#562046).
- patches.drivers/ixgbe-handle-parameters-for-tx: ixgbe: handle
parameters for tx and rx EITR, no div0 (BNC#562046).
- patches.drivers/ixgbe-links2-is-not-a-valid-re: ixgbe: LINKS2
is not a valid register for 82598 (BNC#562046).
- patches.drivers/ixgbe-make-queue-pairs-on-sing: ixgbe: Make
queue pairs on single MSI-X interrupts (BNC#562046).
- patches.drivers/ixgbe-modify-82599-hwrsc-stati: ixgbe: Modify
82599 HWRSC statistics counters (BNC#562046).
- patches.drivers/ixgbe-only-set-clear-vfe-in-ix: ixgbe: Only
set/clear VFE in ixgbe_set_rx_mode (BNC#562046).
- patches.drivers/ixgbe-performance-tweaks: ixgbe: performance
tweaks (BNC#562046).
- patches.drivers/ixgbe-r_idx-not-used-in-ixgbe_: ixgbe: r_idx
not used in ixgbe_msix_clean_rx() (BNC#562046).
- patches.drivers/ixgbe-select-fcoe-tx-queue-in-: ixgbe: select
FCoE Tx queue in ndo_select_queue (BNC#562046).
- patches.drivers/ixgbe-use-eiam-to-automask-msi: ixgbe: use
EIAM to automask MSI-X (BNC#562046).
- patches.drivers/ixgbe-use-known-user-priority-: ixgbe: use
known user priority for FCoE when DCB is enabled (BNC#562046).
- patches.drivers/ixgbe-use-rx-buffer-length-fro: ixgbe: Use rx
buffer length from rx ring for configuring rscctl (BNC#562046).
- patches.drivers/ixgbe-use-the-instance-of-net_: ixgbe: Use
the instance of net_device_stats from net_device. (BNC#562046).
- patches.drivers/net-add-ndo_fcoe_get_wwn-to-ne: net: Add
ndo_fcoe_get_wwn to net_device_ops (BNC#562046).
- patches.drivers/net-add-netdev_alloc_skb_ip_al: net: Add
netdev_alloc_skb_ip_align() helper (BNC#562046).
- patches.drivers/net-use-netdev_alloc_skb_ip_al: net: Use
netdev_alloc_skb_ip_align() (BNC#562046).
- patches.drivers/vlan-add-support-to-netdev_ops: vlan:
Add support to netdev_ops.ndo_fcoe_get_wwn for VLAN device
(BNC#562046).
- patches.fixes/fcoe-allow-scsi-fcp-to-be: fcoe: allow SCSI-FCP
to be processed directly in softirq context (BNC#562046).
- patches.fixes/fcoe-libfc-add-get_lesb-t: fcoe, libfc: add
get_lesb() to allow LLD to fill the link error status block
(LESB) (BNC#562046).
- patches.fixes/libfc-add-fc-bb-5-lesb-co: libfc: add FC-BB-5
LESB counters to fcoe_dev_stats (BNC#562046).
- patches.fixes/libfc-add-fcoe_fc_els_les: libfc: add
fcoe_fc_els_lesb to fc_fcoe.h for FC-BB-5 LESB definitions
(BNC#562046).
- patches.fixes/libfc-add-support-of-rece: libfc: add support
of receiving ELS_RLS (BNC#562046).
- patches.fixes/libfc-add-target-reset-fl: libfc: Add target
reset flag to FCP header file (BNC#562046).
- patches.fixes/libfc-fix-payload-size-pa: libfc: fix payload size
passed to fc_frame_alloc() in fc_lport_els_request (BNC#562046).
- patches.fixes/libfcoe-add-checking-disa: libfcoe: add checking
disable flag in FIP_FKA_ADV (BNC#562046).
- patches.fixes/libfcoe-add-tracking-fip-: libfcoe: add tracking
FIP Missing Discovery Advertisement count (BNC#562046).
- patches.fixes/libfcoe-add-tracking-fip--0: libfcoe: add tracking
FIP Virtual Link Failure count (BNC#562046).
-------------------------------------------------------------------
Mon Dec 14 16:41:36 CET 2009 - mmarek@suse.cz
- rpm/kabi.pl: Do not print harmless kabi changes by default.
-------------------------------------------------------------------
Mon Dec 14 14:41:57 CET 2009 - sjayaraman@suse.de
- patches.suse/sched-revert-latency-defaults: Revert sched
latency defaults and turn FAIR_SLEEPERS off (bnc#557307).
-------------------------------------------------------------------
Mon Dec 14 11:36:02 CET 2009 - jkosina@suse.cz
- patches.fixes/uart-txen-race.patch: Serial: Do not read IIR in
serial8250_start_tx when UART_BUG_TXEN (bnc#479304 bnc#509066).
-------------------------------------------------------------------
Sun Dec 13 23:39:54 CET 2009 - rjw@suse.de
- patches.fixes/bug-562290-Fix-isolcpus-boot-option.patch: sched:
Fix isolcpus boot option (bnc#562290, fate#306952).
-------------------------------------------------------------------
Fri Dec 11 23:15:58 CET 2009 - mmarek@suse.cz
- patches.suse/supported-flag: Fix -Wmissing-prototypes warnings
in modpost.c.
-------------------------------------------------------------------
Fri Dec 11 23:13:32 CET 2009 - mmarek@suse.cz
- patches.rpmify/modpost-segfault: modpost: fix segfault with
short symbol names.
-------------------------------------------------------------------
Fri Dec 11 17:04:18 CET 2009 - jeffm@suse.com
- Moved kernel-docs into the git repo. It is now built automatically
with the matching kernel sources.
-------------------------------------------------------------------
Fri Dec 11 16:13:14 CET 2009 - trenn@suse.de
- patches.suse/kdb_fix_ia64_build.patch: Fix ia64 - Export
kdb_usb_kbds (none).
-------------------------------------------------------------------
Fri Dec 11 15:41:08 CET 2009 - trenn@suse.de
Jeff updated kdb, try without this ugly workaround.
If it still does not work, I have something better...
- patches.suse/kdb_x86_fix_hang.patch: Delete.
-------------------------------------------------------------------
Fri Dec 11 15:03:38 CET 2009 - hare@suse.de
Cleanup patches for series2git:
- patches.suse/kdb-common: Refresh.
- patches.suse/kdump-dump_after_notifier.patch: Refresh.
-------------------------------------------------------------------
Fri Dec 11 12:06:28 CET 2009 - trenn@suse.de
Updated MCE/MCA patches from Andi -> delete the old ones:
- patches.arch/x86_mce_hwpoison-action_result-valid-pfn.patch:
HWPOISON: return ENXIO on invalid page number (fate#307738).
- patches.arch/x86_mce_hwpoison-is-free-page.patch: HWPOISON:
detect free buddy pages explicitly (fate#307738).
- patches.arch/x86_mce_hwpoison-no-double-ref.patch: HWPOISON:
avoid grabbing the page count multiple times during madvise
injection (fate#307738).
- patches.arch/x86_mce_madvise-locking: HWPOISON: Use
get_user_page_fast in hwpoison madvise (fate#307738).
- patches.arch/x86_mce_nfs-mig2: NFS: Fix nfs_migrate_page()
(fate#307738).
- patches.arch/x86_mce_offline-inject: HWPOISON: Add a madvise()
injector for soft page offlining (fate#307738).
- patches.arch/x86_mce_page-offline: HWPOISON: Add soft page
offline support (fate#307738).
- patches.arch/x86_mce_ref-to-flags: HWPOISON: Turn ref argument
into flags argument (fate#307738).
- patches.arch/x86_mce_shake-page: HWPOISON: Be more aggressive
at freeing non LRU caches (fate#307738).
- patches.arch/x86_mce_undef-lru: HWPOISON: Undefine short-hand
macros after use to avoid namespace conflict (fate#307738).
- patches.arch/x86_mce_lru_cleanup.patch: Delete.
- patches.arch/x86_mce_page_offlining.patch: Delete.
- patches.arch/x86_mce_page_offlining_test_ability.patch: Delete.
- patches.arch/x86_mce_test_page.patch: Delete.
-------------------------------------------------------------------
Fri Dec 11 09:30:27 CET 2009 - hare@suse.de
- needs_update: Merge or delete remaining patches.
- patches.fixes/scsi-dh-emc-mode-select-10-size: DM-MPIO fails
to tresspass LUNs on CLARiiON arrays (bnc#484529).
- patches.fixes/scsi-dh-emc-rw-mismatch: Server crashes when
path failures occur against EMC storage (bnc#474482).
- patches.fixes/scsi-dh-rdac-add-stk: STK arrays missing from
rdac devicehandler (bnc#503855).
- patches.fixes/scsi-retry-alua-transition-in-progress: I/O
errors for ALUA state transitions (bnc#491289).
- patches.suse/dm-mpath-no-activate-for-offlined-paths: DM-MPIO
fails to tresspass LUNs on CLARiiON arrays (bnc#484529).
- patches.suse/dm-mpath-no-partitions-feature: Disable partitions
scan for multipathed devices (bnc#402922,bnc#514767).
-------------------------------------------------------------------
Fri Dec 11 09:28:05 CET 2009 - tiwai@suse.de
- Update config files: set back to CONFIG_SOUND=m
-------------------------------------------------------------------
Fri Dec 11 08:59:39 CET 2009 - tiwai@suse.de
- patches.drivers/alsa-sp1-hda-23-hp-mute-led-gpio-fixes:
ALSA: hda - Fix LED GPIO setup for HP laptops with IDT codecs
(bnc#547357,bnc#523487).
-------------------------------------------------------------------
Fri Dec 11 02:53:50 CET 2009 - jeffm@suse.de
- patches.suse/kdb-usb-rework: Fix ia64.
-------------------------------------------------------------------
Fri Dec 11 01:51:36 CET 2009 - jeffm@suse.com
- Update config files: Enable USB_SERIAL_DEBUG.
-------------------------------------------------------------------
Fri Dec 11 01:49:34 CET 2009 - jeffm@suse.com
- patches.suse/kdb-usb-rework: kdb: Cleanup KDB_USB.
-------------------------------------------------------------------
Fri Dec 11 00:56:57 CET 2009 - jeffm@suse.com
- Update config files.
- Disabled USB_TEST and USB_LIBUSUAL.
-------------------------------------------------------------------
Thu Dec 10 22:59:17 CET 2009 - jeffm@suse.com
- Update config files. Re-enable missing options:
- CONFIG_FRAMEBUFFER_CONSOLE_ROTATION=y
- CONFIG_VIDEO_GO7007=m (and related options)
-------------------------------------------------------------------
Thu Dec 10 22:57:57 CET 2009 - bphilips@suse.de
- patches.drivers/netxen-0008-reset-sequence-changes.patch:
Refresh to fix fuzz
-------------------------------------------------------------------
Thu Dec 10 22:09:07 CET 2009 - jeffm@suse.com
- Updated KDB to v4.4-2.6.32-3 and re-enabled USB keyboard support.
-------------------------------------------------------------------
Thu Dec 10 21:59:20 CET 2009 - mmarek@suse.de
- rpm/kernel-binary.spec.in: Fix vmlinux stripping on power
(bnc#559547)
-------------------------------------------------------------------
Thu Dec 10 21:26:12 CET 2009 - bphilips@suse.de
- patches.drivers/netxen-0000-Use-the-instance-of-net_device_stats-from-net.patch:
netxen: Use the instance of net_device_stats from
net_device. (bnc#560003, fate#307134).
- patches.drivers/netxen-0001-remove-sub-64-bit-mem-accesses.patch:
netxen: remove sub 64-bit mem accesses (bnc#560003,
fate#307134).
- patches.drivers/netxen-0002-add-access-to-on-chip-memory-for-tools.patch:
netxen: add access to on chip memory for tools (bnc#560003,
fate#307134).
- patches.drivers/netxen-0003-annotate-register-windowing-code.patch:
netxen: annotate register windowing code (bnc#560003,
fate#307134).
- patches.drivers/netxen-0004-separate-register-and-memory-access-lock.patch:
netxen: separate register and memory access lock (bnc#560003,
fate#307134).
- patches.drivers/netxen-0005-add-sysfs-entries-for-diag-tools.patch:
netxen: add sysfs entries for diag tools (bnc#560003,
fate#307134).
- patches.drivers/netxen-0006-defines-for-next-revision.patch:
netxen: defines for next revision (bnc#560003, fate#307134).
- patches.drivers/netxen-0007-128-memory-controller-support.patch:
netxen: 128 memory controller support (bnc#560003, fate#307134).
- patches.drivers/netxen-0008-reset-sequence-changes.patch:
netxen: reset sequence changes (bnc#560003, fate#307134).
- patches.drivers/netxen-0009-onchip-memory-access-change.patch:
netxen: onchip memory access change (bnc#560003, fate#307134).
- patches.drivers/netxen-0010-fix-error-codes-in-for-tools-access.patch:
netxen: fix error codes in for tools access (bnc#560003,
fate#307134).
- patches.drivers/netxen-0011-sysfs-control-for-auto-firmware-recovery.patch:
netxen: sysfs control for auto firmware recovery (bnc#560003,
fate#307134).
- patches.drivers/netxen-0012-update-version-to-4.0.62.patch:
netxen; update version to 4.0.62 (bnc#560003, fate#307134).
- patches.drivers/netxen-0013-fix-builds-for-SYSFS-n-or-MODULES-n.patch:
netxen: fix builds for SYSFS=n or MODULES=n (bnc#560003,
fate#307134).
- patches.drivers/netxen-0014-support-for-new-firmware-file-format.patch:
netxen: support for new firmware file format (bnc#560003,
fate#307134).
- patches.drivers/netxen-0015-refactor-indirect-register-access.patch:
netxen: refactor indirect register access (bnc#560003,
fate#307134).
- patches.drivers/netxen-0016-add-PCI-IDs-for-new-chip.patch:
netxen: add PCI IDs for new chip (bnc#560003, fate#307134).
- patches.drivers/netxen-0017-update-module-info.patch: netxen:
update module info (bnc#560003, fate#307134).
- patches.drivers/netxen-0018-module-firmware-hints.patch:
netxen: module firmware hints (bnc#560003, fate#307134).
- patches.drivers/netxen-0019-update-version-to-4.0.65.patch:
netxen: update version to 4.0.65 (bnc#560003, fate#307134).
- patches.drivers/netxen-0020-remove-PCI-IDs-of-CNA-device.patch:
netxen: remove PCI IDs of CNA device (bnc#560003, fate#307134).
- patches.drivers/netxen-0021-fix-debug-tools-access-for-NX2031.patch:
netxen : fix debug tools access for NX2031 (bnc#560003,
fate#307134).
- patches.drivers/netxen-0022-fix-failure-cases-for-fw-hang-recovery.patch:
netxen: fix failure cases for fw hang recovery (bnc#560003,
fate#307134).
-------------------------------------------------------------------
Thu Dec 10 18:37:34 CET 2009 - bphilips@suse.de
- patches.drivers/qlge-0001-Use-the-instance-of-net_device_stats-from-net_.patch:
qlge: Use the instance of net_device_stats from
net_device. (bnc#560420, FATE#307130).
- patches.drivers/qlge-0002-Remove-explicit-setting-of-PCI-Dev-CTL-reg.patch:
qlge: Remove explicit setting of PCI Dev CTL reg. (bnc#560420,
FATE#307130).
- patches.drivers/qlge-0003-Set-PCIE-max-read-request-size.patch:
qlge: Set PCIE max read request size. (bnc#560420, FATE#307130).
- patches.drivers/qlge-0004-Add-handler-for-DCBX-firmware-event.patch:
qlge: Add handler for DCBX firmware event. (bnc#560420,
FATE#307130).
- patches.drivers/qlge-0005-Store-firmware-revision-as-early-as-possible.patch:
qlge: Store firmware revision as early as possible. (bnc#560420,
FATE#307130).
- patches.drivers/qlge-0006-Remove-inline-math-for-small-rx-buf-mapping.patch:
qlge: Remove inline math for small rx buf mapping. (bnc#560420,
FATE#307130).
- patches.drivers/qlge-0007-Get-rid-of-firmware-handler-debug-code.patch:
qlge: Get rid of firmware handler debug code. (bnc#560420,
FATE#307130).
- patches.drivers/qlge-0008-Don-t-fail-open-when-port-is-not-initialized.patch:
qlge: Don't fail open when port is not initialized. (bnc#560420,
FATE#307130).
- patches.drivers/qlge-0009-Add-CBFC-pause-frame-counters-to-ethtool-stats.patch:
qlge: Add CBFC pause frame counters to ethtool
stats. (bnc#560420, FATE#307130).
- patches.drivers/qlge-0010-Size-RX-buffers-based-on-MTU.patch:
qlge: Size RX buffers based on MTU. (bnc#560420, FATE#307130).
- patches.drivers/qlge-0011-Add-ethtool-get-set-pause-parameter.patch:
qlge: Add ethtool get/set pause parameter. (bnc#560420,
FATE#307130).
- patches.drivers/qlge-0012-Add-ethtool-blink-function.patch:
qlge: Add ethtool blink function. (bnc#560420, FATE#307130).
- patches.drivers/qlge-0013-Add-ethtool-wake-on-LAN-function.patch:
qlge: Add ethtool wake on LAN function. (bnc#560420,
FATE#307130).
- patches.drivers/qlge-0014-Add-ethtool-register-dump-function.patch:
qlge: Add ethtool register dump function. (bnc#560420,
FATE#307130).
- patches.drivers/qlge-0015-Add-ethtool-self-test.patch: qlge:
Add ethtool self-test. (bnc#560420, FATE#307130).
- patches.drivers/qlge-0016-Change-naming-on-vlan-API.patch:
qlge: Change naming on vlan API. (bnc#560420, FATE#307130).
- patches.drivers/qlge-0017-Fix-indentations.patch: qlge: Fix
indentations. (bnc#560420, FATE#307130).
- patches.drivers/qlge-0018-Add-firmware-driver-sub-command-support.patch:
qlge: Add firmware/driver sub-command support. (bnc#560420,
FATE#307130).
- patches.drivers/qlge-0019-Clean-up-netdev-stats-usage.patch:
qlge: Clean up netdev->stats usage. (bnc#560420, FATE#307130).
- patches.drivers/qlge-0020-Do-not-change-frame-routing-during-suspend.patch:
qlge: Do not change frame routing during suspend. (bnc#560420,
FATE#307130).
- patches.drivers/qlge-0021-Add-asic-reset-to-open-call.patch:
qlge: Add asic reset to open call. (bnc#560420, FATE#307130).
- patches.drivers/qlge-0022-Clean-up-module-parameter-name.patch:
qlge: Clean up module parameter name. (bnc#560420, FATE#307130).
- patches.drivers/qlge-0023-Change-version-to-v1.00.00.23.00.00-01.patch:
qlge: Change version to v1.00.00.23.00.00-01. (bnc#560420,
FATE#307130).
- patches.drivers/qlge-0024-Bonding-fix-for-mode-6.patch: qlge:
Bonding fix for mode 6. (bnc#560420, FATE#307130).
- patches.drivers/qlge-0025-Add-performance-change-for-non-split-headers.patch:
qlge: Add performance change for non-split headers. (bnc#560420,
FATE#307130).
- patches.drivers/qlge-0026-Add-firmware-core-dump.patch: qlge:
Add firmware core dump. (bnc#560420, FATE#307130).
-------------------------------------------------------------------
Thu Dec 10 17:04:21 CET 2009 - hare@suse.de
- needs_update: Delete more merged fixes.
- patches.suse/dm-mpath-leastpending-path-update: Refresh.
-------------------------------------------------------------------
Thu Dec 10 16:11:25 CET 2009 - hare@suse.de
- needs_update: Delete merged driver fixes.
- patches.drivers/megaraid-mbox-fix-SG_IO: megaraid_mbox: Oops
on SG_IO (bnc#475619).
-------------------------------------------------------------------
Thu Dec 10 16:05:10 CET 2009 - duwe@suse.de
- Disable PHYP_DUMP for all PPC flavours, per bnc#541302.
-------------------------------------------------------------------
Thu Dec 10 15:42:47 CET 2009 - jbenc@suse.cz
- patches.suse/novfs-fix-debug-message.patch: novfs: fix debug
message.
-------------------------------------------------------------------
Thu Dec 10 09:55:39 CET 2009 - hare@suse.de
- patches.fixes/tehuti-firmware-name: Tehuti network driver
references wrong firmware (bnc#562092).
-------------------------------------------------------------------
Wed Dec 9 22:50:30 CET 2009 - tonyj@suse.de
- patches.suse/audit-export-logging.patch: fix section mismatch due to
previous checkin
-------------------------------------------------------------------
Wed Dec 9 21:45:11 CET 2009 - tonyj@suse.de
- patches.suse/audit-export-logging.patch: export audit logging
symbols.
-------------------------------------------------------------------
Wed Dec 9 17:09:00 CET 2009 - jbohac@suse.cz
- Update config files: added CONFIG_IP_NF_MATCH_IPV4OPTIONS
to ppc64/trace
-------------------------------------------------------------------
Wed Dec 9 16:30:27 CET 2009 - jeffm@suse.com
- patches.fixes/ext4-fix-insufficient-checks-in-ext4_ioc_move_ext:
ext4: Fix insufficient checks in EXT4_IOC_MOVE_EXT (bnc#561018
CVE-2009-4131).
-------------------------------------------------------------------
Wed Dec 9 10:13:00 CET 2009 - jbeulich@novell.com
- patches.xen/xen3-patch-2.6.32: Fix a potentially serious mis-merge
in swiotlb code.
-------------------------------------------------------------------
Wed Dec 9 08:15:31 CET 2009 - jjolly@suse.de
- patches.fixes/nohz-delay-from-tip.diff: nohz: Introduce
arch_needs_cpu.
- patches.fixes/reuse-ktime-from-tip.diff: nohz: Reuse ktime in
sub-functions of tick_check_idle..
- series.conf: Moved s390 patchs to proper place
-------------------------------------------------------------------
Wed Dec 9 06:06:34 CET 2009 - npiggin@suse.de
- patches.fixes/ipc-ns-fix-memory-leak-idr.patch: ipc: ns fix
memory leak (bnc#518767).
- patches.fixes/ipc-remove-unreachable-code-in-semc.patch:
(bnc#518767).
- patches.fixes/ipc-semc-add-a-per-semaphore-pending-list.patch:
(bnc#518767).
- patches.fixes/ipc-semc-optimize-if-semops-fail.patch:
(bnc#518767).
- patches.fixes/ipc-semc-optimize-single-semop-operations.patch:
(bnc#518767).
- patches.fixes/ipc-semc-optimize-single-sops-when-semval-is-zero.patch:
(bnc#518767).
- patches.fixes/ipc-semc-sem-optimise-undo-list-search.patch:
(bnc#518767).
- patches.fixes/ipc-semc-sem-preempt-improve.patch: (bnc#518767).
- patches.fixes/ipc-semc-sem-use-list-operations.patch:
(bnc#518767).
-------------------------------------------------------------------
Wed Dec 9 00:33:42 CET 2009 - bphilips@suse.de
- needs_update: patches moved upstream or obsoleted by upstream
-------------------------------------------------------------------
Tue Dec 8 22:23:11 CET 2009 - mmarek@suse.cz
- patches.suse/kbuild-generate-modules.builtin: Update to what will
hopefully be in 2.6.33.
- patches.suse/kbuild-rebuild-fix-for-Makefile.modbuiltin: Delete.
-------------------------------------------------------------------
Tue Dec 8 19:46:37 CET 2009 - jbohac@suse.cz
- Update config files.
- patches.suse/netfilter-ipv4options: netfilter ipv4options
match from patch-o-matic-ng (bnc#131728 - FATE#182).
-------------------------------------------------------------------
Tue Dec 8 18:18:56 CET 2009 - npiggin@suse.de
- needs_update:
- patches.suse/mm-devzero-optimisation.patch: mm: /dev/zero
optimisation (bnc#430738).
-------------------------------------------------------------------
Tue Dec 8 16:22:34 CET 2009 - tiwai@suse.de
- patches.drivers/alsa-sp1-hda-22-alc888-exclude-unusable-adcs:
ALSA: hda - Exclude unusable ADCs for ALC88x (bnc#561235).
-------------------------------------------------------------------
Tue Dec 8 15:27:53 CET 2009 - mmarek@suse.cz
- patches.drivers/reenable-generic_serial: Revert "tty: Mark
generic_serial users as BROKEN".
- Update config files: enable RIO and SX.
- rpm/generic_serial-blacklist: blacklist generic_serial users from
automatic loading (bnc#551348).
-------------------------------------------------------------------
Tue Dec 8 14:58:48 CET 2009 - rgoldwyn@suse.de
- needs_update: patches merged upstream
- novfs patches to be handled by novfs team
-------------------------------------------------------------------
Tue Dec 8 13:38:10 CET 2009 - npiggin@suse.de
- needs_update:
- patches.suse/mm-vmalloc-fail-dump-stack.patch: mm: improve
vmalloc reporting (bnc#511079).
-------------------------------------------------------------------
Tue Dec 8 11:30:20 CET 2009 - npiggin@suse.de
- needs_update: most of mine are merged. apparmor patch sent to Andreas.
-------------------------------------------------------------------
Tue Dec 8 08:31:34 CET 2009 - coly.li@suse.de
- patches.suse/64bytes_lvb_len.diff: use 64byte lvb len.(bnc#515645)
-------------------------------------------------------------------
Tue Dec 8 02:05:07 CET 2009 - agraf@suse.de
- patches.arch/s390-kvm-prefix.patch: KVM: s390: Fix prefix
register checking in arch/s390/kvm/sigp.c (FATE#306513).
- patches.arch/s390-kvm-psw.patch: KVM: s390: Make psw available
on all exits, not just a subset (FATE#306513).
-------------------------------------------------------------------
Mon Dec 7 16:48:55 CET 2009 - tiwai@suse.de
- patches.drivers/synaptics-hp-clickpad: Input: Add support of
clickpad mode to synaptics mouse driver (bnc#547370).
-------------------------------------------------------------------
Mon Dec 7 13:22:15 CET 2009 - jbeulich@novell.com
- Update Xen patches to 2.6.32 final and c/s 958.
- patches.xen/xen-dcdbas: force proper address translation
in DCDBAS.
- patches.xen&xen-vmalloc_32: guarantee 32-bit
(bus-)addressability of vmalloc_32() output (bnc#548010,
bnc#552492).
- patches.xen/xen-x86_64-nx-user-mappings: set NX bit in kernel
version of top level user mode page table entries.
- patches.xen/xen3-rlim-0025-x86-add-ia32-compat-prlimit-syscalls.patch:
x86: add ia32 compat prlimit syscalls (FATE#305733).
- patches.xen/xen3-x86-64-align-rodata-kernel-section-to-2mb-with-config_debug_rodata:
x86-64: align RODATA kernel section to 2MB with
CONFIG_DEBUG_RODATA (bnc#558249).
- patches.xen/xen3-x86-64-preserve-large-page-mapping-for-1st-2mb-kernel-txt-with-config_debug_rodata:
x86-64: preserve large page mapping for 1st 2MB kernel txt
with CONFIG_DEBUG_RODATA (bnc#558249).
- patches.xen/xen3-x86-fix-nodac: x86: fix iommu=nodac parameter
handling (bnc#463829, bnc#482220).
- patches.xen/xen3-x86-mcp51-no-dac: x86: disallow DAC for MCP51
PCI bridge (bnc#463829, bnc#482220).
- Update EC2 config files (disable CAN_DEV and UIO).
-------------------------------------------------------------------
Mon Dec 7 11:50:32 CET 2009 - jbeulich@novell.com
- patches.arch/x86-crypto-add-ghash-algorithm-test.patch,
patches.fixes/cpufreq_ondemand_performance_optimise_default_settings.patch:
Fix build warnings.
-------------------------------------------------------------------
Mon Dec 7 10:57:41 CET 2009 - hare@suse.de
- patches.drivers/lpfc-add-raywire-id: Add missing PCI-ID to lpfc.
-------------------------------------------------------------------
Sat Dec 5 01:39:16 CET 2009 - tonyj@suse.de
- config.conf: add trace flavor for ppc64 (fate# 307051)
- Update config files.
-------------------------------------------------------------------
Fri Dec 4 21:24:27 CET 2009 - jeffm@suse.com
- Split apparmor.diff out into separate patches to align more
closely with the upstream AppArmor 2.4 repo.
- patches.apparmor/apparmor-fix-cap-audit_caching-preemption-disabling:
AppArmor: Fix cap audit_caching preemption disabling.
- patches.apparmor/apparmor-fix-change_profile-failing-lpn401931:
AppArmor: Fix change_profile failing lpn401931.
- patches.apparmor/apparmor-fix-change_profile-failure: AppArmor:
Fix change_profile failure.
- patches.apparmor/apparmor-fix-determination-of-forced-audit-messages:
AppArmor: Fix determination of forced AUDIT messages..
- patches.apparmor/apparmor-fix-failure-to-audit-change_hat-correctly:
AppArmor: fix failure to audit change_hat correctly.
- patches.apparmor/apparmor-fix-file-auditing-when-quiet-is-used:
AppArmor: Fix file auditing when quiet is used.
- patches.apparmor/apparmor-fix-leak-when-profile-transition-table-fails-unpack:
AppArmor: Fix leak when profile transition table fails unpack.
- patches.apparmor/apparmor-fix-mediation-of-created-paths-that-look-like-deleted-paths:
AppArmor: Fix mediation of created paths that look like
"deleted" paths.
- patches.apparmor/apparmor-fix-oops-after-profile-removal:
AppArmor: Fix oops after profile removal.
- patches.apparmor/apparmor-fix-oops-when-auditing-the-addition-of-profile-namespace:
AppArmor: Fix oops when auditing the addition of profile
namespace.
- patches.apparmor/apparmor-fix-oops-when-in-apparmor_bprm_set_creds:
AppArmor: Fix Oops when in apparmor_bprm_set_creds.
- patches.apparmor/apparmor-fix-profile-namespace-removal:
AppArmor: Fix profile namespace removal..
- patches.apparmor/apparmor-fix-refcounting-bug-causing-leak-of-creds-and-oops:
AppArmor: Fix refcounting bug causing leak of creds and oops.
- patches.apparmor/apparmor-fully-close-race-condition-for-deleted-paths:
AppArmor: Fully close race condition for deleted paths.
- patches.apparmor/apparmor-missing-unlock: AppArmor: Add missing
unlock to next_profile.
- patches.apparmor/apparmor-policy-load-and-replacement-can-fail-to-alloc-mem:
AppArmor: Policy load and replacement can fail to alloc mem.
- patches.apparmor/apparmor-fix-security_ops-task_setrlimit-api-use:
AppArmor: Fix security_ops->task_setrlimit API use.
-------------------------------------------------------------------
Fri Dec 4 17:58:54 CET 2009 - gregkh@suse.de
- Update config files.
- patches.suse/revert-usb-remove-phidget-drivers-from-kernel-tree.patch:
Revert "USB: remove phidget drivers from kernel tree.".
-------------------------------------------------------------------
Fri Dec 4 16:12:43 CET 2009 - jjolly@suse.de
- patches.arch/s390-message-catalog.diff: Updated patch
(bnc#549193,FATE#306999,LTC#57210).
-------------------------------------------------------------------
Fri Dec 4 16:08:50 CET 2009 - mmarek@suse.cz
- supported.conf: Update wireless drivers.
-------------------------------------------------------------------
Fri Dec 4 15:57:48 CET 2009 - jjolly@suse.de
- patches.arch/s390-03-qeth-hs-traffic-analyzer.patch: qeth:
HiperSockets Network Traffic Analyzer (bnc#560674).
-------------------------------------------------------------------
Fri Dec 4 15:28:10 CET 2009 - hare@suse.de
- patches.drivers/qla2xxx-8.03.01-k7-update: qla2xxx driver
update to 8.03.01-k7 (bnc#560415).
-------------------------------------------------------------------
Fri Dec 4 14:35:02 CET 2009 - mmarek@suse.cz
- rpm/package-descriptions: Add description for kernel-vmi.
-------------------------------------------------------------------
Fri Dec 4 13:40:29 CET 2009 - trenn@suse.de
- patches.arch/x86_mce_lru_cleanup.patch: HWPOISON: Undefine lru
define after table to avoid namespace conflict (fate#307738).
- patches.arch/x86_mce_page_offlining.patch: Add soft page
offline support (fate#307738).
- patches.arch/x86_mce_page_offlining_test_ability.patch:
HWPOISON: Add a madvise() injector for soft page offlining
(fate#307738).
- patches.arch/x86_mce_test_page.patch: Expose Test pageflagA
and set pageflagB primitive (fate#307738).
-------------------------------------------------------------------
Fri Dec 4 13:12:44 CET 2009 - mmarek@suse.cz
- Add the vmi flavor again.
-------------------------------------------------------------------
Fri Dec 4 12:26:59 CET 2009 - sjayaraman@suse.de
- patches.suse/SoN-18-netvm-skbuff-reserve.patch: add emergeny flag
inside kmemcheck boundaries.
- patches.xen/xen3-auto-common.diff: Refresh.
-------------------------------------------------------------------
Fri Dec 4 00:41:32 CET 2009 - gregkh@suse.de
- Update config files.
CONFIG_DRM_I915_KMS=y for x86-64 and i386 vanilla (bnc#560402)
-------------------------------------------------------------------
Fri Dec 4 00:17:46 CET 2009 - gregkh@suse.de
- Update config files.
CONFIG_DRM_I915_KMS=y for x86-64 (bnc#560402)
-------------------------------------------------------------------
Fri Dec 4 00:16:20 CET 2009 - gregkh@suse.de
- Update config files.
CONFIG_DRM_I915_KMS=y for i386 (bnc#560402)
-------------------------------------------------------------------
Thu Dec 3 20:53:57 CET 2009 - jeffm@suse.com
- patches.arch/ppc-spufs-07-Don-t-spu_acquire_saved-unnecessarily.patch:
Delete. (bnc#560043)
-------------------------------------------------------------------
Thu Dec 3 20:13:09 CET 2009 - jeffm@suse.com
- Cleanup config files.
-------------------------------------------------------------------
Thu Dec 3 19:34:08 CET 2009 - bphilips@suse.de
- patches.drivers/tg3_libphy_workaround: Delete. We have all of the 57780
phylib and tg3 changes due to 2.6.32 bump.
-------------------------------------------------------------------
Thu Dec 3 19:06:23 CET 2009 - duwe@suse.de
- back out cpuidle feature that is still unconsistent.
-------------------------------------------------------------------
Thu Dec 3 18:40:03 CET 2009 - mfasheh@suse.com
- patches.suse/ocfs2-allocation-resrvations.patch: Refresh.
-------------------------------------------------------------------
Thu Dec 3 18:21:13 CET 2009 - duwe@suse.de
- patches.suse/cpuidle-cleanup: Refresh.
Fix the "fixed" feature patch set from IBM.
-------------------------------------------------------------------
Thu Dec 3 18:04:51 CET 2009 - mfasheh@suse.com
- Update config files.
- patches.suse/gfs2-ro-mounts-only.patch: gfs2: allow spectator
mounts for migration to ocfs2 (FATE#307584).
-------------------------------------------------------------------
Thu Dec 3 17:19:44 CET 2009 - duwe@suse.de
- Update config files for 2.6.32 (again).
-------------------------------------------------------------------
Thu Dec 3 17:00:12 CET 2009 - duwe@suse.de
- Update config files for cpuidle.
-------------------------------------------------------------------
Thu Dec 3 16:45:06 CET 2009 - tiwai@suse.de
- patches.drivers/8250_pnp-wacom-add: serial/8250_pnp.c: add
new Wacom devices (bnc#544763).
-------------------------------------------------------------------
Thu Dec 3 16:40:59 CET 2009 - duwe@suse.de
- patches.suse/cpuidle-cleanup: Refresh.
one lonely hunk already seems to be in 2.6.32 final
-------------------------------------------------------------------
Thu Dec 3 16:33:28 CET 2009 - duwe@suse.de
- bnc#552860 / FATE#307104: kernel idle low power, take 2:
- patches.suse/cpuidle-cleanup: Refresh.
- patches.suse/cpuidle-cleanup-x86: Refresh.
- patches.suse/cpuidle-eliminate-ppcmdpowersave1: Refresh.
-------------------------------------------------------------------
Thu Dec 3 15:59:01 CET 2009 - jeffm@suse.com
- Updated to 2.6.32-final.
- 2 patches eliminated.
-------------------------------------------------------------------
Thu Dec 3 15:19:01 CET 2009 - hare@suse.de
- Update config files: Enable Hibernation for zSeries.
-------------------------------------------------------------------
Thu Dec 3 14:42:32 CET 2009 - mmarek@suse.cz
- Update config files: Disable CONFIG_MFD_PCF50633, the chip is
unlikely to be used on architectures we support.
-------------------------------------------------------------------
Thu Dec 3 13:54:17 CET 2009 - mmarek@suse.cz
- rpm/split-modules: Print which supported modules need unsupported
modules.
-------------------------------------------------------------------
Thu Dec 3 12:56:43 CET 2009 - jdelvare@suse.de
- supported.conf: support lis3lv02d, hp_accel needs it.
-------------------------------------------------------------------
Thu Dec 3 12:03:20 CET 2009 - jdelvare@suse.de
- supported.conf: hp_accel is supported (FATE #306448).
-------------------------------------------------------------------
Thu Dec 3 11:54:14 CET 2009 - jdelvare@suse.de
- supported.conf: remove wm831x drivers, we no longer ship them.
-------------------------------------------------------------------
Thu Dec 3 11:32:03 CET 2009 - jdelvare@suse.de
- Update config files: disable all new hwmon drivers on ppc
(default and vanilla) for consistency.
-------------------------------------------------------------------
Thu Dec 3 11:30:08 CET 2009 - mmarek@suse.cz
- supported.conf: Add wm831x, needed by drivers/input/misc/wm831x-on.
-------------------------------------------------------------------
Thu Dec 3 11:03:24 CET 2009 - mmarek@suse.cz
- supported.conf: Fix up after commit bfea0bd.
-------------------------------------------------------------------
Thu Dec 3 10:53:16 CET 2009 - jdelvare@suse.de
- Update config files: disable WM831x and WM8350 support entirely,
as recommended by the drivers author. These devices are only
found on embedded devices such as music players or mobile phones.
-------------------------------------------------------------------
Thu Dec 3 10:26:09 CET 2009 - hare@suse.de
- supported.conf: Mark pmcraid and igbvf as supported;
OSD drivers as unsupported.
-------------------------------------------------------------------
Thu Dec 3 09:48:04 CET 2009 - jdelvare@suse.de
- Restore link from config/s390/vanilla to config/s390x/vanilla.
-------------------------------------------------------------------
Thu Dec 3 09:10:46 CET 2009 - jbeulich@novell.com
- supported.conf: Update Xen drivers.
-------------------------------------------------------------------
Thu Dec 3 04:57:00 CET 2009 - tonyj@suse.de
- needs_update: readd
patches.suse/perfmon2-remove_get_base_syscall_attr.patch
patches.suse/perfmon2-remove_syscalls.patch
-------------------------------------------------------------------
Thu Dec 3 04:08:24 CET 2009 - gregkh@suse.de
- rpm/post.sh:
- rpm/postun.sh: woh dluoc I tegrof ot esolc eht fi
-------------------------------------------------------------------
Thu Dec 3 01:51:51 CET 2009 - gregkh@suse.de
- rpm/post.sh:
- rpm/postun.sh: If this is a Moblin-based box, don't run yast-bootloader
-------------------------------------------------------------------
Wed Dec 2 23:01:36 CET 2009 - jdelvare@suse.de
- Update config files: stop shipping wm831x-hwmon, wm8350-hwmon,
i2c-simtec and i2c-designware.
- supported.conf: remove i2c-simtec.
-------------------------------------------------------------------
Wed Dec 2 22:49:01 CET 2009 - jdelvare@suse.de
- supported.conf: Add all new hwmon and i2c/busses drivers. The
former unsupported, the latter supported.
-------------------------------------------------------------------
Wed Dec 2 22:33:37 CET 2009 - jdelvare@suse.de
- Update config files: disable CONFIG_I2C_VOODOO3.
- supported.conf: drop i2c-voodoo3, superseded by tdfxfb.
-------------------------------------------------------------------
Wed Dec 2 22:28:16 CET 2009 - jdelvare@suse.de
- supported.conf: Drop hwmon and i2c/chips drivers which have been
obsoleted.
-------------------------------------------------------------------
Wed Dec 2 22:16:50 CET 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Obsolete perfmon-kmp.
-------------------------------------------------------------------
Wed Dec 2 22:15:33 CET 2009 - mmarek@suse.cz
- supported.conf: Add perfmon, hid and input modules.
-------------------------------------------------------------------
Wed Dec 2 19:28:19 CET 2009 - tiwai@suse.de
- Update config files: Fix vanilla configs
-------------------------------------------------------------------
Wed Dec 2 19:14:09 CET 2009 - tiwai@suse.de
- Update config files: disabled CONFIG_SND_SOC* in ppc configs.
-------------------------------------------------------------------
Wed Dec 2 18:13:11 CET 2009 - tiwai@suse.de
- Updated the sound section of supported.conf
-------------------------------------------------------------------
Wed Dec 2 17:38:02 CET 2009 - gregkh@suse.de
- Update config files. disable USB OTG drivers that should not
have been enabled.
-------------------------------------------------------------------
Wed Dec 2 17:24:33 CET 2009 - gregkh@suse.de
- supported.conf: remove some usb drivers that were deleted or renamed.
-------------------------------------------------------------------
Wed Dec 2 17:22:08 CET 2009 - gregkh@suse.de
- supported.conf: update usb driver section
-------------------------------------------------------------------
Wed Dec 2 16:29:38 CET 2009 - mmarek@suse.cz
- rpm/check-supported-list: Skip modules in Documentation and
drivers/staging.
-------------------------------------------------------------------
Wed Dec 2 11:34:10 CET 2009 - jbenc@suse.cz
- patches.fixes/mac80211-fix-remote-DoS.patch: mac80211: fix
remote DoS (bnc#558267).
-------------------------------------------------------------------
Tue Dec 1 14:41:20 CET 2009 - tiwai@suse.de
- Forward-port 11.2 patches:
patches.drivers/alsa-sp1-hda-18-msi-wind-u115-fix: ALSA: hda -
Add a position_fix quirk for MSI Wind U115.
patches.drivers/alsa-sp1-hda-19-cx5047-test-mode-fix: ALSA:
hda - Fix Cxt5047 test mode (bnc#559062).
patches.drivers/alsa-sp1-hda-20-fsc-amilo-pi1505-fix: ALSA:
hda - Add a pin-fix for FSC Amilo Pi1505 (bnc#557403).
patches.drivers/alsa-sp1-hda-21-hp-dv3-position-fix-quirk:
ALSA: hda - Add position_fix quirk for HP dv3 (bnc#555935).
-------------------------------------------------------------------
Mon Nov 30 23:10:46 CET 2009 - jeffm@suse.com
- patches.fixes/megaraid_sas-fix-permissions-on-poll_mode_io:
megaraid_sas: Fix permissions on poll_mode_io (bnc#557180
CVE-2009-3939).
-------------------------------------------------------------------
Mon Nov 30 23:06:06 CET 2009 - jeffm@suse.com
- patches.arch/x86-64-add-comment-for-rodata-large-page-retainment:
x86-64: add comment for RODATA large page retainment
(bnc#558249).
- patches.arch/x86-64-align-rodata-kernel-section-to-2mb-with-config_debug_rodata:
x86-64: align RODATA kernel section to 2MB with
CONFIG_DEBUG_RODATA (bnc#558249).
- patches.arch/x86-64-preserve-large-page-mapping-for-1st-2mb-kernel-txt-with-config_debug_rodata:
x86-64: preserve large page mapping for 1st 2MB kernel txt
with CONFIG_DEBUG_RODATA (bnc#558249).
-------------------------------------------------------------------
Mon Nov 30 14:24:36 CET 2009 - teheo@suse.de
- needs_update: drop libata patches which are already included in
2.6.31 vanilla.
-------------------------------------------------------------------
Mon Nov 30 14:06:38 CET 2009 - teheo@suse.de
- needs_update: drop patches which are already included in 2.6.31
vanilla.
-------------------------------------------------------------------
Mon Nov 30 14:05:39 CET 2009 - teheo@suse.de
Forward port two x86 patches from SLE11.
- needs_update:
- patches.arch/x86-fix-nodac: x86: fix iommu=nodac parameter
handling (bnc#463829).
- patches.arch/x86-mcp51-no-dac: x86: disallow DAC for MCP51
PCI bridge (bnc#463829).
-------------------------------------------------------------------
Mon Nov 30 13:41:27 CET 2009 - agraf@suse.de
- Update config files: Enable KSM (FATE#306513)
-------------------------------------------------------------------
Sat Nov 28 19:52:19 CET 2009 - gregkh@suse.de
- needs_update: acpi dock patch was hmacht's, not mine
-------------------------------------------------------------------
Sat Nov 28 19:50:18 CET 2009 - gregkh@suse.de
- needs_update: sysfs debugging crash patch is now upstream
-------------------------------------------------------------------
Sat Nov 28 19:49:08 CET 2009 - gregkh@suse.de
- needs_update: jeffm merged novfs patches into the main novfs patch.
-------------------------------------------------------------------
Sat Nov 28 19:48:20 CET 2009 - gregkh@suse.de
- needs_update: qualcomm modem driver is upstream
-------------------------------------------------------------------
Sat Nov 28 19:48:03 CET 2009 - gregkh@suse.de
- needs_update: squashfs is now upstream
-------------------------------------------------------------------
Sat Nov 28 19:47:27 CET 2009 - gregkh@suse.de
- needs_update: via driver bugfixes never went upstream, and people
are complaining about them, so they were dropped.
-------------------------------------------------------------------
Sat Nov 28 19:46:46 CET 2009 - gregkh@suse.de
- needs_update: remove staging driver entries, they are all upstream.
-------------------------------------------------------------------
Sat Nov 28 19:46:05 CET 2009 - gregkh@suse.de
- needs_update: remove hv driver entries, those are upstream now.
-------------------------------------------------------------------
Sat Nov 28 19:45:20 CET 2009 - gregkh@suse.de
- needs_update: remove xen patches with my name on it. Just because
I refreshed the patch, doesn't mean I own it or even like the
thing :)
-------------------------------------------------------------------
Sat Nov 28 10:39:15 CET 2009 - sjayaraman@suse.de
- patches.suse/SoN-11-mm-reserve.patch: Fix build errors in -trace
and ppc64 flavors.
-------------------------------------------------------------------
Fri Nov 27 15:16:56 CET 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Abort if supported modules depend on
unsupported ones.
-------------------------------------------------------------------
Fri Nov 27 15:12:17 CET 2009 - mmarek@suse.cz
- supported.conf: mark cnic as supported (needed by bnx2i).
-------------------------------------------------------------------
Fri Nov 27 14:57:47 CET 2009 - jbenc@suse.cz
- patches.suse/panic-on-io-nmi-SLE11-user-space-api.patch: API
fix: X86: sysctl to allow panic on IOCK NMI error (bnc#427979).
- patches.suse/kdb-common: Refresh.
- patches.suse/kdump-dump_after_notifier.patch: Refresh.
-------------------------------------------------------------------
Fri Nov 27 13:25:55 CET 2009 - jbohac@suse.cz
- patches.fixes/cpufreq_ondemand_performance_optimise_default_settings.patch:
CPUFREQ: ondemand: Limit default sampling rate to 300ms
max. (bnc#464461).
-------------------------------------------------------------------
Fri Nov 27 12:55:35 CET 2009 - trenn@suse.de
- needs_update:
One I still have to send upstream, but it's nicer error output
only, SP1 is fine in respect to removing all these, thanks.
-------------------------------------------------------------------
Fri Nov 27 11:54:58 CET 2009 - hare@suse.de
- Update config files: Compile in efivars module for
x86_64 (FATE#306931).
-------------------------------------------------------------------
Fri Nov 27 11:08:00 CET 2009 - sjayaraman@suse.de
- needs_update: sjayaraman's patches are either upstream already or
rebased to SP1.
-------------------------------------------------------------------
Fri Nov 27 11:05:54 CET 2009 - sjayaraman@suse.de
- Update config files: NFS_SWAP=y.
-------------------------------------------------------------------
Fri Nov 27 10:45:38 CET 2009 - sjayaraman@suse.de
- patches.trace/lttng-instrumentation-swap.patch: Refresh and fix
a build failure with fuzz factor 0.
-------------------------------------------------------------------
Fri Nov 27 09:27:35 CET 2009 - sjayaraman@suse.de
- Rebase Swap-over-NFS(SoN) patches:
- patches.xen/tmem: Refresh to accomodate changes due to SoN patches.
- patches.xen/xen3-auto-common.diff: Refresh to accomodate changes
due to SoN patches.
-------------------------------------------------------------------
Fri Nov 27 05:53:42 CET 2009 - knikanth@suse.de
- needs_update: Verify knikanth's patches in SLE11 but not in SP1
- patches.fixes/dm-release-map_lock-before-set_disk_ro: Release
md->map_lock before set_disk_ro (bnc#556899 bnc#479784).
-------------------------------------------------------------------
Fri Nov 27 03:22:44 CET 2009 - nfbrown@suse.de
- Restore following patches from SLES11 that are still needed for
SLES11-SP1
- patches.fixes/nfsd-05-sunrpc-cache-allow-thread-to-block-while-waiting-for.patch:
sunrpc/cache: allow thread to block while waiting for cache
update. (bnc#498708).
- patches.fixes/nfsd-06-sunrpc-cache-retry-cache-lookups-that-return-ETIMEDO.patch:
sunrpc/cache: retry cache lookups that return -ETIMEDOUT
(bnc#498708).
- patches.fixes/nfsd-07-nfsd-idmap-drop-special-request-deferal-in-favour-of.patch:
nfsd/idmap: drop special request deferal in favour of improved
default. (bnc#498708).
- patches.fixes/nfsd-09-fix-kabi: Fix kabi breakage in previous
nfsd patch series (bnc#498708).
-------------------------------------------------------------------
Thu Nov 26 19:12:55 CET 2009 - coly.li@suse.de
- needs_update: remove patches item of coly.li, lmb and mfasheh.
- patches.fixes/dlm-enable-debug.patch: update the patch from SLES11
to SLES11 SP1 tree.
-------------------------------------------------------------------
Thu Nov 26 17:00:15 CET 2009 - jslaby@suse.cz
- patches.fixes/make-note_interrupt-fast.diff: Fix performance
regression on large IA64 systems (bnc #469589).
-------------------------------------------------------------------
Thu Nov 26 15:23:16 CET 2009 - mmarek@suse.cz
- rpm/old-packages.conf: obsolete kernel-kdump on ppc.
- config.conf: delete kdump configs.
- config/ppc/kdump: Delete.
- config/ppc64/kdump: Delete.
-------------------------------------------------------------------
Thu Nov 26 14:47:41 CET 2009 - duwe@suse.de
- patches.arch/ppc-extended_h_cede-Export_memory_sysdev_class:
Refresh. Fix "typo", memory_sysdev_class should be exported
only to GPL'ed modules.
-------------------------------------------------------------------
Thu Nov 26 12:48:43 CET 2009 - hare@suse.de
Fixup patches for series2git:
- patches.xen/tmem: Refresh.
- patches.xen/xen3-auto-common.diff: Refresh.
-------------------------------------------------------------------
Thu Nov 26 12:41:22 CET 2009 - duwe@suse.de
- config.conf: disable build of ppc kdump flavours
(FATE#304346)
-------------------------------------------------------------------
Thu Nov 26 12:07:24 CET 2009 - tiwai@suse.de
- patches.drivers/libata-missing-_SDD-is-not-an-error:
libata-acpi: missing _SDD is not an error (bnc#551942).
-------------------------------------------------------------------
Thu Nov 26 12:00:53 CET 2009 - jbenc@suse.cz
- patches.fixes/mac80211-fix-spurious-delba-handling.patch:
mac80211: fix spurious delBA handling (bnc#558267,
CVE-2009-4026, CVE-2009-4027).
-------------------------------------------------------------------
Thu Nov 26 11:58:44 CET 2009 - tiwai@suse.de
- patches.drivers/sky2-add-register-definitions: sky2: add
register definitions for new chips (bnc#544760).
- patches.drivers/sky2-88E8059-support: sky2: 88E8059 support
(bnc#544760).
- patches.drivers/sky2-optima-tcp-offload-fix: net: Fix Yukon-2
Optima TCP offload setup (bnc#544760).
- patches.drivers/sky2-optima-fix-pci-cfg: net: Add missing
TST_CFG_WRITE bits around sky2_pci_write (bnc#544760).
-------------------------------------------------------------------
Thu Nov 26 03:44:36 CET 2009 - nfbrown@suse.de
- Update config files.
disable CONFIG_MULTICOE_RAID456 as it is not yet stable.
Enable CONFIG_ASYNC_TX_DMA for FATE#306368
-------------------------------------------------------------------
Thu Nov 26 00:29:46 CET 2009 - gregkh@suse.de
- clean up patch fuzz
-------------------------------------------------------------------
Thu Nov 26 00:25:25 CET 2009 - gregkh@suse.de
- patches.drivers/via-unichrome-drm-bugfixes.patch: Delete.
it never went upstream, so we should drop it as well.
-------------------------------------------------------------------
Wed Nov 25 23:48:14 CET 2009 - tonyj@suse.de
- patches.trace/lttng-*: update for 2.6.32
- patches.trace/lttng-instrumentation-timer.patch: Delete.
- patches.xen/tmem: Refresh.
- patches.xen/xen3-auto-common.diff: Refresh.
-------------------------------------------------------------------
Wed Nov 25 23:09:05 CET 2009 - tonyj@suse.de
- patches.fixes/oprofile_bios_ctr.patch: detect oprofile counters
reserved by bios (FATE#307426).
-------------------------------------------------------------------
Wed Nov 25 03:16:32 CET 2009 - jeffm@suse.com
- patches.fixes/netfilter-remove-pointless-config_nf_ct_acct-warning:
netfilter: Remove pointless CONFIG_NF_CT_ACCT warning
(bnc#552033 (and others)).
-------------------------------------------------------------------
Tue Nov 24 19:04:38 CET 2009 - hare@suse.de
- Update config files.
- patches.drivers/mpt-fusion-4.22.00.00-update: Update MPT Fusion
driver to 4.22.00.00-suse (bnc#556587).
- patches.drivers/mpt-fusion-4.16.00.00-update: Delete.
-------------------------------------------------------------------
Tue Nov 24 17:29:29 CET 2009 - tiwai@suse.de
- patches.drivers/alsa-sp1-hda-17-fix-mute-LED-sync-idt92h383xxx:
ALSA: hda - Fix mute-LED sync on HP laptops with IDT92HD83xxx
codecs (bnc#547357).
-------------------------------------------------------------------
Tue Nov 24 13:56:01 CET 2009 - duwe@suse.de
- patches.arch/ppc-extended_h_cede-*: Increase power savings
by allowing the core to sleep.
(FATE##307059, bnc#550447)
-------------------------------------------------------------------
Tue Nov 24 08:08:35 CET 2009 - sjayaraman@suse.de
- patches.suse/kconfig-automate-kernel-desktop: automate a few config
options for kernel-desktop (FATE#305694).
-------------------------------------------------------------------
Tue Nov 24 07:11:22 CET 2009 - agraf@suse.de
- patches.arch/kvm-only-export-selected-pv-ops-feature-structs:
Update references (bnc#556135, FATE#306453).
- patches.arch/kvm-replace-kvm-io-delay-pv-ops-with-linux-magic:
Update references (bnc#556135, FATE#306453).
- patches.arch/kvm-split-paravirt-ops-by-functionality: Update
references (bnc#556135, FATE#306453).
- patches.arch/kvm-split-the-KVM-pv-ops-support-by-feature:
Update references (bnc#556135, FATE#306453).
-------------------------------------------------------------------
Mon Nov 23 17:41:03 CET 2009 - jeffm@suse.com
- Enabled:
patches.fixes/enclosure-fix-oops-while-iterating-enclosure_status-array
-------------------------------------------------------------------
Mon Nov 23 15:44:04 CET 2009 - jkosina@suse.de
- patches.arch/x86-crypto-add-ghash-algorithm-test.patch: crypto:
Add ghash algorithm test before provide to users (FATE#306883,
bnc#554578).
-------------------------------------------------------------------
Mon Nov 23 14:15:37 CET 2009 - jkosina@suse.de
- patches.arch/x86-crypto-pclmulqdq-accelerated-implementation.patch:
incorporate "ghash - put proper .data section in place" fix
-------------------------------------------------------------------
Mon Nov 23 08:04:16 CET 2009 - sjayaraman@suse.de
- Update config files.
- Add new options KERNEL_DESKTOP and DEFAULT_VM_DIRTY_RATIO.
- Enable KERNEL_DESKTOP for only desktop flavor.
-------------------------------------------------------------------
Mon Nov 23 07:34:49 CET 2009 - sjayaraman@suse.de
- patches.suse/mm-tune-dirty-limits.patch: mm: Make default
VM dirty ratio configurable to suit different workloads
(bnc#552883).
-------------------------------------------------------------------
Fri Nov 20 21:04:54 CET 2009 - mmarek@suse.cz
- rpm/kernel-syms.spec.in, rpm/mkspec: build kernel-syms only for
supported architectures.
-------------------------------------------------------------------
Fri Nov 20 19:08:56 CET 2009 - jeffm@suse.com
- Enabled B43_PHY_LP=y for PHY support on certain b43 chips.
-------------------------------------------------------------------
Fri Nov 20 17:29:45 CET 2009 - mmarek@suse.cz
- patches.suse/export-sync_page_range: Revert "vfs: Remove
generic_osync_inode() and sync_page_range{_nolock}()"
(bnc#557231).
-------------------------------------------------------------------
Fri Nov 20 17:26:01 CET 2009 - jbeulich@novell.com
- patches.suse/init-move-populate_rootfs-back-to-start_kernel:
Fix a bad-pointer warning.
-------------------------------------------------------------------
Fri Nov 20 15:07:41 CET 2009 - agruen@suse.de
- rpm/macros.kernel-source: Add kernel_module_package_moddir()
macro for cross-distro compatibility (FATE 305225).
-------------------------------------------------------------------
Fri Nov 20 15:02:22 CET 2009 - jslaby@suse.cz
- patches.suse/rlim-0001-SECURITY-selinux-fix-update_rlimit_cpu-parameter.patch:
Update references (FATE#305733).
- patches.suse/rlim-0002-SECURITY-add-task_struct-to-setrlimit.patch:
Update references (FATE#305733).
- patches.suse/rlim-0003-core-add-task_struct-to-update_rlimit_cpu.patch:
Update references (FATE#305733).
- patches.suse/rlim-0004-sys_setrlimit-make-sure-rlim_max-never-grows.patch:
Update references (FATE#305733).
- patches.suse/rlim-0005-core-split-sys_setrlimit.patch: Update
references (FATE#305733).
- patches.suse/rlim-0006-core-allow-setrlimit-to-non-current-tasks.patch:
Update references (FATE#305733).
- patches.suse/rlim-0007-core-optimize-setrlimit-for-current-task.patch:
Update references (FATE#305733).
- patches.suse/rlim-0008-FS-proc-make-limits-writable.patch:
Update references (FATE#305733).
- patches.suse/rlim-0009-core-posix-cpu-timers-cleanup-rlimits-usage.patch:
Update references (FATE#305733).
- patches.suse/rlim-0010-core-do-security-check-under-task_lock.patch:
Update references (FATE#305733).
- patches.suse/rlim-0011-resource-add-helpers-for-fetching-rlimits.patch:
Update references (FATE#305733).
- patches.suse/rlim-0012-IA64-use-helpers-for-rlimits.patch:
Update references (FATE#305733).
- patches.suse/rlim-0013-PPC-use-helpers-for-rlimits.patch:
Update references (FATE#305733).
- patches.suse/rlim-0014-S390-use-helpers-for-rlimits.patch:
Update references (FATE#305733).
- patches.suse/rlim-0015-SPARC-use-helpers-for-rlimits.patch:
Update references (FATE#305733).
- patches.suse/rlim-0016-X86-use-helpers-for-rlimits.patch:
Update references (FATE#305733).
- patches.suse/rlim-0017-FS-use-helpers-for-rlimits.patch:
Update references (FATE#305733).
- patches.suse/rlim-0018-MM-use-helpers-for-rlimits.patch:
Update references (FATE#305733).
- patches.suse/rlim-0019-core-use-helpers-for-rlimits.patch:
Update references (FATE#305733).
- patches.suse/rlim-0020-misc-use-helpers-for-rlimits.patch:
Update references (FATE#305733).
- patches.suse/rlim-0021-core-rename-setrlimit-to-do_setrlimit.patch:
Update references (FATE#305733).
- patches.suse/rlim-0022-core-implement-getprlimit-and-setprlimit-syscalls.patch:
Update references (FATE#305733).
- patches.suse/rlim-0023-unistd-add-__NR_-get-set-prlimit-syscall-numbers.patch:
Update references (FATE#305733).
- patches.suse/rlim-0024-COMPAT-add-get-put_compat_rlimit.patch:
Update references (FATE#305733).
- patches.suse/rlim-0025-x86-add-ia32-compat-prlimit-syscalls.patch:
Update references (FATE#305733).
-------------------------------------------------------------------
Fri Nov 20 14:38:38 CET 2009 - jslaby@suse.cz
- Add writable resource limits support
- patches.suse/perfmon2.patch: Refresh.
- patches.suse/rlim-0001-SECURITY-selinux-fix-update_rlimit_cpu-parameter.patch:
SECURITY: selinux, fix update_rlimit_cpu parameter.
- patches.suse/rlim-0002-SECURITY-add-task_struct-to-setrlimit.patch:
SECURITY: add task_struct to setrlimit.
- patches.suse/rlim-0003-core-add-task_struct-to-update_rlimit_cpu.patch:
core: add task_struct to update_rlimit_cpu.
- patches.suse/rlim-0004-sys_setrlimit-make-sure-rlim_max-never-grows.patch:
sys_setrlimit: make sure ->rlim_max never grows.
- patches.suse/rlim-0005-core-split-sys_setrlimit.patch: core:
split sys_setrlimit.
- patches.suse/rlim-0006-core-allow-setrlimit-to-non-current-tasks.patch:
core: allow setrlimit to non-current tasks.
- patches.suse/rlim-0007-core-optimize-setrlimit-for-current-task.patch:
core: optimize setrlimit for current task.
- patches.suse/rlim-0008-FS-proc-make-limits-writable.patch:
FS: proc, make limits writable.
- patches.suse/rlim-0009-core-posix-cpu-timers-cleanup-rlimits-usage.patch:
core: posix-cpu-timers, cleanup rlimits usage.
- patches.suse/rlim-0010-core-do-security-check-under-task_lock.patch:
core: do security check under task_lock.
- patches.suse/rlim-0011-resource-add-helpers-for-fetching-rlimits.patch:
resource: add helpers for fetching rlimits.
- patches.suse/rlim-0012-IA64-use-helpers-for-rlimits.patch:
IA64: use helpers for rlimits.
- patches.suse/rlim-0013-PPC-use-helpers-for-rlimits.patch: PPC:
use helpers for rlimits.
- patches.suse/rlim-0014-S390-use-helpers-for-rlimits.patch:
S390: use helpers for rlimits.
- patches.suse/rlim-0015-SPARC-use-helpers-for-rlimits.patch:
SPARC: use helpers for rlimits.
- patches.suse/rlim-0016-X86-use-helpers-for-rlimits.patch: X86:
use helpers for rlimits.
- patches.suse/rlim-0017-FS-use-helpers-for-rlimits.patch: FS:
use helpers for rlimits.
- patches.suse/rlim-0018-MM-use-helpers-for-rlimits.patch: MM:
use helpers for rlimits.
- patches.suse/rlim-0019-core-use-helpers-for-rlimits.patch:
core: use helpers for rlimits.
- patches.suse/rlim-0020-misc-use-helpers-for-rlimits.patch:
misc: use helpers for rlimits.
- patches.suse/rlim-0021-core-rename-setrlimit-to-do_setrlimit.patch:
core: rename setrlimit to do_setrlimit.
- patches.suse/rlim-0022-core-implement-getprlimit-and-setprlimit-syscalls.patch:
core: implement getprlimit and setprlimit syscalls.
- patches.suse/rlim-0023-unistd-add-__NR_-get-set-prlimit-syscall-numbers.patch:
unistd: add __NR_[get|set]prlimit syscall numbers.
- patches.suse/rlim-0024-COMPAT-add-get-put_compat_rlimit.patch:
COMPAT: add get/put_compat_rlimit.
- patches.suse/rlim-0025-x86-add-ia32-compat-prlimit-syscalls.patch:
x86: add ia32 compat prlimit syscalls.
-------------------------------------------------------------------
Fri Nov 20 14:11:56 CET 2009 - bphilips@suse.de
- patches.drivers/phy-broadcom-bug-fixes-for-sp1.patch:
phy/broadcom: bug fixes for SP1 (FATE#307117, bnc#556234).
- patches.drivers/tg3-update-version-to-3.104.patch: tg3: Update
version to 3.104 (bnc#556234, FATE#307117).
-------------------------------------------------------------------
Fri Nov 20 14:11:26 CET 2009 - bphilips@suse.de
- patches.drivers/phy-broadcom-bug-fixes-for-sp1.patch:
phy/broadcom: bug fixes for SP1 (FATE#307117, bnc#556234).
- patches.drivers/tg3-update-version-to-3.104.patch: tg3: Update
version to 3.104 (bnc#556234, FATE#307117).
-------------------------------------------------------------------
Fri Nov 20 13:58:29 CET 2009 - hare@suse.de
- patches.drivers/megaraid-04.12-update: megaraid: Update
megaraid_sas to version 04.12 (FATE#307125).
-------------------------------------------------------------------
Fri Nov 20 13:41:37 CET 2009 - bphilips@suse.de
- patches.drivers/bnx2-entropy-source.patch: bnx2: entropy source
(FATE#307517).
- patches.drivers/e1000-entropy-source.patch: Enable e1000 as
entropy source (disabled by default) (FATE#307517).
- patches.drivers/e1000e-entropy-source.patch: Enable e1000e as
entropy source (disabled by default) (FATE#307517).
- patches.drivers/igb-entropy-source.patch: Enable igb as entropy
source (disabled by default) (FATE#307517).
- patches.drivers/ixgbe-entropy-source.patch: Enable ixgbe as
entropy source (disabled by default) (FATE#307517).
- patches.drivers/tg3-entropy-source.patch: tg3: entropy source
(FATE#307517).
-------------------------------------------------------------------
Fri Nov 20 13:16:20 CET 2009 - hare@suse.de
- patches.fixes/scsi-fix-bug-with-dma-maps-on-nested-scsi-objects:
scsi_lib_dma: fix bug with dma maps on nested scsi objects
(bnc#556595).
- patches.fixes/scsi-introduce-helper-function-for-blocking-eh:
scsi_transport_fc: Introduce helper function for blocking
scsi_eh (bnc#556595).
- patches.fixes/scsi-skip-nonscsi-device-for-dma: Delete.
-------------------------------------------------------------------
Fri Nov 20 12:32:48 CET 2009 - hare@suse.de
Whitespace cleanup for series2git:
- patches.arch/s390-message-catalog.diff: Refresh.
- patches.drivers/aacraid-24701-update: Refresh.
- patches.suse/crasher-26.diff: Refresh.
- patches.suse/kdb-common: Refresh.
- patches.suse/kdb-ia64: Refresh.
- patches.suse/kdb-x86: Refresh.
- patches.suse/ocfs2-allocation-resrvations.patch: Refresh.
- patches.suse/perfmon2.patch: Refresh.
- patches.suse/perfmon2_ioctl.patch: Refresh.
- patches.suse/stack-unwind: Refresh.
-------------------------------------------------------------------
Fri Nov 20 12:19:54 CET 2009 - bphilips@suse.de
- patches.drivers/igb-add-support-for-82576NS-SerDes-adapter.patch:
igb: add support for 82576NS SerDes adapter (FATE#306856).
-------------------------------------------------------------------
Fri Nov 20 09:06:24 CET 2009 - jbeulich@novell.com
- patches.suse/dm-mpath-evaluate-request-result-and-sense:
Fix for !CONFIG_SCSI (in -ec2).
-------------------------------------------------------------------
Fri Nov 20 05:55:12 CET 2009 - mfasheh@suse.com
- patches.suse/ocfs2-allocation-resrvations.patch: ocfs2:
allocation reservations (bnc#501563 FATE#307247).
-------------------------------------------------------------------
Fri Nov 20 05:31:32 CET 2009 - jjolly@suse.de
- patches.suse/perfmon2.patch: Refresh.
- patches.arch/x86-self-ptrace.patch: Delete. (bnc#554585,LTC#57794)
- patches.suse/self-ptrace.patch: Delete. (bnc#554585,LTC#57794)
-------------------------------------------------------------------
Fri Nov 20 01:43:27 CET 2009 - jeffm@suse.com
- Update to 2.6.32-rc8.
- Eliminated 1 patch.
-------------------------------------------------------------------
Fri Nov 20 01:30:46 CET 2009 - jeffm@suse.de
- patches.fixes/enclosure-fix-oops-while-iterating-enclosure_status-array:
enclosure: fix oops while iterating enclosure_status array
(bnc#540997).
-------------------------------------------------------------------
Thu Nov 19 20:04:16 CET 2009 - tonyj@suse.de
- Update config files.
- patches.suse/perfmon2.patch: perfmon2 (FATE#303968).
- patches.suse/perfmon2_ioctl.patch: switch to ioctl interface
for perfmon2.
- patches.suse/perfmon2_noutrace.patch: remove UTRACE code
from perfmon2.
- patches.xen/xen3-patch-2.6.28: Refresh.
-------------------------------------------------------------------
Thu Nov 19 19:58:15 CET 2009 - jjolly@suse.de
- Update config files.
- patches.arch/s390-message-catalog.diff: Kernel message
catalog. (bnc#549193,LTC#57210,FATE#306999).
- rpm/kernel-binary.spec.in:
-------------------------------------------------------------------
Thu Nov 19 15:33:07 CET 2009 - jbohac@suse.cz
- patches.suse/add-queue_depth-ramp-up-code.patch: add queue_depth
ramp up code (fate#306857, fate#306859, bnc#551175).
- patches.suse/fcoe-add-a-separate-scsi-transport-template-for-npiv-vports.patch:
fcoe: add a separate scsi transport template for NPIV vports
(fate#306857, fate#306859, bnc#551175).
- patches.suse/fcoe-add-check-to-fail-gracefully-in-bonding-mode.patch:
fcoe: add check to fail gracefully in bonding mode (fate#306857,
fate#306859, bnc#551175).
- patches.suse/fcoe-call-ndo_fcoe_enable-disable-to-turn-fcoe-feature-on-off-in-lld.patch:
fcoe: Call ndo_fcoe_enable/disable to turn FCoE feature on/off
in LLD (fate#306857, fate#306859, bnc#551175).
- patches.suse/fcoe-fix-checking-san-mac-address.patch: fcoe: Fix
checking san mac address (fate#306857, fate#306859, bnc#551175).
- patches.suse/fcoe-fix-getting-san-mac-for-vlan-interface.patch:
fcoe: Fix getting san mac for VLAN interface (fate#306857,
fate#306859, bnc#551175).
- patches.suse/fcoe-fix-setting-lport-s-wwnn-wwpn-to-use-san-mac-address.patch:
fcoe: Fix setting lport's WWNN/WWPN to use san mac address
(fate#306857, fate#306859, bnc#551175).
- patches.suse/fcoe-fix-using-vlan-id-in-creating-lport-s-wwwn-wwpn.patch:
fcoe: Fix using VLAN ID in creating lport's WWWN/WWPN
(fate#306857, fate#306859, bnc#551175).
- patches.suse/fcoe-formatting-cleanups-and-commenting.patch:
fcoe: Formatting cleanups and commenting (fate#306857,
fate#306859, bnc#551175).
- patches.suse/fcoe-increase-fcoe_max_lun-to-0xffff-65535.patch:
fcoe: Increase FCOE_MAX_LUN to 0xFFFF (65535) (fate#306857,
fate#306859, bnc#551175).
- patches.suse/fcoe-initialize-return-value-in-fcoe_destroy.patch:
fcoe: initialize return value in fcoe_destroy (fate#306857,
fate#306859, bnc#551175).
- patches.suse/fcoe-libfc-fix-an-libfc-issue-with-queue-ramp-down-in-libfc.patch:
fcoe, libfc: fix an libfc issue with queue ramp down in libfc
(fate#306857, fate#306859, bnc#551175).
- patches.suse/fcoe-libfc-use-single-frame-allocation-api.patch:
fcoe, libfc: use single frame allocation API (fate#306857,
fate#306859, bnc#551175).
- patches.suse/fcoe-npiv-vport-create-destroy.patch: fcoe: NPIV
vport create/destroy (fate#306857, fate#306859, bnc#551175).
- patches.suse/fcoe-remove-extra-function-decalrations.patch:
fcoe: remove extra function decalrations (fate#306857,
fate#306859, bnc#551175).
- patches.suse/fcoe-remove-redundant-checking-of-netdev-netdev_ops.patch:
fcoe: remove redundant checking of netdev->netdev_ops
(fate#306857, fate#306859, bnc#551175).
- patches.suse/fcoe-use-netif_f_fcoe_mtu-flag-to-set-up-max-frame-size-lport-mfs.patch:
fcoe: Use NETIF_F_FCOE_MTU flag to set up max frame size
(lport->mfs) (fate#306857, fate#306859, bnc#551175).
- patches.suse/fcoe-vport-symbolic-name-support.patch: fcoe: vport
symbolic name support (fate#306857, fate#306859, bnc#551175).
- patches.suse/libfc-add-host-number-to-lport-link-up-down-messages.patch:
libfc: add host number to lport link up/down
messages. (fate#306857, fate#306859, bnc#551175).
- patches.suse/libfc-add-libfc-fc_libfc-ch-for-libfc-internal-routines.patch:
libfc: Add libfc/fc_libfc.[ch] for libfc internal routines
(fate#306857, fate#306859, bnc#551175).
- patches.suse/libfc-add-queue_depth-ramp-up.patch: libfc:
add queue_depth ramp up (fate#306857, fate#306859, bnc#551175).
- patches.suse/libfc-add-routine-to-copy-data-from-a-buffer-to-a-sg-list.patch:
libfc: Add routine to copy data from a buffer to a SG list
(fate#306857, fate#306859, bnc#551175).
- patches.suse/libfc-add-set_fid-function-to-libfc-template.patch:
libfc: add set_fid function to libfc template (fate#306857,
fate#306859, bnc#551175).
- patches.suse/libfc-add-some-generic-npiv-support-routines-to-libfc.patch:
libfc: add some generic NPIV support routines to libfc
(fate#306857, fate#306859, bnc#551175).
- patches.suse/libfc-adds-can_queue-ramp-up.patch: libfc: adds
can_queue ramp up (fate#306857, fate#306859, bnc#551175).
- patches.suse/libfc-adds-missing-exch-release-for-accepted-rrq.patch:
libfc: adds missing exch release for accepted RRQ (fate#306857,
fate#306859, bnc#551175).
- patches.suse/libfc-changes-to-libfc_host_alloc-to-consolidate-initialization-with-allocation.patch:
libfc: changes to libfc_host_alloc to consolidate initialization
with allocation (fate#306857, fate#306859, bnc#551175).
- patches.suse/libfc-combine-name-server-registration-request-functions.patch:
libfc: combine name server registration request functions
(fate#306857, fate#306859, bnc#551175).
- patches.suse/libfc-combine-name-server-registration-response-handlers.patch:
libfc: combine name server registration response handlers
(fate#306857, fate#306859, bnc#551175).
- patches.suse/libfc-convert-to-scsi_track_queue_full.patch:
libfc: convert to scsi_track_queue_full (fate#306857,
fate#306859, bnc#551175).
- patches.suse/libfc-do-not-use-did_no_connect-for-pkt-alloc-failures.patch:
libfc: do not use DID_NO_CONNECT for pkt alloc
failures. (fate#306857, fate#306859, bnc#551175).
- patches.suse/libfc-don-t-warn_on-in-lport_timeout-for-reset-state.patch:
libfc: don't WARN_ON in lport_timeout for RESET state
(fate#306857, fate#306859, bnc#551175).
- patches.suse/libfc-export-fc-headers.patch: libfc: Export FC
headers (fate#306857, fate#306859, bnc#551175).
- patches.suse/libfc-fcoe-add-fc-passthrough-support.patch: libfc,
fcoe: Add FC passthrough support (fate#306857, fate#306859,
bnc#551175).
- patches.suse/libfc-fcoe-don-t-export_symbols-unnecessarily.patch:
libfc, fcoe: Don't EXPORT_SYMBOLS unnecessarily (fate#306857,
fate#306859, bnc#551175).
- patches.suse/libfc-fcoe-fixes-for-highmem-skb-linearize-panics.patch:
libfc, fcoe: fixes for highmem skb linearize panics
(fate#306857, fate#306859, bnc#551175).
- patches.suse/libfc-fcoe-increase-els-and-ct-timeouts.patch:
libfc fcoe: increase ELS and CT timeouts (fate#306857,
fate#306859, bnc#551175).
- patches.suse/libfc-fix-an-issue-of-pending-exch-es-after-i-f-destroyed-or-rmmod-fcoe.patch:
libfc: fix an issue of pending exch/es after i/f destroyed or
rmmod fcoe (fate#306857, fate#306859, bnc#551175).
- patches.suse/libfc-fix-ddp-in-fc_fcp-for-0-xid.patch: libfc: fix
ddp in fc_fcp for 0 xid (fate#306857, fate#306859, bnc#551175).
- patches.suse/libfc-fix-fc_els_resp_type-to-correct-display-of-ct-responses.patch:
libfc: fix fc_els_resp_type to correct display of CT responses
(fate#306857, fate#306859, bnc#551175).
- patches.suse/libfc-fix-frags-in-frame-exceeding-skb_max_frags-in-fc_fcp_send_data.patch:
libfc: Fix frags in frame exceeding SKB_MAX_FRAGS in
fc_fcp_send_data (fate#306857, fate#306859, bnc#551175).
- patches.suse/libfc-fix-free-of-fc_rport_priv-with-timer-pending.patch:
libfc: fix free of fc_rport_priv with timer pending
(fate#306857, fate#306859, bnc#551175).
- patches.suse/libfc-fix-memory-corruption-caused-by-double-frees-and-bad-error-handling.patch:
libfc: fix memory corruption caused by double frees and bad
error handling (fate#306857, fate#306859, bnc#551175).
- patches.suse/libfc-fix-rnn_id-smashing-skb-payload.patch: libfc:
fix RNN_ID smashing skb payload (fate#306857, fate#306859,
bnc#551175).
- patches.suse/libfc-fix-symbolic-name-registrations-smashing-skb-data.patch:
libfc: fix symbolic name registrations smashing skb data
(fate#306857, fate#306859, bnc#551175).
- patches.suse/libfc-fix-typo-in-retry-check-on-received-prli.patch:
libfc: fix typo in retry check on received PRLI (fate#306857,
fate#306859, bnc#551175).
- patches.suse/libfc-fix-wrong-scsi-return-status-under-fc_data_undrun.patch:
libfc: Fix wrong scsi return status under FC_DATA_UNDRUN
(fate#306857, fate#306859, bnc#551175).
- patches.suse/libfc-formatting-cleanups-across-libfc.patch:
libfc: Formatting cleanups across libfc (fate#306857,
fate#306859, bnc#551175).
- patches.suse/libfc-libfcoe-fdisc-els-for-npiv.patch: libfc,
libfcoe: FDISC ELS for NPIV (fate#306857, fate#306859,
bnc#551175).
- patches.suse/libfc-lport-fix-minor-documentation-errors.patch:
libfc: lport: fix minor documentation errors (fate#306857,
fate#306859, bnc#551175).
- patches.suse/libfc-move-libfc_init-and-libfc_exit-to-fc_libfc-c.patch:
libfc: Move libfc_init and libfc_exit to fc_libfc.c
(fate#306857, fate#306859, bnc#551175).
- patches.suse/libfc-move-non-common-routines-and-prototypes-out-of-libfc-h.patch:
libfc: Move non-common routines and prototypes out of libfc.h
(fate#306857, fate#306859, bnc#551175).
- patches.suse/libfc-reduce-can_queue-for-all-fcp-frame-allocation-failures.patch:
libfc: reduce can_queue for all FCP frame allocation failures
(fate#306857, fate#306859, bnc#551175).
- patches.suse/libfc-register-fc4-features-with-the-fc-switch.patch:
libfc: register FC4 features with the FC switch (fate#306857,
fate#306859, bnc#551175).
- patches.suse/libfc-register-symbolic-node-name-rsnn_nn.patch:
libfc: Register Symbolic Node Name (RSNN_NN) (fate#306857,
fate#306859, bnc#551175).
- patches.suse/libfc-register-symbolic-port-name-rspn_id.patch:
libfc: Register Symbolic Port Name (RSPN_ID) (fate#306857,
fate#306859, bnc#551175).
- patches.suse/libfc-remove-fc_fcp_complete.patch: libfc: Remove
fc_fcp_complete (fate#306857, fate#306859, bnc#551175).
- patches.suse/libfc-remove-unused-fc_lport-pointer-from-fc_fcp_pkt_abort.patch:
libfc: Remove unused fc_lport pointer from fc_fcp_pkt_abort
(fate#306857, fate#306859, bnc#551175).
- patches.suse/libfc-removes-initializing-fc_cpu_order-and-fc_cpu_mask-per-lport.patch:
libfc: removes initializing fc_cpu_order and fc_cpu_mask per
lport (fate#306857, fate#306859, bnc#551175).
- patches.suse/libfc-removes-unused-disc_work-and-ex_list.patch:
libfc: removes unused disc_work and ex_list (fate#306857,
fate#306859, bnc#551175).
- patches.suse/libfc-rnn_id-may-be-required-before-rsnn_nn-with-some-switches.patch:
libfc: RNN_ID may be required before RSNN_NN with some switches
(fate#306857, fate#306859, bnc#551175).
- patches.suse/libfc-rpn_id-is-obsolete-and-unnecessary.patch:
libfc: RPN_ID is obsolete and unnecessary (fate#306857,
fate#306859, bnc#551175).
- patches.suse/libfc-vport-link-handling-and-fc_vport-state-managment.patch:
libfc: vport link handling and fc_vport state managment
(fate#306857, fate#306859, bnc#551175).
- patches.suse/libfcoe-allow-fip-to-be-disabled-by-the-driver.patch:
libfcoe: Allow FIP to be disabled by the driver (fate#306857,
fate#306859, bnc#551175).
- patches.suse/libfcoe-do-not-pad-fip-keep-alive-to-full-frame-size.patch:
libfcoe: Do not pad FIP keep-alive to full frame size
(fate#306857, fate#306859, bnc#551175).
- patches.suse/libfcoe-don-t-send-els-in-fip-mode-if-no-fcf-selected.patch:
libfcoe: don't send ELS in FIP mode if no FCF selected
(fate#306857, fate#306859, bnc#551175).
- patches.suse/libfcoe-fcoe-libfcoe-npiv-support.patch:
libfcoe, fcoe: libfcoe NPIV support (fate#306857, fate#306859,
bnc#551175).
- patches.suse/libfcoe-fcoe-simplify-receive-flogi-response.patch:
libfcoe: fcoe: simplify receive FLOGI response (fate#306857,
fate#306859, bnc#551175).
- patches.suse/libfcoe-fip-allow-fip-receive-to-be-called-from-irq.patch:
libfcoe: fip: allow FIP receive to be called from
IRQ. (fate#306857, fate#306859, bnc#551175).
- patches.suse/libfcoe-fip-should-report-link-to-libfc-whether-selected-or-not.patch:
libfcoe: FIP should report link to libfc whether selected or
not (fate#306857, fate#306859, bnc#551175).
- patches.suse/libfcoe-fip-use-scsi-host-number-to-identify-debug-messages.patch:
libfcoe: fip: use SCSI host number to identify debug
messages. (fate#306857, fate#306859, bnc#551175).
- patches.suse/libfcoe-formatting-and-comment-cleanups.patch:
libfcoe: formatting and comment cleanups (fate#306857,
fate#306859, bnc#551175).
- patches.suse/modify-change_queue_depth-to-take-in-reason-why-it-is-being-called.patch:
modify change_queue_depth to take in reason why it is being
called (fate#306857, fate#306859, bnc#551175).
- patches.suse/scsi-error-have-scsi-ml-call-change_queue_depth-to-handle-queue_full.patch:
scsi error: have scsi-ml call change_queue_depth to handle
QUEUE_FULL (fate#306857, fate#306859, bnc#551175).
-------------------------------------------------------------------
Thu Nov 19 15:04:17 CET 2009 - hare@suse.de
- patches.suse/dm-mpath-accept-failed-paths: Fixup patch to apply.
-------------------------------------------------------------------
Thu Nov 19 14:43:31 CET 2009 - hare@suse.de
Port patches from SLES11:
- patches.fixes/scsi-dh-queuedata-accessors: Kernel bug triggered
in multipath (bnc#486001).
- patches.suse/dm-mpath-accept-failed-paths: Refresh.
- patches.suse/dm-mpath-detach-existing-hardware-handler:
multipath: detach existing hardware handler if none was
specified.
- patches.suse/dm-mpath-requeue-for-stopped-queue: Delete.
-------------------------------------------------------------------
Thu Nov 19 13:34:34 CET 2009 - hare@suse.de
- patches.suse/dm-mpath-evaluate-request-result-and-sense:
multipath: Evaluate request result and sense code
(FATE#303695,bnc#433920,bnc#442001).
-------------------------------------------------------------------
Thu Nov 19 12:51:30 CET 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Kill support for kernel-$flavor
symbols, we need a unified kernel-source for all flavors.
-------------------------------------------------------------------
Thu Nov 19 12:30:28 CET 2009 - hare@suse.de
- patches.fixes/bnx2i-use-common-iscsi-suspend-queue: bnx2i:
use common iscsi suspend queue (FATE#307215).
- patches.fixes/iscsi-class-modify-handling-of-replacement-time:
iscsi class: modify handling of replacement timeout
(FATE#307215).
- patches.fixes/iser-set-tgt-and-lu-reset-timeout: iser: set
tgt and lu reset timeout (FATE#307215).
- patches.fixes/libiscsi-add-warm-target-reset-tmf-support:
libiscsi: add warm target reset tmf support (FATE#307215).
- patches.fixes/libiscsi-check-tmf-state-before-sending-pdu:
libiscsi: Check TMF state before sending PDU (FATE#307215).
- patches.fixes/libiscsi-fix-login-text-checks-in-pdu-inject:
libiscsi: fix login/text checks in pdu injection code
(FATE#307215).
- patches.fixes/scsi-add-scsi-target-reset-support-to-ioctl:
add scsi target reset support to scsi ioctl.
- patches.fixes/scsi-devinfo-update-hitachi-entries: scsi_devinfo:
update Hitachi entries (v2).
- patches.fixes/scsi-fc-class-failfast-bsg-requests: [SCSI]
fc class: fail fast bsg requests.
- patches.drivers/cxgb3i: Delete.
- patches.drivers/cxgb3i-fixed-offload-array-size: Delete.
- patches.fixes/disable-lro-per-default: Delete.
-------------------------------------------------------------------
Thu Nov 19 11:54:22 CET 2009 - hare@suse.de
- patches.fixes/scsi_dh-always-attach-sysfs: scsi_dh: create
sysfs file, dh_state for all SCSI disk devices.
- patches.fixes/scsi_dh-change-activate-interface: scsi_dh: Change
the scsidh_activate interface to be asynchronous (bnc#556144).
- patches.fixes/scsi_dh-make-alua-handler-asynchronous: scsi_dh:
Make alua hardware handler's activate() async (bnc#556144).
- patches.fixes/scsi_dh-make-hp_sw-handler-asynchronous: scsi_dh:
Make hp hardware handler's activate() async (bnc#556144).
- patches.fixes/scsi_dh-make-rdac-handler-asynchronous: scsi_dh:
Make rdac hardware handler's activate() async (bnc#556144).
-------------------------------------------------------------------
Thu Nov 19 10:04:14 CET 2009 - hare@suse.de
- patches.drivers/qla4xxx-5.01.00-k8_sles11-03-update: Delete.
- patches.drivers/qla4xxx-sles11-update: Delete.
-------------------------------------------------------------------
Thu Nov 19 09:30:52 CET 2009 - hare@suse.de
- Update config files.
- patches.drivers/hpsa: hpsa: New driver SCSI driver for HP
Smart Array (FATE#307153,bnc#555855).
- supported.conf: Mark hpsa as supported.
-------------------------------------------------------------------
Thu Nov 19 08:44:57 CET 2009 - jjolly@suse.de
- patches.arch/s390-01-qeth-isolation.patch: qeth: Exploit QDIO
Data Connection Isolation (bnc#555199,LTC#57826,FATE#307015).
- patches.arch/s390-02-01-cex3-init-msg.patch:
zcrypt: initialize ap_messages for cex3 exploitation
(bnc#555200,LTC#57825,FATE#307112).
- patches.arch/s390-02-02-cex3-special-command.patch:
zcrypt: special command support for cex3 exploitation
(bnc#555200,LTC#57825,FATE#307112).
- patches.arch/s390-02-03-cex3-device.patch: zcrypt: add support
for cex3 device types (bnc#555200,LTC#57825,FATE#307112).
- patches.arch/s390-02-04-cex3-use-def.patch: zcrypt: use
definitions for cex3 (bnc#555200,LTC#57825,FATE#307112).
- patches.arch/s390-02-05-zcrypt-speed-cex2c.patch:
zcrypt: adjust speed rating between cex2 and pcixcc
(bnc#555200,LTC#57825,FATE#307112).
- patches.arch/s390-02-06-zcrypt-speed-cex3.patch:
zcrypt: adjust speed rating of cex3 adapters
(bnc#555200,LTC#57825,FATE#307112).
- patches.arch/s390-sles11sp1-01-qeth-isolation.patch: Delete.
-------------------------------------------------------------------
Thu Nov 19 08:17:57 CET 2009 - jjolly@suse.de
- patches.arch/s390-sles11sp1-01-qeth-isolation.patch:
qeth: Exploit QDIO Data Connection Isolation
(bnc#555199,LTC#57826,FATE#307015).
-------------------------------------------------------------------
Wed Nov 18 21:58:51 CET 2009 - jeffm@suse.com
- scripts/sequence-patch.sh: Add automatic generation of supported
modules list.
-------------------------------------------------------------------
Wed Nov 18 21:53:18 CET 2009 - jeffm@suse.com
- Update config files: Enable CONFIG_ACPI_CUSTOM_OVERRIDE_INITRAMFS
-------------------------------------------------------------------
Wed Nov 18 20:56:52 CET 2009 - jeffm@suse.com
- patches.suse/acpi-generic-initramfs-table-override-support:
ACPI: generic initramfs table override support (bnc#533555).
- patches.suse/init-move-populate_rootfs-back-to-start_kernel:
init: move populate_rootfs back to start_kernel (bnc#533555).
-------------------------------------------------------------------
Wed Nov 18 18:07:48 CET 2009 - jkosina@suse.de
- patches.arch/x86-crypto-pclmulqdq-accelerated-implementation.patch:
incorporate "crypto: ghash-intel - Hard-code pshufb" fix
-------------------------------------------------------------------
Wed Nov 18 17:12:10 CET 2009 - jeffm@suse.com
- patches.suse/hung_task_timeout-configurable-default:
hung_task_timeout: configurable default (bnc#552820).
- Default to runtime-disabled on all flavors except debug.
-------------------------------------------------------------------
Wed Nov 18 16:48:59 CET 2009 - agraf@suse.de
- patches.fixes/kvm-ioapic.patch: Ignore apic polarity
(bnc#556564).
- patches.fixes/kvm-macos.patch: Implement some missing intercepts
so osx doesn't blow up (bnc#556564).
-------------------------------------------------------------------
Wed Nov 18 15:52:02 CET 2009 - hare@suse.de
- supported.conf: mark missing 10Gb drivers as supported
(bnc#555793)
-------------------------------------------------------------------
Wed Nov 18 15:39:48 CET 2009 - hare@suse.de
- patches.drivers/aacraid-24701-update: Problems with aacraid
(bnc#524242,FATE#307437).
-------------------------------------------------------------------
Wed Nov 18 15:19:32 CET 2009 - hare@suse.de
Cleanup patches for series2git:
- patches.apparmor/apparmor.diff: Refresh.
- patches.suse/Cleanup-and-make-boot-splash-work-with-KMS.patch:
Refresh.
- patches.suse/bootsplash: Refresh.
- patches.suse/nfs4acl-ext3.diff: Refresh.
- patches.suse/silent-stack-overflow-2.patch: Refresh.
- patches.suse/suse-ppc32-mol.patch: Refresh.
- patches.suse/xfs-dmapi-src: Refresh.
-------------------------------------------------------------------
Wed Nov 18 15:16:59 CET 2009 - agraf@suse.de
- Update config files to enable pv-ops for KVM clock. (bnc#556135)
-------------------------------------------------------------------
Wed Nov 18 14:52:16 CET 2009 - tiwai@suse.de
- patches.drivers/alsa-sp1-hda-01-select-ibexpeak-handler: ALSA:
hda - select IbexPeak handler for Calpella (FATE#306783).
- patches.drivers/alsa-sp1-hda-02-vectorize-get_empty_pcm_device:
ALSA: hda - vectorize get_empty_pcm_device() (FATE#306783).
- patches.drivers/alsa-sp1-hda-03-allow-up-to-4-HDMI: ALSA:
hda - allow up to 4 HDMI devices (FATE#306783).
- patches.drivers/alsa-sp1-hda-04-convert-intelhdmi-global-references:
ALSA: hda - convert intelhdmi global references to local
parameters (FATE#306783).
- patches.drivers/alsa-sp1-hda-05-remove-intelhdmi-dependency-on-multiout:
ALSA: hda - remove intelhdmi dependency on multiout
(FATE#306783).
- patches.drivers/alsa-sp1-hda-06-use-pcm-prepare-callbacks-for-intelhdmi:
ALSA: hda - use pcm prepare/cleanup callbacks for intelhdmi
(FATE#306783).
- patches.drivers/alsa-sp1-hda-07-reorder-intelhemi-prepare-callbacks:
ALSA: hda - reorder intelhdmi prepare/cleanup callbacks
(FATE#306783).
- patches.drivers/alsa-sp1-hda-08-vectorize-intelhdmi: ALSA:
hda - vectorize intelhdmi (FATE#306783).
- patches.drivers/alsa-sp1-hda-09-get-intelhtemi-max-channels:
ALSA: hda - get intelhdmi max channels from widget caps
(FATE#306783).
- patches.drivers/alsa-sp1-hda-10-auto-parse-intelhdmi-cvt-pin:
ALSA: hda - auto parse intelhdmi cvt/pin configurations
(FATE#306783).
- patches.drivers/alsa-sp1-hda-11-remove-static-intelhdmi-config:
ALSA: hda - remove static intelhdmi configurations
(FATE#306783).
- patches.drivers/alsa-sp1-hda-12-reset-pins-idt-codec-free:
ALSA: hda - Reset pins of IDT/STAC codecs at free (bnc#544779).
- patches.drivers/alsa-sp1-hda-13-add-reboot-notifier: ALSA:
hda - Add reboot notifier to each codec (bnc#544779).
- patches.drivers/alsa-sp1-hda-14-add-missing-export: ALSA: hda -
Add missing export for snd_hda_bus_reboot_notify (bnc#544779).
- patches.drivers/alsa-sp1-hda-15-fix-build-warning: ALSA: hda -
Add a proper ifdef to a debug code (FATE#306783).
- patches.drivers/alsa-sp1-hda-16-stac-dual-headphones-fix:
ALSA: hda - Fix detection of dual headphones (bnc#556532).
-------------------------------------------------------------------
Wed Nov 18 14:35:44 CET 2009 - jkosina@suse.de
- patches.arch/x86-crypto-pclmulqdq-accelerated-implementation.patch:
crypto: ghash - Add PCLMULQDQ accelerated implementation
(FATE#306883, bnc#554578).
- Update config files: set CRYPTO_GHASH_CLMUL_NI_INTEL=m
- patches.xen/xen3-patch-2.6.28: Refresh to apply cleanly
-------------------------------------------------------------------
Wed Nov 18 14:32:52 CET 2009 - agraf@suse.de
- patches.arch/kvm-only-export-selected-pv-ops-feature-structs:
Only export selected pv-ops feature structs (bnc#556135).
- patches.arch/kvm-replace-kvm-io-delay-pv-ops-with-linux-magic:
Replace kvm io delay pv-ops with linux magic (bnc#556135).
- patches.arch/kvm-split-paravirt-ops-by-functionality: Split
paravirt ops by functionality (bnc#556135).
- patches.arch/kvm-split-the-KVM-pv-ops-support-by-feature:
Split the KVM pv-ops support by feature (bnc#556135).
- patches.xen/xen3-patch-2.6.23: Refresh.
- patches.xen/xen3-patch-2.6.31: Refresh.
-------------------------------------------------------------------
Wed Nov 18 14:07:01 CET 2009 - teheo@suse.de
- patches.suse/kbuild-icecream-workaround: Delete.
-------------------------------------------------------------------
Wed Nov 18 13:37:41 CET 2009 - trenn@suse.de
- Update config files.
Also enable CONFIG_DMAR (fate#306796) for vanilla flavors
-------------------------------------------------------------------
Wed Nov 18 12:58:34 CET 2009 - trenn@suse.de
- Update config files.
Do the same for i386
-------------------------------------------------------------------
Wed Nov 18 10:14:35 CET 2009 - trenn@suse.de
- Update config files.
Enabling CONFIG_DMAR (fate#306796), introduces these changes:
+CONFIG_HAVE_INTEL_TXT=y
-# CONFIG_DMAR is not set
+CONFIG_DMAR=y
+# CONFIG_DMAR_DEFAULT_ON is not set
+CONFIG_DMAR_FLOPPY_WA=y
+# CONFIG_INTEL_TXT is not set
Done for x86_64 for now only.
-------------------------------------------------------------------
Mon Nov 16 23:44:12 CET 2009 - jkosina@suse.de
- patches.fixes/hid-fixup-ncr-quirk.patch: HID: fixup quirk for
NCR devices (bnc#548807).
-------------------------------------------------------------------
Fri Nov 13 21:16:04 CET 2009 - mmarek@suse.cz
- rpm/*.spec.in, rpm/kernel-module-subpackage: add
Provides: multiversion(kernel) to binary kernel packages,
kernel-source, kernel-syms and all KMPs so that zypp can be
configured to keep multiple versions of these packages
(fate#305311).
-------------------------------------------------------------------
Fri Nov 13 10:53:28 CET 2009 - npiggin@suse.de
- Disable LGUEST on x86 32. It doesn't build properly without PARAVIRT.
-------------------------------------------------------------------
Wed Nov 11 14:45:36 CET 2009 - jack@suse.cz
- patches.fixes/zisofs-large-pagesize-read.patch: zisofs:
Implement reading of compressed files when PAGE_CACHE_SIZE >
compress block size (bnc#540349).
-------------------------------------------------------------------
Wed Nov 11 11:18:01 CET 2009 - npiggin@suse.de
- Update config files.
-------------------------------------------------------------------
Wed Nov 11 10:55:56 CET 2009 - npiggin@suse.de
- Disable PARAVIRT_GUEST on x86 32 and 64.
-------------------------------------------------------------------
Tue Nov 10 06:51:46 CET 2009 - teheo@suse.de
- patches.drivers/libata-ahci-aspire-3810t-noncq: ahci: disable
NCQ on Aspire 3810t (bnc#522790).
-------------------------------------------------------------------
Tue Nov 10 06:50:57 CET 2009 - npiggin@suse.de
- Update config files.
-------------------------------------------------------------------
Tue Nov 10 06:23:17 CET 2009 - npiggin@suse.de
- Update config files. Disable CONFIG_CC_STACKPROTECTOR on all
x86 kernels except debug. Overhead is prohibitive.
-------------------------------------------------------------------
Mon Nov 9 14:02:14 CET 2009 - npiggin@suse.de
- patches.suse/files-slab-rcu.patch: SLAB_DESTROY_BY_RCU for
file slab.
-------------------------------------------------------------------
Mon Nov 9 11:22:46 CET 2009 - npiggin@suse.de
- Update config files. Vanilla doesn't have unwinder, trace selects
options which select frame pointers.
-------------------------------------------------------------------
Mon Nov 9 10:29:49 CET 2009 - npiggin@suse.de
- Disable FRAME_POINTER on i386 and x86-64, all kernels. Unwind info
should provide all this functionality.
-------------------------------------------------------------------
Mon Nov 9 04:00:28 CET 2009 - nfbrown@suse.de
- patches.fixes/nfs-honour-server-preferred-io-size: NFS: Honour
server-preferred io sizes (bnc#550648).
-------------------------------------------------------------------
Mon Nov 9 01:03:10 CET 2009 - nfbrown@suse.de
- patches.fixes/nfs-slot-table-alloc: Don't fail allocations
for the slot table when mounting an NFS filesystem (bnc#519820).
-------------------------------------------------------------------
Fri Nov 6 22:46:26 CET 2009 - trenn@suse.de
- patches.arch/x86_amd_fix_erratum_63.patch: x86/amd-iommu:
Workaround for erratum 63 (bnc#548274).
-------------------------------------------------------------------
Fri Nov 6 16:18:21 CET 2009 - jeffm@suse.de
- Disabled PARAVIRT_GUEST on ia64/trace and ia64/vanilla.
-------------------------------------------------------------------
Fri Nov 6 15:12:19 CET 2009 - trenn@suse.de
- patches.suse/kdb_x86_fix_hang.patch: X86 KDB: Reduce timeout
for blinking LEDs (bnc#528811).
-------------------------------------------------------------------
Fri Nov 6 13:33:27 CET 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: fix last change.
-------------------------------------------------------------------
Fri Nov 6 12:47:52 CET 2009 - jbeulich@novell.com
- Update Xen patches to 2.6.32-rc6 and c/s 941.
- Update Xen config files.
- supported.conf: Add domctl.
-------------------------------------------------------------------
Fri Nov 6 09:50:40 CET 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: add the /lib/modules/.../{source,devel}
symlinks to the -devel package (bnc#548728).
-------------------------------------------------------------------
Fri Nov 6 09:40:45 CET 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: obsolete kvm-kmp.
-------------------------------------------------------------------
Thu Nov 5 19:14:03 CET 2009 - jeffm@suse.com
- Update to 2.6.32-rc6.
- 2 patches eliminated
- NR_CPUS=4096 on ia64/vanilla again
-------------------------------------------------------------------
Thu Nov 5 18:53:24 CET 2009 - jeffm@suse.com
- Performance: Disabled CONFIG_PARAVIRT on all flavors.
-------------------------------------------------------------------
Thu Nov 5 16:35:57 CET 2009 - mmarek@suse.cz
- Delete unused 2.6.31.y patches.
-------------------------------------------------------------------
Thu Nov 5 15:59:58 CET 2009 - mmarek@suse.cz
- supported.conf: add libfcoe and fix typo.
-------------------------------------------------------------------
Thu Nov 5 12:54:06 CET 2009 - mmarek@suse.cz
- supported.conf: update so that supported modules don't require
unsupported ones by adding following modules: async_pq
async_raid6_recov ath blkback_pagemap crypto_hash drm_kms_helper
fddi fscache lib80211 libfcoe libipw libiscsi_tcp llc md_mod mdio
mfd_core nf_defrag_ipv4 p8022 psnap raid6_pq tr ttm ucb1400_core
v4l2_compat_ioctl32.
-------------------------------------------------------------------
Thu Nov 5 12:19:27 CET 2009 - mmarek@suse.cz
- config.conf: remove kernel-ps3-devel (does not exist) and
kernel-debug-devel (not needed most of the time) from
kernel-syms.
-------------------------------------------------------------------
Thu Nov 5 10:56:15 CET 2009 - hare@suse.de
- Update config files: Set CONFIG_HZ to 100 for zSeries
(bnc#552564).
-------------------------------------------------------------------
Thu Nov 5 10:52:13 CET 2009 - hare@suse.de
- Update config files: Increase MAX_RAW_DEVS to 4096 on
zSeries (bnc#552565).
-------------------------------------------------------------------
Wed Nov 4 23:02:07 CET 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: delete stray text.
-------------------------------------------------------------------
Tue Nov 3 15:40:19 CET 2009 - mmarek@suse.cz
- config.conf: remove the ec2 flavor from kernel-syms, most KMPs
don't make any sense on ec2
(http://lists.opensuse.org/opensuse-kernel/2009-11/msg00001.html).
-------------------------------------------------------------------
Mon Nov 2 16:09:13 CET 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: obsolete also brocade-bfa-kmp.
-------------------------------------------------------------------
Mon Nov 2 16:04:13 CET 2009 - mmarek@suse.cz
- rpm/kernel-source.spec.in: add split-modules to the src.rpm.
-------------------------------------------------------------------
Mon Nov 2 13:18:55 CET 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: obsolete enic-kmp and fnic-kmp.
-------------------------------------------------------------------
Mon Nov 2 12:49:08 CET 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: obsolete kmps by their full name, not
just the foo-kmp virtual dependency (bnc#472410).
-------------------------------------------------------------------
Thu Oct 29 06:29:38 CET 2009 - tonyj@suse.de
- Update ppc/ps3 config for CONFIG_UTRACE
-------------------------------------------------------------------
Thu Oct 29 01:37:02 CET 2009 - tonyj@suse.de
- patches.trace/utrace-core: Update for SP1 (FATE# 304321)
-------------------------------------------------------------------
Wed Oct 28 22:51:38 CET 2009 - nfbrown@suse.de
- patches.fixes/nfsd-acl.patch: nfsd: Fix sort_pacl in
fs/nfsd/nf4acl.c to actually sort groups (bnc#549748).
-------------------------------------------------------------------
Wed Oct 28 14:51:28 CET 2009 - jdelvare@suse.de
- Update config files:
CONFIG_NTFS_FS=n
We handle NTFS through FUSE these days.
-------------------------------------------------------------------
Tue Oct 27 17:15:40 CET 2009 - jbohac@suse.cz
- Update config files:
CONFIG_NETLABEL=y
CONFIG_SECURITY_NETWORK_XFRM=y
(fate#307284)
-------------------------------------------------------------------
Tue Oct 27 16:45:20 CET 2009 - jkosina@suse.de
- patches.fixes/sched-move-rq_weight-data-array-out-of-percpu:
fix compilation failure for configs with CONFIG_SMP=n and
CONFIG_FAR_GROUP_SCHED=y
-------------------------------------------------------------------
Tue Oct 27 15:08:26 CET 2009 - jbeulich@novell.com
- Update config files (MINIX_FS=m globally, NTFS_FS off for -ec2).
- supported.conf: Add fs/minix.
-------------------------------------------------------------------
Tue Oct 27 14:35:26 CET 2009 - jkosina@suse.de
- patches.fixes/percpu-allow-pcpu_alloc-to-be-called-with-IRQs-off:
percpu: allow pcpu_alloc() to be called with IRQs off
(bnc#548119).
- patches.fixes/sched-move-rq_weight-data-array-out-of-percpu:
Update to newer version which is going to be merged upstream.
-------------------------------------------------------------------
Fri Oct 23 18:17:21 CEST 2009 - jeffm@suse.com
- Update to 2.6.32-rc5-git3.
-------------------------------------------------------------------
Fri Oct 23 15:11:53 CEST 2009 - mmarek@suse.cz
- Update config files: set NR_CPUS back to 2048 for ia64/vanilla
until there is a fix in mainline.
-------------------------------------------------------------------
Fri Oct 23 15:11:09 CEST 2009 - mmarek@suse.cz
- patches.fixes/sched-move-rq_weight-data-array-out-of-percpu:
fix for !CONFIG_SMP.
-------------------------------------------------------------------
Fri Oct 23 12:53:53 CEST 2009 - mmarek@suse.cz
- patches.suse/Cleanup-and-make-boot-splash-work-with-KMS.patch:
Cleanup and make boot splash work with KMS (bnc#544645).
-------------------------------------------------------------------
Thu Oct 22 18:38:47 CEST 2009 - jeffm@suse.com
- Re-enabled NR_CPUS=4096 on ia64.
- patches.fixes/sched-move-rq_weight-data-array-out-of-percpu:
sched: move rq_weight data array out of .percpu (bnc#548119).
-------------------------------------------------------------------
Tue Oct 20 15:27:25 CEST 2009 - jbeulich@novell.com
- Update x86-64 Xen config file (NR_CPUS=512).
- Refresh a few Xen patches.
- rpm/kernel-binary.spec.in: Handle -ec2 flavor.
- rpm/package-descriptions: Describe -ec2 flavor.
- rpm/post.sh: Handle -ec2 flavor.
-------------------------------------------------------------------
Tue Oct 20 14:47:55 CEST 2009 - jbeulich@novell.com
- patches.fixes/use-totalram_pages: Delete.
-------------------------------------------------------------------
Tue Oct 20 14:45:22 CEST 2009 - jbeulich@novell.com
- config.conf: Add -ec2 configs (fate#305273)
- Add ec2 config files.
- patches.xen/xen-unpriv-build: No need to build certain bits
when building non-privileged kernel.
- supported.conf: Add fs/nls/nls_base.
-------------------------------------------------------------------
Mon Oct 19 15:24:25 CEST 2009 - jeffm@suse.com
- Temporarily reduce NR_CPUS to 2048 on ia64 to avoid build
failures (bnc#548119)
-------------------------------------------------------------------
Mon Oct 19 15:21:26 CEST 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: [s390x] ignore errors from
dwarfextract for now.
-------------------------------------------------------------------
Fri Oct 16 19:18:25 CEST 2009 - jeffm@suse.com
- Update config files.
-------------------------------------------------------------------
Fri Oct 16 17:01:11 CEST 2009 - jeffm@suse.com
- Update to 2.6.32-rc5.
-------------------------------------------------------------------
Fri Oct 16 16:45:53 CEST 2009 - mmarek@suse.de
- patches.rpmify/ia64-sn-fix-percpu-warnings: ia64/sn: fix
percpu warnings.
-------------------------------------------------------------------
Fri Oct 16 15:51:56 CEST 2009 - mmarek@suse.de
- Update config files: disable MTD_GPIO_ADDR, VME_CA91CX42 and
VME_TSI148 on ia64 to fix build.
-------------------------------------------------------------------
Fri Oct 16 14:19:01 CEST 2009 - jbeulich@novell.com
- patches.xen/xen3-patch-2.6.32-rc4: Fix AGP for PowerPC.
-------------------------------------------------------------------
Fri Oct 16 12:08:06 CEST 2009 - jbeulich@novell.com
- Update Xen patches to 2.6.32-rc4 and c/s 938.
- config.conf: Re-enable Xen.
- Update x86 config files.
-------------------------------------------------------------------
Tue Oct 13 02:29:26 CEST 2009 - jeffm@suse.com
- patches.rpmify/staging-missing-sched.h: Added missing sites.
-------------------------------------------------------------------
Mon Oct 12 23:08:39 CEST 2009 - jeffm@suse.com
- patches.rpmify/staging-missing-sched.h: staging: Complete
sched.h removal from interrupt.h.
-------------------------------------------------------------------
Mon Oct 12 21:05:07 CEST 2009 - jeffm@suse.de
- patches.apparmor/ptrace_may_access-fix: apparmor:
ptrace_may_access -> ptrace_access_check.
-------------------------------------------------------------------
Mon Oct 12 20:07:54 CEST 2009 - jeffm@suse.de
- Update config files.
-------------------------------------------------------------------
Mon Oct 12 19:54:16 CEST 2009 - jeffm@suse.de
- Updated to 2.6.32-rc4.
- Eliminated 4 patches.
- Refreshed context.
-------------------------------------------------------------------
Mon Oct 12 16:23:59 CEST 2009 - jeffm@suse.de
- patches.apparmor/apparmor.diff: Refresh and enable.
-------------------------------------------------------------------
Fri Oct 9 20:00:01 CEST 2009 - jeffm@suse.de
- Cleanup unused patches:
- patches.fixes/iwl3945-fix-rfkill.patch: Delete.
- patches.fixes/iwlagn-fix-rfkill.patch: Delete.
- patches.suse/kdb-serial-8250: Delete.
- patches.suse/kdb-sysctl-context: Delete.
-------------------------------------------------------------------
Fri Oct 9 16:57:28 CEST 2009 - jeffm@suse.de
- patches.fixes/scsi-add-tgps-setting: Refresh and re-enable.
-------------------------------------------------------------------
Fri Oct 9 16:42:54 CEST 2009 - jeffm@suse.de
- patches.arch/x86_64-hpet-64bit-timer.patch: Refresh and re-enable.
-------------------------------------------------------------------
Fri Oct 9 16:42:40 CEST 2009 - jeffm@suse.de
- patches.suse/kbuild-icecream-workaround: Refresh and re-enable.
-------------------------------------------------------------------
Fri Oct 9 16:12:22 CEST 2009 - jeffm@suse.de
- patches.rpmify/spin_is_contended-fix: Delete.
-------------------------------------------------------------------
Fri Oct 9 16:11:55 CEST 2009 - jeffm@suse.de
- patches.arch/mm-avoid-bad-page-on-lru: Refresh and re-enable.
-------------------------------------------------------------------
Fri Oct 9 15:08:54 CEST 2009 - jeffm@suse.de
- Update vanilla config files.
-------------------------------------------------------------------
Fri Oct 9 14:52:31 CEST 2009 - jeffm@suse.de
- Update to 2.6.32-rc3.
-------------------------------------------------------------------
Fri Oct 9 00:35:47 CEST 2009 - jeffm@suse.de
- patches.rpmify/tsi148-dependency: vme/tsi148: Depend on VIRT_TO_BUS
-------------------------------------------------------------------
Thu Oct 8 23:37:34 CEST 2009 - jeffm@suse.de
- patches.suse/kdb-x86-build-fixes: kdb: Use $srctree not $TOPDIR
in Makefile.
-------------------------------------------------------------------
Thu Oct 8 23:36:50 CEST 2009 - jeffm@suse.de
- patches.rpmify/winbond-prepare_multicast: winbond: implement
prepare_multicast and fix API usage.
- patches.rpmify/winbond_beacon_timers: winbond: use
bss_conf->beacon_int instead of conf->beacon_int.
- patches.rpmify/winbond-build-fixes: Delete.
-------------------------------------------------------------------
Thu Oct 8 22:49:42 CEST 2009 - jeffm@suse.de
- patches.rpmify/rtl8192e-build-fixes: rtl8192e: Add #include
<linux/vmalloc.h>.
-------------------------------------------------------------------
Thu Oct 8 22:44:26 CEST 2009 - jeffm@suse.de
- patches.suse/kdb-build-fixes: kdb: Build fixes.
-------------------------------------------------------------------
Thu Oct 8 22:32:46 CEST 2009 - jeffm@suse.de
- patches.rpmify/iio-s390-build-fix: iio: Don't build on s390.
-------------------------------------------------------------------
Thu Oct 8 21:54:40 CEST 2009 - jeffm@suse.de
- patches.rpmify/winbond-build-fixes: winbond: API fix.
-------------------------------------------------------------------
Thu Oct 8 21:53:59 CEST 2009 - jeffm@suse.de
- Update vanilla config files.
-------------------------------------------------------------------
Thu Oct 8 21:48:43 CEST 2009 - jeffm@suse.de
- Update config files.
-------------------------------------------------------------------
Thu Oct 8 20:07:07 CEST 2009 - jeffm@suse.de
- Updated to 2.6.32-rc1 (AKA 2.6.32-rc2).
- Eliminated 28 patches.
- 14 patches need further review.
- Xen and AppArmor are currently disabled.
- USB support in KDB is disabled.
-------------------------------------------------------------------
Thu Oct 8 00:27:18 CEST 2009 - gregkh@suse.de
- patches.kernel.org/patch-2.6.31.2-3: Linux 2.6.31.3.
- major tty bugfix
-------------------------------------------------------------------
Wed Oct 7 15:20:25 CEST 2009 - tiwai@suse.de
- patches.drivers/alsa-hda-alc268-automic-fix: ALSA: hda -
Fix yet another auto-mic bug in ALC268 (bnc#544899).
-------------------------------------------------------------------
Wed Oct 7 13:15:17 CEST 2009 - knikanth@suse.de
- patches.suse/block-seperate-rw-inflight-stats: Fix the regression,
"iostat reports wrong service time and utilization", introduced
by this patch (bnc#544926).
-------------------------------------------------------------------
Tue Oct 6 21:31:00 CEST 2009 - gregkh@suse.de
- patches.suse/x86-mark_rodata_rw.patch: Delete.
- patches.xen/xen3-x86-mark_rodata_rw.patch: Delete.
- both of these were not being used anyway.
-------------------------------------------------------------------
Tue Oct 6 17:30:29 CEST 2009 - jbeulich@novell.com
- Update Xen patches to 2.6.31.2.
-------------------------------------------------------------------
Tue Oct 6 08:52:08 CEST 2009 - tiwai@suse.de
- patches.drivers/alsa-hda-alc660vd-asus-a7k-fix: ALSA: hda -
Add a workaround for ASUS A7K (bnc#494309).
-------------------------------------------------------------------
Mon Oct 5 19:45:27 CEST 2009 - gregkh@suse.de
- refresh patch fuzz due to 2.6.31.2 import.
-------------------------------------------------------------------
Mon Oct 5 19:43:13 CEST 2009 - gregkh@suse.de
- Update to Linux 2.6.31.2:
- bugfixes, lots of them.
- security fixes
-------------------------------------------------------------------
Mon Oct 5 08:40:56 CEST 2009 - tiwai@suse.de
- patches.drivers/alsa-hda-alc861-toshiba-fix: ALSA: hda -
Fix invalid initializations for ALC861 auto mode (bnc#544161).
-------------------------------------------------------------------
Fri Oct 2 17:06:53 CEST 2009 - gregkh@suse.de
- Update config files.
- patches.drivers/add-via-chrome9-drm-support.patch: Delete.
The code never got upstream and looks incorrect.
-------------------------------------------------------------------
Fri Oct 2 09:43:32 CEST 2009 - tiwai@suse.de
- patches.drivers/alsa-ctxfi-04-fix-surround-side-mute: ALSA:
ctxfi: Swapped SURROUND-SIDE mute.
- patches.drivers/alsa-hda-2.6.32-rc1-toshiba-fix: ALSA: hda -
Added quirk to enable sound on Toshiba NB200.
- patches.drivers/alsa-hda-2.6.32-rc2: ALSA: backport 2.6.32-rc2
fixes for HD-audio.
-------------------------------------------------------------------
Thu Oct 1 13:18:09 CEST 2009 - jbeulich@novell.com
- Update Xen patches to 2.6.31.1 and c/s 934.
-------------------------------------------------------------------
Thu Oct 1 11:36:36 CEST 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: obsolete quickcam-kmp (bnc#543361).
-------------------------------------------------------------------
Wed Sep 30 21:51:13 CEST 2009 - gregkh@suse.de
- Update to 2.6.31.1
- lots of bugfixes
- security fixes
-------------------------------------------------------------------
Wed Sep 30 15:50:54 CEST 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: obsolete the sle11 ocfs2-kmp.
-------------------------------------------------------------------
Tue Sep 29 11:17:48 CEST 2009 - trenn@suse.de
- Disabled patches.suse/acpi-dsdt-initrd-v0.9a-2.6.25.patch
with +trenn (bnc#542767)
-------------------------------------------------------------------
Wed Sep 23 13:29:27 CEST 2009 - teheo@suse.de
- Update config files. Build pci-stub driver into the kernel so that
built in pci drivers can be blocked from attaching to devices.
-------------------------------------------------------------------
Tue Sep 22 12:14:52 CEST 2009 - mmarek@suse.cz
- rpm/kernel-module-subpackage: when building against Linus'
kernels (2.6.x), append a .0 to the kernel version embedded in
the KMP version, to ensure that such KMP is older than a KMP
built against a subsequent stable kernel (2.6.x.y, y > 0).
-------------------------------------------------------------------
Mon Sep 21 20:39:57 CEST 2009 - jeffm@suse.de
- Update config files.
-------------------------------------------------------------------
Mon Sep 21 20:20:11 CEST 2009 - jeffm@suse.de
- patches.suse/acpi-dsdt-initrd-v0.9a-2.6.25.patch: Ported to
2.6.31 (bnc#533555).
-------------------------------------------------------------------
Sat Sep 19 13:51:50 CEST 2009 - mmarek@suse.cz
- rpm/postun.sh: do not remove the bootloader entry if the kernel
version didn't change (bnc#533766).
-------------------------------------------------------------------
Sat Sep 19 13:39:38 CEST 2009 - mmarek@suse.cz
- rpm/postun.sh: remove dead code.
-------------------------------------------------------------------
Fri Sep 18 21:20:14 CEST 2009 - jeffm@suse.de
- patches.fixes/reiserfs-replay-honor-ro: reiserfs: Properly
honor read-only devices (bnc#441062).
-------------------------------------------------------------------
Thu Sep 17 21:25:23 CEST 2009 - jeffm@suse.de
- patches.arch/acpi_srat-pxm-rev-ia64.patch: Use SRAT table rev
to use 8bit or 16/32bit PXM fields (ia64) (bnc#503038).
- patches.arch/acpi_srat-pxm-rev-store.patch: Store SRAT table
revision (bnc#503038).
- patches.arch/acpi_srat-pxm-rev-x86-64.patch: Use SRAT table
rev to use 8bit or 32bit PXM fields (x86-64) (bnc#503038).
-------------------------------------------------------------------
Thu Sep 17 21:08:15 CEST 2009 - tiwai@suse.de
- patches.drivers/alsa-hda-2.6.32-rc1: ALSA HD-audio backport
from 2.6.32-rc1.
-------------------------------------------------------------------
Wed Sep 16 15:33:05 CEST 2009 - jbeulich@novell.com
- Update Xen patches to 2.6.31 final.
-------------------------------------------------------------------
Tue Sep 15 11:29:19 CEST 2009 - teheo@suse.de
Backport attach inhibition for builtin pci drivers from 2.6.32-rc.
- patches.drivers/pci-separate-out-pci_add_dynid: pci: separate
out pci_add_dynid().
- patches.drivers/pci_stub-add-ids-parameter: pci-stub: add
pci_stub.ids parameter.
-------------------------------------------------------------------
Tue Sep 15 11:22:53 CEST 2009 - teheo@suse.de
Backport patches from 2.6.32-rc to ease ossp testing.
- Update config files - SOUND_OSS_CORE_PRECLAIM is set to N.
- patches.drivers/implement-register_chrdev: chrdev: implement
__register_chrdev().
- patches.drivers/sound-make-oss-device-number-claiming-optional:
sound: make OSS device number claiming optional and schedule
its removal.
- patches.drivers/sound-request-char-major-aliases-for-oss-devices:
sound: request char-major-* module aliases for missing OSS
devices.
-------------------------------------------------------------------
Mon Sep 14 21:33:26 CEST 2009 - sdietrich@suse.de
- patches.suse/novfs-client-module: Update header todo list with
locking nits (semaphore used as mutex / completion)
-------------------------------------------------------------------
Mon Sep 14 17:03:49 CEST 2009 - jeffm@suse.com
- Revert back to CONFIG_M586TSC from CONFIG_M686 for default flavor
(bnc#538849)
-------------------------------------------------------------------
Fri Sep 11 10:49:18 CEST 2009 - jbeulich@novell.com
- patches.suse/crasher-26.diff: Add capability to also trigger a
kernel warning.
-------------------------------------------------------------------
Fri Sep 11 07:11:57 CEST 2009 - knikanth@suse.de
- patches.suse/block-seperate-rw-inflight-stats: Seperate read
and write statistics of in_flight requests (fate#306525).
-------------------------------------------------------------------
Thu Sep 10 17:20:58 CEST 2009 - gregkh@suse.de
- patch fuzz removal now that 2.6.31 is the base.
-------------------------------------------------------------------
Thu Sep 10 17:19:17 CEST 2009 - gregkh@suse.de
- Update config files.
CONFIG_MOUSE_PS2_ELANTECH=y
CONFIG_MOUSE_PS2_TOUCHKIT=y
(bnc#525607)
-------------------------------------------------------------------
Thu Sep 10 15:19:51 CEST 2009 - tiwai@suse.de
- patches.drivers/alsa-hda-2.6.32-pre: Refresh: fix misc realtek
issues, add another Nvidia HDMI device id
-------------------------------------------------------------------
Thu Sep 10 03:08:43 CEST 2009 - jeffm@suse.de
- Updated to 2.6.31-final.
-------------------------------------------------------------------
Tue Sep 8 18:48:55 CEST 2009 - gregkh@suse.de
- refresh patches for fuzz due to upstream changes
-------------------------------------------------------------------
Tue Sep 8 18:44:20 CEST 2009 - gregkh@suse.de
- Update to 2.6.31-rc9
- obsoletes:
- patches.drivers/alsa-hda-fix-01-add-missing-mux-for-vt1708
- patches.drivers/alsa-hda-fix-02-mbp31-hp-fix
-------------------------------------------------------------------
Tue Sep 8 15:03:15 CEST 2009 - knikanth@suse.de
- patches.fixes/scsi_dh-Provide-set_params-interface-in-emc-device-handler.patch:
scsi_dh: Provide set_params interface in emc device handler
(bnc#521607).
- patches.fixes/scsi_dh-Use-scsi_dh_set_params-in-multipath.patch:
scsi_dh: Use scsi_dh_set_params() in multipath. (bnc#521607).
- patches.fixes/scsi_dh-add-the-interface-scsi_dh_set_params.patch:
scsi_dh: add the interface scsi_dh_set_params() (bnc#521607).
-------------------------------------------------------------------
Mon Sep 7 16:33:07 CEST 2009 - mmarek@suse.cz
- rpm/kernel-source.spec.in: delete obsolete macro.
-------------------------------------------------------------------
Mon Sep 7 12:40:45 CEST 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: require minimum versions of
module-init-tools and perl-Bootloader, the %post script is no
longer compatible with ancient versions.
-------------------------------------------------------------------
Mon Sep 7 11:53:09 CEST 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: obsolete %name-base <= 2.6.31, the
previous <= 2.6.30-1 didn't catch some cases obviously
(bnc#533766).
-------------------------------------------------------------------
Fri Sep 4 21:11:39 CEST 2009 - jeffm@suse.de
- Enabled CONFIG_SCSI_DEBUG=m (bnc#535923).
-------------------------------------------------------------------
Fri Sep 4 14:35:57 CEST 2009 - mmarek@suse.cz
- kabi/severities, rpm/kabi.pl, rpm/kernel-binary.spec.in,
- rpm/kernel-source.spec.in: Use a simple script to check kabi by
comparing Module.symvers files (similar to the old SLES9 one).
- rpm/built-in-where: Delete.
- rpm/symsets.pl: Delete.
- kabi/commonsyms: Delete.
- kabi/usedsyms: Delete.
-------------------------------------------------------------------
Fri Sep 4 11:39:02 CEST 2009 - mmarek@suse.cz
- patches.suse/kbuild-rebuild-fix-for-Makefile.modbuiltin:
kbuild: rebuild fix for Makefile.modbuiltin.
-------------------------------------------------------------------
Thu Sep 3 02:43:28 CEST 2009 - gregkh@suse.de
- patches.drivers/usb-storage-increase-the-bcd-range-in-sony-s-bad-device-table.patch:
Delete, it was wrong.
-------------------------------------------------------------------
Wed Sep 2 17:27:49 CEST 2009 - jbeulich@novell.com
- Update Xen config files.
-------------------------------------------------------------------
Wed Sep 2 15:39:54 CEST 2009 - jbeulich@novell.com
- Update Xen patches to 2.6.31-rc8 and c/s 931.
- patches.fixes/use-totalram_pages: use totalram_pages in favor
of num_physpages for sizing boot time allocations (bnc#509753).
- patches.xen/xen-x86-per-cpu-vcpu-info: x86: use per-cpu storage
for shared vcpu_info structure.
-------------------------------------------------------------------
Wed Sep 2 08:06:15 CEST 2009 - tiwai@suse.de
- patches.drivers/alsa-hda-2.6.32-pre: Refresh; merged fixes for
IDT92HD73* codecs
-------------------------------------------------------------------
Tue Sep 1 19:16:24 CEST 2009 - jeffm@suse.com
- patches.apparmor/apparmor.diff: Update to latest git.
-------------------------------------------------------------------
Tue Sep 1 19:13:51 CEST 2009 - jeffm@suse.com
- patches.arch/add_support_for_hpet_msi_intr_remap.patch:
intr-remap: generic support for remapping HPET MSIs
(bnc#532758).
- patches.arch/add_x86_support_for_hpet_msi_intr_remap.patch:
x86: arch specific support for remapping HPET MSIs (bnc#532758).
-------------------------------------------------------------------
Tue Sep 1 15:11:15 CEST 2009 - mmarek@suse.cz
- rpm/package-descriptions: fix description of the x86_64
kernel-desktop package (bnc#535457).
-------------------------------------------------------------------
Mon Aug 31 22:02:50 CEST 2009 - jeffm@suse.com
- Update to 2.6.31-rc8.
- Eliminated 1 patch.
-------------------------------------------------------------------
Mon Aug 31 12:17:31 CEST 2009 - tiwai@suse.de
- patches.drivers/alsa-hda-fix-01-add-missing-mux-for-vt1708:
ALSA: hda - Add missing mux check for VT1708 (bnc#534904).
- patches.drivers/alsa-hda-fix-02-mbp31-hp-fix: ALSA: hda -
Fix MacBookPro 3,1/4,1 quirk with ALC889A.
- patches.drivers/alsa-hda-2.6.32-pre: Refresh.
-------------------------------------------------------------------
Wed Aug 26 12:38:11 CEST 2009 - tiwai@suse.de
- patches.drivers/alsa-hda-[0-9]*: Delete, fold into a single patch
patches.drivers/alsa-hda-2.6.32-pre
-------------------------------------------------------------------
Tue Aug 25 18:04:57 CEST 2009 - gregkh@suse.de
- Update config files.
- patches.drivers/samsung-backlight-driver.patch: Delete.
-------------------------------------------------------------------
Tue Aug 25 10:07:41 CEST 2009 - jbeulich@novell.com
- scripts/run_oldconfig.sh: Consistently use $prefix.
-------------------------------------------------------------------
Mon Aug 24 16:47:18 CEST 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: obsolete %name-base <= 2.6.30-1
(bnc#530752).
-------------------------------------------------------------------
Mon Aug 24 16:35:01 CEST 2009 - jeffm@suse.com
- Update to 2.6.31-rc7.
- Eliminated 3 patches.
-------------------------------------------------------------------
Mon Aug 24 16:16:04 CEST 2009 - jeffm@suse.com
- Update config files: Enable CONFIG_PROC_EVENTS.
-------------------------------------------------------------------
Fri Aug 21 16:05:42 CEST 2009 - mmarek@suse.cz
- rpm/post.sh: Do not call /sbin/module_upgrade, the rename
happened before SLES10.
-------------------------------------------------------------------
Fri Aug 21 16:00:46 CEST 2009 - mmarek@suse.cz
- rpm/module-renames: Delete, we don't need to care about modules
renamed before SLES10. Also, current mkinitrd gets the list of
storage drivers based on pci ids.
-------------------------------------------------------------------
Fri Aug 21 15:50:51 CEST 2009 - mmarek@suse.cz
- rpm/post.sh, rpm/postun.sh: drop support for SLE10, the package
can't be installed on SLE10 as is, so why not make it more
adventurous.
-------------------------------------------------------------------
Fri Aug 21 15:48:15 CEST 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: remove product(openSUSE) supplements
from the -extra subpackage which doesn't exist on openSUSE
anymore.
-------------------------------------------------------------------
Thu Aug 20 17:52:08 CEST 2009 - tiwai@suse.de
- patches.drivers/alsa-hda-fix-0*: Backport from 2.6.31-rc fixes
(to be merged)
- patches.drivers/alsa-hda-32-Reword-auto-probe-messages: Refresh.
- patches.drivers/alsa-hda-33-IDT-codec-updates: Refresh.
-------------------------------------------------------------------
Thu Aug 20 11:40:58 CEST 2009 - jbeulich@novell.com
- Update config files (modularize crypto again).
- supported.conf: Add resulting modules as supported.
-------------------------------------------------------------------
Wed Aug 19 09:22:46 CEST 2009 - jbeulich@novell.com
- Update Xen patches to 2.6.31-rc6 and c/s 928.
-------------------------------------------------------------------
Wed Aug 19 00:33:54 CEST 2009 - tiwai@suse.de
- patches.drivers/alsa-hda-48-alc268-oops-fix: ALSA: hda -
Fix invalid capture mixers with some ALC268 models.
-------------------------------------------------------------------
Tue Aug 18 20:16:36 CEST 2009 - gregkh@suse.de
- patches.drivers/usb-storage-increase-the-bcd-range-in-sony-s-bad-device-table.patch:
USB: storage: increase the bcd range in Sony's bad device
table. (bnc#466554).
-------------------------------------------------------------------
Mon Aug 17 21:10:55 CEST 2009 - gregkh@suse.de
- patches.drivers/samsung-backlight-driver.patch: Change the range from
0-255 to 0-7 to make it easier for HAL to handle the device without a
need for custom scripts.
-------------------------------------------------------------------
Mon Aug 17 16:38:38 CEST 2009 - jeffm@suse.com
- Updated to 2.6.31-rc6.
-------------------------------------------------------------------
Mon Aug 17 00:19:20 CEST 2009 - gregkh@suse.de
- patches.drivers/samsung-backlight-driver.patch: added N120 support
and some other devices that were integrated into the driver from
upstream.
-------------------------------------------------------------------
Sun Aug 16 23:22:47 CEST 2009 - coly.li@suse.de
- supported.conf:
set kernel/fs/dlm/dlm as unsupported, since fs/dlm is provided
separately in the ocfs2 KMP package
-------------------------------------------------------------------
Sat Aug 15 12:18:42 CEST 2009 - tiwai@suse.de
- patches.drivers/alsa-hda-47-idt92hd8x-fix: ALSA: hda - Fix /
clean up IDT92HD83xxx codec parser (bnc#531533).
-------------------------------------------------------------------
Sat Aug 15 01:55:23 CEST 2009 - gregkh@suse.de
- Update config files.
- patches.drivers/samsung-backlight-driver.patch: Samsung
backlight driver (bnc#527533, bnc#531297).
-------------------------------------------------------------------
Fri Aug 14 21:47:28 CEST 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: add some hints how to set the %jobs
macro (bnc#530535).
-------------------------------------------------------------------
Fri Aug 14 16:11:52 CEST 2009 - mmarek@suse.cz
- rpm/modversions: for overriden functions, keep the keyword in
--pack.
-------------------------------------------------------------------
Thu Aug 13 16:01:51 CEST 2009 - jeffm@suse.com
- Updated to 2.6.31-rc5-git9.
- Eliminated 7 patches.
------------------------------------------------------------------
Thu Aug 13 12:06:50 CEST 2009 - tiwai@suse.de
- patches.drivers/alsa-hda-42-hp-more-quirk: ALSA: hda - Add
quirks for some HP laptops (bnc#527284).
- patches.drivers/alsa-hda-4[35]-*: Improve Realtek codec mic
support
- patches.drivers/alsa-hda-46-stac-lo-detect-fix: ALSA: hda -
Enable line-out detection only with speakers (bnc#520975).
-------------------------------------------------------------------
Wed Aug 12 13:34:25 CEST 2009 - mmarek@suse.cz
- rpm/split-modules, rpm/kernel-binary.spec.in: add the base files
also to the main package. That way, kernel-$flavor-base is not
needed in normal setups (fate#307154).
-------------------------------------------------------------------
Wed Aug 12 13:19:08 CEST 2009 - mmarek@suse.cz
- rpm/find-provides: no rpm provides for drivers/staging. It's
higly unlikely that any KMP would require them and they just
take up space in the rpm metadata.
-------------------------------------------------------------------
Tue Aug 11 14:39:42 CEST 2009 - tiwai@suse.de
- patches.drivers/alsa-hda-41-msi-white-list: ALSA: hda - Add
a white-list for MSI option (bnc#529971).
-------------------------------------------------------------------
Tue Aug 11 13:05:37 CEST 2009 - tiwai@suse.de
- patches.drivers/alsa-hda-39-dont-override-ADC-definitions:
ALSA: hda - Don't override ADC definitions for ALC codecs
(bnc#529467).
- patches.drivers/alsa-hda-40-auto-mic-support-for-realtek:
ALSA: hda - Add auto-mic support for Realtek codecs.
-------------------------------------------------------------------
Tue Aug 11 09:48:34 CEST 2009 - mmarek@suse.cz
- rpm/kernel-source.spec.in: do not rename kernel-source.rpmlintrc
for the -rt variant.
-------------------------------------------------------------------
Mon Aug 10 12:55:56 CEST 2009 - mmarek@suse.cz
- patches.rpmify/staging-rtl8192su-fix-build-error.patch: move to
patches.rpmify to fix vanilla ppc builds.
-------------------------------------------------------------------
Mon Aug 10 12:08:25 CEST 2009 - tiwai@suse.de
- patches.drivers/alsa-hda-38-fix-ALC269-vmaster: ALSA: hda -
Add missing vmaster initialization for ALC269 (bnc#527361).
-------------------------------------------------------------------
Mon Aug 10 08:57:15 CEST 2009 - tiwai@suse.de
- patches.drivers/alsa-hda-33-IDT-codec-updates: Refresh.
-------------------------------------------------------------------
Fri Aug 7 17:25:11 CEST 2009 - jeffm@suse.com
- patches.fixes/recordmcount-fixup: recordmcount: Fixup wrong
update_funcs() call.
-------------------------------------------------------------------
Fri Aug 7 16:38:23 CEST 2009 - tiwai@suse.de
- patches.drivers/alsa-soc-fsl-build-fixes: ASoC: Add missing
DRV_NAME definitions for fsl/* drivers (to fix PPC builds)
-------------------------------------------------------------------
Fri Aug 7 15:16:21 CEST 2009 - tiwai@suse.de
- patches.arch/wmi-Fix-kernel-panic-when-stack-protection-enabled:
wmi: Fix kernel panic when stack protection
enabled. (bnc#529177).
- supported.conf: Update HD-audio modules
-------------------------------------------------------------------
Fri Aug 7 10:06:23 CEST 2009 - mmarek@suse.cz
- make config/s390/vanilla a symlink again.
-------------------------------------------------------------------
Fri Aug 7 09:42:58 CEST 2009 - mmarek@suse.de
- rpm/kernel-binary.spec.in: do not manually extract vmlinux
debuginfo on ppc(64), rpm does it itself.
-------------------------------------------------------------------
Thu Aug 6 23:25:39 CEST 2009 - jeffm@suse.de
- rpm/kernel-binary.spec.in,
rpm/kernel-source.spec.in: Added kernel-spec-macros to Sources.
-------------------------------------------------------------------
Thu Aug 6 16:24:09 CEST 2009 - jeffm@suse.de
- patches.suse/linux-2.6.29-even-faster-kms.patch: Disabled. It
doesn't wake up the display on certain hardware.
-------------------------------------------------------------------
Wed Aug 5 22:33:56 CEST 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: do not split the -extra subpackage on
openSUSE (bnc#528097).
-------------------------------------------------------------------
Wed Aug 5 20:46:02 CEST 2009 - jeffm@suse.de
- Updated to 2.6.31-rc5-git3.
- Eliminated 4 patches.
-------------------------------------------------------------------
Wed Aug 5 18:22:05 CEST 2009 - gregkh@suse.de
- kernel-source.changes: remove old (pre 2008) messages, and move 2008
to kernel-source.changes.old. No need to spam email addresses that
are no longer with the company for failed kernel builds.
-------------------------------------------------------------------
Wed Aug 5 17:55:56 CEST 2009 - gregkh@suse.de
- patches.drivers/staging-rtl8192su-fix-build-error.patch:
Staging: rtl8192su: fix build error.
-------------------------------------------------------------------
Wed Aug 5 17:02:00 CEST 2009 - jeffm@suse.de
- patches.suse/supported-flag-enterprise: Make the supported
flag configurable at build time (bnc#528097).
-------------------------------------------------------------------
Wed Aug 5 01:04:08 CEST 2009 - gregkh@suse.de
- Update config files.
disable CONFIG_DRM_RADEON_KMS as per bnc#527910 for vanilla builds
-------------------------------------------------------------------
Tue Aug 4 23:21:31 CEST 2009 - gregkh@suse.de
- Update config files.
disable CONFIG_DRM_RADEON_KMS as per bnc#527910
-------------------------------------------------------------------
Tue Aug 4 16:10:42 CEST 2009 - jeffm@suse.de
- patches.rpmify/ttm-pgprot-fixes: ttm: Use pgprot_val for
comparing pgprot_t.
-------------------------------------------------------------------
Tue Aug 4 14:53:26 CEST 2009 - tiwai@suse.de
- patches.drivers/alsa-hda-3[0-8]*: ALSA HD-audio updates
- Update config files: enable CONFIG_SND_HDA_CIRRUS=y
-------------------------------------------------------------------
Mon Aug 3 22:15:32 CEST 2009 - jeffm@suse.de
- Update config files: CONFIG_FRAME_WARN=2048 on all arches, fixes
ppc build failures.
-------------------------------------------------------------------
Mon Aug 3 22:01:58 CEST 2009 - jeffm@suse.de
- patches.xen/xen3-patch-2.6.31-rc4-rc5: Fixup pgtable port
-------------------------------------------------------------------
Mon Aug 3 19:42:42 CEST 2009 - jeffm@suse.de
- Updated to 2.6.31-rc5.
- Eliminated 11 patches.
-------------------------------------------------------------------
Mon Aug 3 11:09:08 CEST 2009 - coly.li@suse.de
- Update config files to enable CONFIG_DLM_DEBUG.
-------------------------------------------------------------------
Fri Jul 31 14:30:38 CEST 2009 - jbeulich@novell.com
- Update Xen patches to 2.6.31-rc4 and c/s 916.
- patches.xen/xen3-driver-core-misc-add-nodename-support-for-misc-devices.patch:
Delete.
- patches.xen/xen3-panic-on-io-nmi.diff: Delete.
- config.conf: Re-enable Xen.
- Update config files.
-------------------------------------------------------------------
Wed Jul 29 16:00:59 CEST 2009 - tiwai@suse.de
- Update config files: revert to CONFIG_SND=m and enabled again
CONFIG_SND_DEBUG=y
-------------------------------------------------------------------
Tue Jul 28 12:23:12 CEST 2009 - mmarek@suse.cz
- Update config files: disable CONFIG_PRISM2_USB on ia64 and ppc.
-------------------------------------------------------------------
Tue Jul 28 11:21:11 CEST 2009 - mmarek@suse.cz
- Update config files: disable CONFIG_FB_UDL on ia64.
-------------------------------------------------------------------
Tue Jul 28 09:54:55 CEST 2009 - jbeulich@novell.com
- config.conf: Remove duplicate i386/desktop entry.
-------------------------------------------------------------------
Tue Jul 28 01:03:23 CEST 2009 - tiwai@suse.de
- patches.drivers/alsa-hda-29-Add-quirk-for-Dell-Studio-1555:
ALSA: hda - Add quirk for Dell Studio 1555 (bnc#525244).
-------------------------------------------------------------------
Mon Jul 27 23:57:31 CEST 2009 - tiwai@suse.de
- patches.drivers/alsa-pcm-*: ALSA PCM fixes
- Fix/enhancement patches backported from ALSA tree
* patches.drivers/alsa-core-Add-new-TLV-types-for-dBwith-min-max:
ALSA: Add new TLV types for dBwith min/max (for usb).
* patches.drivers/alsa-ctxfi-*: SB X-Fi support (FATE#306935).
* patches.drivers/alsa-hda-*: More HD-audio fixes
* patches.drivers/alsa-ice-*: ICE17xx fixes
* patches.drivers/alsa-midi-*: MIDI fixes
* patches.drivers/alsa-usb-*: USB-audio/MIDI fixes
- Remove obsoleted patches: patches.drivers/alsa-ad1984a-hp-quirks,
patches.drivers/alsa-ca0106-capture-bufsize-fix,
patches.drivers/alsa-ctxfi
- Update config files.
-------------------------------------------------------------------
Mon Jul 27 17:06:11 CEST 2009 - mmarek@suse.cz
- rpm/kernel-source.spec.in, scripts/tar-up.sh: really drop
config-subst from the package.
-------------------------------------------------------------------
Mon Jul 27 13:43:01 CEST 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: manually create a -devel-debuginfo
subpackage with vmlinux.debug to fix build with new rpm. This
works for ix86 and x86_64, other archs might need further fixes.
-------------------------------------------------------------------
Mon Jul 27 03:04:23 CEST 2009 - jeffm@suse.de
- patches.rpmify/rtl8192su-build-fix: more ia64 fixes
-------------------------------------------------------------------
Mon Jul 27 01:47:21 CEST 2009 - jeffm@suse.de
- patches.rpmify/rtl8192su-build-fix: rtl8192su: compile fixes.
-------------------------------------------------------------------
Mon Jul 27 01:45:37 CEST 2009 - jeffm@suse.de
- patches.rpmify/rtl8192su-build-fix: rtl8192su: compile fixes.
-------------------------------------------------------------------
Sun Jul 26 00:42:40 CEST 2009 - jeffm@suse.de
- config.conf: Re-enabled trace flavor.
-------------------------------------------------------------------
Fri Jul 24 21:23:54 CEST 2009 - jeffm@suse.de
- Update config files: Disabled optimize for size on i386 and x86_64
across all flavors.
-------------------------------------------------------------------
Fri Jul 24 21:21:08 CEST 2009 - jeffm@suse.de
- Update to 2.6.31-rc4.
-------------------------------------------------------------------
Thu Jul 23 12:56:16 CEST 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: cleanup %cpu_arch_flavor definition,
make %symbols a variable and only use it for selecting patches.
Also drop the RT symbol as there are not rt patches currently.
-------------------------------------------------------------------
Thu Jul 23 11:58:25 CEST 2009 - mmarek@suse.cz
- Change the s390(x) config layout so that each arch has its own
subdirectory, as it is done for other archs. s390/vanilla is a
symlink to s390x/vanilla.
-------------------------------------------------------------------
Thu Jul 23 11:21:38 CEST 2009 - mmarek@suse.cz
- rpm/kernel-*.spec.in, rpm/kernel-spec-macros: move some common
macros to kernel-spec-macros.
-------------------------------------------------------------------
Wed Jul 22 18:58:38 CEST 2009 - tiwai@suse.de
- patches.drivers/alsa-ca0106-capture-bufsize-fix: ALSA: ca0106 -
Fix the max capture buffer size (bnc#521890).
-------------------------------------------------------------------
Wed Jul 22 17:28:36 CEST 2009 - tiwai@suse.de
- patches.drivers/alsa-ctxfi: Add SoundBlaster X-Fi support
(FATE#306935).
- Update config files.
-------------------------------------------------------------------
Wed Jul 22 13:08:35 CEST 2009 - trenn@suse.de
These are mainline:
- patches.drivers/cpufreq_add_cpu_number_paramater_1.patch:
Delete.
- patches.drivers/cpufreq_add_idle_microaccounting_6.patch:
Delete.
- patches.drivers/cpufreq_change_load_calculation_2.patch: Delete.
- patches.drivers/cpufreq_changes_to_get_cpu_idle_us_5.patch:
Delete.
- patches.drivers/cpufreq_get_cpu_idle_time_changes_3.patch:
Delete.
- patches.drivers/cpufreq_parameterize_down_differential_4.patch:
Delete.
-------------------------------------------------------------------
Wed Jul 22 12:57:54 CEST 2009 - trenn@suse.de
These are mainline:
- patches.arch/acpi_video_thinkpad_exclude_IGD_devices.patch:
Delete.
- patches.arch/thinkpad_fingers_off_backlight_igd.patch: Delete.
-------------------------------------------------------------------
Tue Jul 21 15:38:37 CEST 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: remove double-slash from include2/asm
symlink.
-------------------------------------------------------------------
Tue Jul 21 12:09:42 CEST 2009 - mmarek@suse.cz
- config.conf, rpm/mkspec: exclude trace, kdump and ia64/debug from
the kernel-syms package. These flavor are often excluded in KMPs,
so excluding them from kernel-syms reduces useless build
dependencies. KMPs can buildrequire kernel-$flavor-devel
explicitely if desired.
-------------------------------------------------------------------
Tue Jul 21 11:57:00 CEST 2009 - mmarek@suse.cz
Delete obsolete apparmor patches.
- patches.apparmor/add-path_permission.diff: Delete.
- patches.apparmor/add-security_path_permission: Delete.
- patches.apparmor/apparmor-2.6.25.diff: Delete.
- patches.apparmor/apparmor-audit.diff: Delete.
- patches.apparmor/apparmor-intree.diff: Delete.
- patches.apparmor/apparmor-lsm.diff: Delete.
- patches.apparmor/apparmor-main.diff: Delete.
- patches.apparmor/apparmor-misc.diff: Delete.
- patches.apparmor/apparmor-module_interface.diff: Delete.
- patches.apparmor/apparmor-network.diff: Delete.
- patches.apparmor/apparmor-path_permission: Delete.
- patches.apparmor/apparmor-ptrace-2.6.27.diff: Delete.
- patches.apparmor/apparmor-rlimits.diff: Delete.
- patches.apparmor/d_namespace_path.diff: Delete.
- patches.apparmor/d_namespace_path_oops_fix.diff: Delete.
- patches.apparmor/do_path_lookup-nameidata.diff: Delete.
- patches.apparmor/export-security_inode_permission-for-aufs:
Delete.
- patches.apparmor/file-handle-ops.diff: Delete.
- patches.apparmor/fix-complain.diff: Delete.
- patches.apparmor/fix-vfs_rmdir.diff: Delete.
- patches.apparmor/fork-tracking.diff: Delete.
- patches.apparmor/fsetattr-reintro-ATTR_FILE.diff: Delete.
- patches.apparmor/fsetattr-restore-ia_file.diff: Delete.
- patches.apparmor/fsetattr.diff: Delete.
- patches.apparmor/remove_suid.diff: Delete.
- patches.apparmor/security-create.diff: Delete.
- patches.apparmor/security-getxattr.diff: Delete.
- patches.apparmor/security-link.diff: Delete.
- patches.apparmor/security-listxattr.diff: Delete.
- patches.apparmor/security-mkdir.diff: Delete.
- patches.apparmor/security-mknod.diff: Delete.
- patches.apparmor/security-readlink.diff: Delete.
- patches.apparmor/security-removexattr.diff: Delete.
- patches.apparmor/security-rename.diff: Delete.
- patches.apparmor/security-rmdir.diff: Delete.
- patches.apparmor/security-setattr.diff: Delete.
- patches.apparmor/security-setxattr.diff: Delete.
- patches.apparmor/security-symlink.diff: Delete.
- patches.apparmor/security-unlink.diff: Delete.
- patches.apparmor/security-xattr-file.diff: Delete.
- patches.apparmor/sysctl-pathname.diff: Delete.
- patches.apparmor/unambiguous-__d_path.diff: Delete.
- patches.apparmor/vfs-getxattr.diff: Delete.
- patches.apparmor/vfs-link.diff: Delete.
- patches.apparmor/vfs-listxattr.diff: Delete.
- patches.apparmor/vfs-mkdir.diff: Delete.
- patches.apparmor/vfs-mknod.diff: Delete.
- patches.apparmor/vfs-notify_change.diff: Delete.
- patches.apparmor/vfs-removexattr.diff: Delete.
- patches.apparmor/vfs-rename.diff: Delete.
- patches.apparmor/vfs-rmdir.diff: Delete.
- patches.apparmor/vfs-setxattr.diff: Delete.
- patches.apparmor/vfs-symlink.diff: Delete.
- patches.apparmor/vfs-unlink.diff: Delete.
-------------------------------------------------------------------
Tue Jul 21 11:18:57 CEST 2009 - npiggin@suse.de
- Update config files for bnc#522686 -- set
CONFIG_SECURITY_DEFAULT_MMAP_MIN_ADDR=65536.
-------------------------------------------------------------------
Mon Jul 20 20:30:41 CEST 2009 - jeffm@suse.de
- Update config files: Disabled optimize for size on all flavors
(FATE#305694)
-------------------------------------------------------------------
Mon Jul 20 17:26:02 CEST 2009 - jeffm@suse.de
- Update config files.
-------------------------------------------------------------------
Mon Jul 20 17:02:57 CEST 2009 - jeffm@suse.com
- Update to 2.6.30.2
- lots of security and bug fixes
- Obsoleted patches.fixes/firmware-memmap-64bit.diff
-------------------------------------------------------------------
Mon Jul 20 13:02:46 CEST 2009 - mmarek@suse.cz
- rpm/split-modules: set LC_COLLATE=C
-------------------------------------------------------------------
Sat Jul 18 03:40:28 CEST 2009 - jeffm@suse.de
- rpm/package-descriptions: Added desktop description.
-------------------------------------------------------------------
Sat Jul 18 03:39:00 CEST 2009 - jeffm@suse.de
- rpm/package-descriptions: Added desktop description.
-------------------------------------------------------------------
Sat Jul 18 03:18:57 CEST 2009 - jeffm@suse.de
- Add -desktop flavors for i386 and x86_64
- Disabled group scheduler and groups
- Disabled optimize for size
- Enabled full preemption
- Set HZ=1000
-------------------------------------------------------------------
Sat Jul 18 01:34:58 CEST 2009 - jeffm@suse.de
- Add -desktop flavors for i386 and x86_64 (FATE#305694)
- Disabled group scheduler and groups
- Disabled optimize for size
- Enabled full preemption
- Set HZ=1000
-------------------------------------------------------------------
Fri Jul 17 17:10:19 CEST 2009 - jeffm@suse.de
- patches.apparmor/apparmor.diff: ia64 build fix
-------------------------------------------------------------------
Fri Jul 17 11:25:31 CEST 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: simplify the add_dirs_to_filelist
function and make it less chatty in build logs.
-------------------------------------------------------------------
Fri Jul 17 00:39:39 CEST 2009 - jeffm@suse.com
- patches.apparmor/apparmor.diff: ia64 build fix
-------------------------------------------------------------------
Fri Jul 17 00:06:19 CEST 2009 - jeffm@suse.com
- patches.apparmor/security-default-lsm: security: Define default
LSM (bnc#442668).
-------------------------------------------------------------------
Thu Jul 16 22:50:13 CEST 2009 - jeffm@suse.de
- patches.apparmor/apparmor.diff: AppArmor.
-------------------------------------------------------------------
Thu Jul 16 22:44:02 CEST 2009 - jeffm@suse.de
- patches.apparmor/apparmor.diff: AppArmor.
-------------------------------------------------------------------
Thu Jul 16 20:15:59 CEST 2009 - jeffm@suse.de
- patches.rpmify/sgi-hotplug-fixup: hotplug: fix sgi-hotplug
attribute handling.
-------------------------------------------------------------------
Thu Jul 16 16:53:35 CEST 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: drop the config-subst script, use
scripts/config instead.
-------------------------------------------------------------------
Thu Jul 16 13:19:19 CEST 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: fix debugsource generation.
-------------------------------------------------------------------
Thu Jul 16 10:46:05 CEST 2009 - mmarek@suse.cz
- rpm/split-modules: fix last change.
-------------------------------------------------------------------
Wed Jul 15 22:40:58 CEST 2009 - mmarek@suse.cz
- rpm/split-modules: fix for module names with underscores or
dashes.
-------------------------------------------------------------------
Wed Jul 15 22:33:07 CEST 2009 - jeffm@suse.de
- Update to 2.6.31-rc3.
- Eliminated 2 patches.
-------------------------------------------------------------------
Wed Jul 15 17:10:29 CEST 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: annotate in which products the
obsoleted kmps were last used, remove "ralink-rt2860-kmp" which
I couldn't find anywhere.
-------------------------------------------------------------------
Wed Jul 15 16:50:44 CEST 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: obsolete btusb-kmp (bnc#514375).
-------------------------------------------------------------------
Tue Jul 14 15:37:36 CEST 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in, rpm/split-modules: move generating of
the base / main / unsupported module lists to a separate script.
Avoids 6k modinfo calls and fixes module dependencies
(bnc#512179).
-------------------------------------------------------------------
Mon Jul 13 22:10:13 CEST 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: fix include2/asm symlink (bnc#509680).
-------------------------------------------------------------------
Mon Jul 13 16:55:56 CEST 2009 - mmarek@suse.cz
- rpm/modversions: fix overriding of function symbols.
-------------------------------------------------------------------
Mon Jul 13 16:13:52 CEST 2009 - mmarek@suse.cz
- rpm/modversions: fix overriding of unknown symbols.
-------------------------------------------------------------------
Tue Jul 7 14:30:30 CEST 2009 - jkosina@suse.de
- patches.suse/e1000e_allow_bad_checksum: Delete.
- patches.suse/e1000e_call_dump_eeprom: Delete.
- patches.suse/e1000e_use_set_memory_ro-rw_to_protect_flash_memory:
Delete.
Delete the leftover debugging patches for e1000e EEPROM corruption
that are not needed anymore.
-------------------------------------------------------------------
Tue Jul 7 12:03:10 CEST 2009 - aj@suse.de
- README.BRANCH: Update, kotd will become 11.2 eventually.
-------------------------------------------------------------------
Mon Jul 6 21:36:35 CEST 2009 - jeffm@suse.com
- Update to 2.6.31-rc2.
-------------------------------------------------------------------
Fri Jul 3 22:32:24 CEST 2009 - jeffm@suse.com
- Update to 2.6.31-rc1-git10.
- Eliminated 28 patches.
- Xen is disabled.
-------------------------------------------------------------------
Fri Jul 3 15:41:08 CEST 2009 - mmarek@suse.cz
- patches.suse/kbuild-generate-modules.builtin: kbuild: generate
modules.builtin.
- rpm/kernel-binary.spec.in: package modules.builtin for use by
modprobe / mkinitrd.
-------------------------------------------------------------------
Fri Jul 3 14:44:00 CEST 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in, rpm/kernel-source.spec.in: simplify
the patch applying loops to reduce noise in build logs.
-------------------------------------------------------------------
Tue Jun 30 19:28:22 CEST 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: chmod +x find-provides
-------------------------------------------------------------------
Tue Jun 30 13:17:18 CEST 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: do not "annotate" the packaged
Modules.symvers
- patches.suse/modpost-filter-out-built-in-depends: Delete.
-------------------------------------------------------------------
Tue Jun 30 11:35:47 CEST 2009 - jbeulich@novell.com
- patches.arch/ia64-page-migration: Fix compiler warning.
-------------------------------------------------------------------
Mon Jun 29 19:50:25 CEST 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: move /boot/symvers* files back to
-base, these are needed during KMP installation.
-------------------------------------------------------------------
Mon Jun 29 19:49:16 CEST 2009 - mmarek@suse.cz
- patches.fixes/kbuild-fix-generating-of-.symtypes-files: kbuild:
fix generating of *.symtypes files.
- patches.suse/genksyms-add-override-flag.diff: Refresh.
- rpm/kernel-binary.spec.in: create the *.symref files in the build
directory
-------------------------------------------------------------------
Fri Jun 26 19:04:30 CEST 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: add Provides: kernel-{base,extra} to
the subpackages (bnc#516827).
-------------------------------------------------------------------
Wed Jun 24 15:51:48 CEST 2009 - gregkh@suse.de
- Update config files.
revert the ACPI and thermal config changes:
config/i386/pae and config/x86-64/default:
CONFIG_ACPI_AC=m
CONFIG_ACPI_BATTERY=m
CONFIG_ACPI_BUTTON=m
CONFIG_ACPI_VIDEO=m
CONFIG_ACPI_FAN=m
CONFIG_ACPI_PROCESSOR=m
CONFIG_ACPI_THERMAL=m
CONFIG_ACPI_CONTAINER=m
CONFIG_X86_ACPI_CPUFREQ=m
CONFIG_THERMAL=m
-------------------------------------------------------------------
Wed Jun 24 15:48:06 CEST 2009 - gregkh@suse.de
- patches.suse/ec_merge_irq_and_poll_modes.patch: Delete.
- patches.suse/linux-2.6.29-retry-root-mount.patch: Delete.
-------------------------------------------------------------------
Wed Jun 24 10:57:00 CEST 2009 - jbeulich@novell.com
- Update Xen patches to 2.6.30 and c/s 908.
- Update Xen config files.
- patches.xen/tmem: Transcendent memory ("tmem") for Linux.
-------------------------------------------------------------------
Tue Jun 23 06:19:21 CEST 2009 - gregkh@suse.de
- Update config files.
config/i386/pae and config/x86-64/default:
CONFIG_ACPI_AC=y
CONFIG_ACPI_BATTERY=y
CONFIG_ACPI_BUTTON=y
CONFIG_ACPI_VIDEO=y
CONFIG_ACPI_FAN=y
CONFIG_ACPI_PROCESSOR=y
CONFIG_ACPI_THERMAL=y
CONFIG_ACPI_CONTAINER=y
CONFIG_X86_ACPI_CPUFREQ=y
CONFIG_THERMAL=y
-------------------------------------------------------------------
Tue Jun 23 06:05:34 CEST 2009 - gregkh@suse.de
- Update config files.
config/i386/pae and config/x86-64/default:
CONFIG_SND_TIMER=y
CONFIG_SND_PCM=y
CONFIG_SND_SEQUENCER=y
CONFIG_SND_MIXER_OSS=y
CONFIG_SND_PCM_OSS=y
-------------------------------------------------------------------
Tue Jun 23 05:57:44 CEST 2009 - gregkh@suse.de
- Update config files.
fix up config mistake in x86-64/default made in last commit.
-------------------------------------------------------------------
Tue Jun 23 05:54:30 CEST 2009 - gregkh@suse.de
- Update config files.
config/i386/pae and config/x86-64/default:
CONFIG_VIDEO_OUTPUT_CONTROL=y
CONFIG_SOUND=y
CONFIG_SND=y
-------------------------------------------------------------------
Tue Jun 23 05:42:51 CEST 2009 - gregkh@suse.de
- Update config files.
config/i386/pae and config/x86-64/default:
CONFIG_I2C=y
CONFIG_HWMON=y
-------------------------------------------------------------------
Sat Jun 20 04:19:52 CEST 2009 - gregkh@suse.de
- Update config files.
config/i386/pae and config/x86-64/default:
CONFIG_IPV6=y
-------------------------------------------------------------------
Sat Jun 20 04:18:09 CEST 2009 - gregkh@suse.de
- Update config files.
config/i386/pae and config/x86-64/default:
CONFIG_HID=y
CONFIG_USB_STORAGE=y
-------------------------------------------------------------------
Sat Jun 20 02:11:50 CEST 2009 - gregkh@suse.de
- Update config files.
config/i386/pae and config/x86-64/default:
CONFIG_ATA_PIIX=Y
-------------------------------------------------------------------
Sat Jun 20 02:09:25 CEST 2009 - gregkh@suse.de
- Update config files.
config/i386/pae and config/x86-64/default:
CONFIG_USB_EHCI_HCD=Y
CONFIG_USB_OHCI_HCD=Y
CONFIG_USB_UHCI_HCD=Y
-------------------------------------------------------------------
Sat Jun 20 02:03:08 CEST 2009 - gregkh@suse.de
- Update config files.
config/i386/pae and config/x86-64/default:
CONFIG_CFG80211=Y
CONFIG_LIB80211=Y
CONFIG_MAC80211=Y
CONFIG_ATH5K=Y
-------------------------------------------------------------------
Sat Jun 20 01:57:07 CEST 2009 - gregkh@suse.de
- Update config files.
config/i386/pae and config/x86-64/default:
CONFIG_X86_MSR=Y
CONFIG_X86_CPUID=Y
-------------------------------------------------------------------
Fri Jun 19 23:48:52 CEST 2009 - gregkh@suse.de
- comment out broken acpi patch for the moment.
-------------------------------------------------------------------
Fri Jun 19 23:12:06 CEST 2009 - gregkh@suse.de
- move the "preload" branch into master to get 2.6.30 working
for Moblin.
- Update config files.
- patches.drivers/alsa-ad1984a-hp-quirks: ALSA: update HP
quirks for Zenith & co (bnc#472789, bnc#479617, bnc#502425,
bnc#503101).
- patches.suse/driver-core-add-nodename-callbacks.patch: Driver
Core: add nodename callbacks.
- patches.suse/driver-core-aoe-add-nodename-for-aoe-devices.patch:
Driver Core: aoe: add nodename for aoe devices.
- patches.suse/driver-core-block-add-nodename-support-for-block-drivers.patch:
Driver Core: block: add nodename support for block drivers..
- patches.suse/driver-core-bsg-add-nodename-for-bsg-driver.patch:
Driver Core: bsg: add nodename for bsg driver.
- patches.suse/driver-core-devtmpfs-driver-core-maintained-dev-tmpfs.patch:
Driver Core: devtmpfs - kernel-maintained tmpfs-based /dev.
- patches.suse/driver-core-drm-add-nodename-for-drm-devices.patch:
Driver Core: drm: add nodename for drm devices.
- patches.suse/driver-core-dvb-add-nodename-for-dvb-drivers.patch:
Driver Core: dvb: add nodename for dvb drivers.
- patches.suse/driver-core-input-add-nodename-for-input-drivers.patch:
Driver Core: input: add nodename for input drivers.
- patches.suse/driver-core-misc-add-nodename-support-for-misc-devices.patch:
Driver Core: misc: add nodename support for misc devices..
- patches.suse/driver-core-raw-add-nodename-for-raw-devices.patch:
Driver Core: raw: add nodename for raw devices.
- patches.suse/driver-core-sound-add-nodename-for-sound-drivers.patch:
Driver Core: sound: add nodename for sound drivers.
- patches.suse/driver-core-usb-add-nodename-support-for-usb-drivers.patch:
Driver Core: usb: add nodename support for usb drivers..
- patches.suse/driver-core-x86-add-nodename-for-cpuid-and-msr-drivers.patch:
Driver Core: x86: add nodename for cpuid and msr drivers..
- patches.suse/ec_merge_irq_and_poll_modes.patch: ACPI: EC:
Merge IRQ and POLL modes.
- patches.suse/linux-2.6.29-dont-wait-for-mouse.patch: fastboot:
remove "wait for all devices before mounting root" delay.
- patches.suse/linux-2.6.29-enable-async-by-default.patch:
enable async_enabled by default.
- patches.suse/linux-2.6.29-even-faster-kms.patch: speed up kms
even more.
- patches.suse/linux-2.6.29-jbd-longer-commit-interval.patch:
jbd: longer commit interval.
- patches.suse/linux-2.6.29-kms-after-sata.patch: make kms happen
after sata.
- patches.suse/linux-2.6.29-retry-root-mount.patch: fastboot:
retry mounting the root fs if we can't find init.
- patches.suse/linux-2.6.29-silence-acer-message.patch: Silence
acer wmi driver on non-acer machines.
- patches.suse/linux-2.6.29-touchkit.patch: some new touch screen
device ids
.
- patches.suse/uvcvideo-ignore-hue-control-for-5986-0241.patch:
uvcvideo: ignore hue control for 5986:0241 (bnc#499152).
- patches.suse/devtmpfs.patch: Delete.
-------------------------------------------------------------------
Fri Jun 12 05:14:11 CEST 2009 - greg@suse.de
- scripts/sequence-patch.sh: fix bug in ketchup usage
-------------------------------------------------------------------
Wed Jun 10 16:12:01 CEST 2009 - jeffm@suse.com
- Update to 2.6.30-final.
-------------------------------------------------------------------
Wed Jun 10 10:31:34 CEST 2009 - jbeulich@novell.com
- Update Xen patches to 2.6.30-rc8 and c/s 898.
- Update Xen config files.
- patches.xen/pci-reserve: linux/pci: reserve io/memory space
for bridge.
- patches.xen/xen-x86-exports: Delete.
-------------------------------------------------------------------
Tue Jun 9 17:14:45 CEST 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in, rpm/kernel-source.spec.in,
rpm/kernel-syms.spec.in, rpm/mkspec: update copyright header and
change indentation to what autobuild enforces on checkin. No
functional change.
-------------------------------------------------------------------
Tue Jun 9 17:06:06 CEST 2009 - jbeulich@novell.com
- patches.suse/stack-unwind-add-declaration.patch: Fold into ...
- patches.suse/stack-unwind: ... this one.
-------------------------------------------------------------------
Tue Jun 9 12:11:11 CEST 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: move /boot/vmlinux-*.gz to -devel
again.
- rpm/find-provides: don't generate the ksym() provides ourself,
let rpm do it. Add a workaround for vmlinux-*.gz in -devel.
-------------------------------------------------------------------
Mon Jun 8 09:01:23 CEST 2009 - jeffm@suse.com
- patches.suse/reiser4-set_page_dirty_notag: mm: Add
set_page_dirty_notag() helper for reiser4.
-------------------------------------------------------------------
Fri Jun 5 13:43:37 CEST 2009 - mmarek@suse.cz
- rpm/kernel-module-subpackage: add Enhances: kernel-$flavor to
kmps (bnc#502092).
-------------------------------------------------------------------
Thu Jun 4 16:26:21 CEST 2009 - jeffm@suse.de
- Update to 2.6.30-rc8.
-------------------------------------------------------------------
Thu Jun 4 07:09:52 CEST 2009 - sdietrich@suse.de
- supported.conf: remove duplicate kernel/drivers/md/dm-log
-------------------------------------------------------------------
Thu Jun 4 06:02:57 CEST 2009 - teheo@suse.de
Conver ide major allocation.
- patches.suse/block-add-mangle-devt-switch: block: add
genhd.mangle_devt parameter (fate#305584).
-------------------------------------------------------------------
Mon Jun 1 20:54:44 CEST 2009 - jeffm@suse.de
- Update to 2.6.30-rc7-git4.
-------------------------------------------------------------------
Fri May 29 09:50:28 CEST 2009 - teheo@suse.de
Rename mangle_minor to mangle_devt and also cover sd major allocation.
- patches.suse/block-add-mangle-devt-switch: block: add
genhd.mangle_devt parameter (fate#305584).
-------------------------------------------------------------------
Fri May 29 07:35:53 CEST 2009 - teheo@suse.de
- Update config files to enable DEBUG_BLOCK_EXT_DEVT on all configs
except for vanilla and ppc/ps3.
- patches.suse/block-add-mangle-devt-switch: block: add
genhd.mangle_minor parameter (fate#305584).
-------------------------------------------------------------------
Thu May 28 16:35:40 CEST 2009 - jdelvare@suse.de
- patches.fixes/scsi-scan-blist-update: Add BLIST_REPORTLUN2 to
EMC SYMMETRIX (bnc#185164, bnc#191648, bnc#505578).
-------------------------------------------------------------------
Wed May 27 18:05:14 CEST 2009 - jeffm@suse.com
- Update to 2.6.30-rc7-git2.
-------------------------------------------------------------------
Wed May 27 08:22:05 CEST 2009 - gregkh@suse.de
- patches.drivers/ath1e-add-new-device-id-for-asus-hardware.patch:
ath1e: add new device id for asus hardware.
-------------------------------------------------------------------
Tue May 26 15:28:51 CEST 2009 - mmarek@suse.cz
- rpm/mkspec: when using a custom release number, create a
get_release_number.sh script for autobuild.
-------------------------------------------------------------------
Tue May 26 15:08:25 CEST 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: workaround for bnc#507084: strip
binaries in /usr/src/linux-obj/*/*/scripts.
-------------------------------------------------------------------
Tue May 26 11:33:25 CEST 2009 - jdelvare@suse.de
- patches.drivers/r8169-allow-true-forced-mode-setting.patch:
r8169: allow true forced mode setting (bnc#467518).
-------------------------------------------------------------------
Mon May 25 14:11:04 CEST 2009 - mmarek@suse.cz
- switch i386 flavors back to -default (non-pae) and -pae for
milestone2
-------------------------------------------------------------------
Sun May 24 10:36:18 CEST 2009 - mmarek@suse.cz
- rpm/find-provides: fix for kernel-kdump.
-------------------------------------------------------------------
Sat May 23 22:18:05 CEST 2009 - mmarek@suse.cz
- rpm/find-provides, rpm/kernel-binary.spec.in, rpm/symsets.pl:
workaround to fix provides of built-in symbols: move vmlinux*.gz
back to -base and extract the provides from it.
-------------------------------------------------------------------
Fri May 22 15:47:01 CEST 2009 - teheo@suse.de
- patches.arch/i586-unwind-quick-fix: i586-relocs: ignore NONE
relocation.
-------------------------------------------------------------------
Fri May 22 12:42:36 CEST 2009 - mmarek@suse.cz
- rpm/compute-PATCHVERSION.sh, rpm/mkspec, scripts/tar-up.sh: avoid
unpacking the patches tarballs in compute-PATCHVERSION.sh.
-------------------------------------------------------------------
Fri May 22 11:45:41 CEST 2009 - mmarek@suse.cz
- rpm/mkspec: add --release option to set a custom release string.
- scripts/tar-up.sh: revive -rs option.
-------------------------------------------------------------------
Wed May 20 16:05:07 CEST 2009 - mmarek@suse.cz
- patches.arch/acpi_thermal_passive_blacklist.patch,
patches.suse/devtmpfs.patch: fix patches to apply with git-apply.
-------------------------------------------------------------------
Tue May 19 21:42:45 CEST 2009 - sdietrich@suse.de
- patches.suse/stack-unwind-add-declaration.patch: Fix compile
error when CONFIG_STACK_UNWIND is not set.
-------------------------------------------------------------------
Tue May 19 18:24:46 CEST 2009 - jblunck@suse.de
- patches.rpmify/arm-arch_include_asm-fix.diff: ARM: move
mach-types.h to arch/include/asm.
-------------------------------------------------------------------
Tue May 19 18:03:44 CEST 2009 - jeffm@suse.com
- Set CONFIG_FRAMEBUFFER_CONSOLE=y
-------------------------------------------------------------------
Tue May 19 17:27:45 CEST 2009 - jeffm@suse.com
- Restored CONFIG_BOOTSPLASH=y and CONFIG_FB_VESA=y on
x86/x86_64 (bnc#504608)
-------------------------------------------------------------------
Tue May 19 16:17:34 CEST 2009 - jbeulich@novell.com
- patches.xen/sfc-endianness: fix building with gcc 4.4.
-------------------------------------------------------------------
Tue May 19 12:04:26 CEST 2009 - jbeulich@novell.com
- Update Xen patches to 2.6.30/rc6-git3 and c/s 873.
-------------------------------------------------------------------
Mon May 18 16:52:37 CEST 2009 - jeffm@suse.com
- Updated to 2.6.30-rc6-git3.
- Eliminated 4 patches.
-------------------------------------------------------------------
Fri May 15 19:16:23 CEST 2009 - jeffm@suse.de
- doc/README.SUSE: Updated to reflect building in an external
directory so as not to contaminate /usr/src/linux
-------------------------------------------------------------------
Thu May 14 14:09:10 CEST 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: fix path in
/usr/src/linux-obj/.../Makefile.
-------------------------------------------------------------------
Thu May 14 11:09:01 CEST 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: provide kernel-$flavor-devel =
%version-%source_rel in the -devel packages (bnc#503280).
-------------------------------------------------------------------
Wed May 13 15:42:49 CEST 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: also fix kernel-$flavor-devel requires
(bnc#503280).
-------------------------------------------------------------------
Wed May 13 15:32:58 CEST 2009 - mmarek@suse.cz
- rpm/mkspec: fix kernel-syms requires (bnc#503280).
-------------------------------------------------------------------
Mon May 11 21:11:59 CEST 2009 - jeffm@suse.com
- patches.fixes/dup2-retval-fix: dup2: Fix return value with
oldfd == newfd and invalid fd (bnc#498042).
-------------------------------------------------------------------
Mon May 11 21:11:19 CEST 2009 - jeffm@suse.com
- patches.fixes/reiserfs-xattr-fixup: reiserfs: clean up ifdefs.
- patches.fixes/reiserfs-xattr-root-fixup: reiserfs: deal with
NULL xattr root w/ xattrs disabled.
- patches.fixes/reiserfs-xattrs-disabled-perms: reiserfs: fixup
perms when xattrs are disabled.
- patches.fixes/reiserfs-expose-privroot: reiserfs: allow exposing
privroot w/ xattrs enabled.
-------------------------------------------------------------------
Mon May 11 19:41:25 CEST 2009 - jeffm@suse.de
- Updated to 2.6.30-rc5-git1.
- Eliminated 4 patches.
-------------------------------------------------------------------
Wed May 6 17:38:57 CEST 2009 - gregkh@suse.de
- Update config files. update vanilla configs so that the build works.
-------------------------------------------------------------------
Wed May 6 17:19:56 CEST 2009 - gregkh@suse.de
- Update config files.
- patches.suse/devtmpfs.patch: driver-core: devtmpfs - driver-core
maintained /dev tmpfs.
-------------------------------------------------------------------
Tue May 5 17:17:21 CEST 2009 - jeffm@suse.com
- Update config files.
-------------------------------------------------------------------
Tue May 5 16:46:08 CEST 2009 - jeffm@suse.com
- Update to 2.6.30-rc4-git1.
- patches.rpmify/fix-unexpected-non-allocable-warnings-with-suse-gcc:
kbuild, modpost: fix "unexpected non-allocatable" warning with
SUSE gcc.
-------------------------------------------------------------------
Tue May 5 14:31:59 CEST 2009 - jbeulich@novell.com
- patches.fixes/iwl3945-build: iwl3945: fix ia64/ppc build.
-------------------------------------------------------------------
Tue May 5 11:05:37 CEST 2009 - jbeulich@novell.com
- patches.xen/xen3-patch-2.6.30-rc4: Fix ia64 build.
-------------------------------------------------------------------
Tue May 5 10:08:12 CEST 2009 - jbeulich@novell.com
- patches.suse/stack-unwind: Also initialize PT_GS() on 32-bit.
- patches.arch/x86_64-unwind-annotations: Refresh.
-------------------------------------------------------------------
Tue May 5 10:02:41 CEST 2009 - jbeulich@novell.com
- Update Xen patches to 2.6.29-rc4 and c/s 867.
- Update i386 and x86_64 config files.
- config.conf: Re-enable Xen.
-------------------------------------------------------------------
Tue May 5 05:22:16 CEST 2009 - teheo@suse.de
- patches.suse/kbuild-icecream-workaround: kbuild: add workaround
for icecream bug (bnc#495786).
-------------------------------------------------------------------
Fri May 1 20:01:16 CEST 2009 - jeffm@suse.com
- patches.fixes/reiserfs-xattr-locking: reiserfs: Expand i_mutex
to enclose lookup_one_len.
-------------------------------------------------------------------
Fri May 1 20:00:48 CEST 2009 - jeffm@suse.com
- Update to 2.6.30-rc4.
- Eliminated 2 patches.
-------------------------------------------------------------------
Fri May 1 19:58:07 CEST 2009 - jeffm@suse.com
- patches.drivers/libata-prefer-over-ide: libata: prefer libata
drivers over ide ones (bnc#433105).
- patches.fixes/reiserfs-xattr-locking: reiserfs: Expand i_mutex
to enclose lookup_one_len.
- patches.kernel.org/patch-2.6.30-rc3-rc4:
- patches.suse/no-frame-pointer-select: Fix stack unwinder Kconfig
(bnc#402518).
- patches.arch/s390-08-05-af_iucv-msgpeek-fix.patch: Delete.
- patches.fixes/fix-periodic-mode-programming-on-amd81xx: Delete.
-------------------------------------------------------------------
Thu Apr 30 16:56:17 CEST 2009 - mmarek@suse.cz
- scripts/submit-to-bs: tentative script to submit a new kernel to
openSUSE:Factory
-------------------------------------------------------------------
Tue Apr 28 11:19:41 CEST 2009 - npiggin@suse.de
- patches.apparmor/unambiguous-__d_path.diff: Put a reminder in here
to fix the lock order problem when the patch is updated to HEAD.
-------------------------------------------------------------------
Mon Apr 27 13:48:49 CEST 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in, rpm/kernel-source.spec.in,
rpm/kernel-syms.spec.in, rpm/mkspec: Fix prepending EXTRAVERSION,
rename the variable back to @RELEASE_PREFIX@.
-------------------------------------------------------------------
Mon Apr 27 10:41:20 CEST 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in, rpm/kernel-source.spec.in,
rpm/kernel-syms.spec.in, rpm/mkspec: prepend the EXTRAVERSION to
the rpm release string (note that this won't have any effect in
the openSUSE:* projects).
-------------------------------------------------------------------
Fri Apr 24 19:28:44 CEST 2009 - gregkh@suse.de
- Update config files.
- build rtc_cmos driver into the kernel for i386 and x86-64 default
kernels. This should automatically take care of the rtc/system time
syncing so we don't need to do it in a boot script and should speed
up booting time a lot.
-------------------------------------------------------------------
Fri Apr 24 19:24:53 CEST 2009 - gregkh@suse.de
- Update config files. change CONFIG_ATA=y and CONFIG_SATA_AHCI=y
-------------------------------------------------------------------
Fri Apr 24 18:23:21 CEST 2009 - gregkh@suse.de
- Update config files. change to CONFIG_EXT2_FS=y and CONFIG_EXT3_FS=y
-------------------------------------------------------------------
Fri Apr 24 18:19:34 CEST 2009 - gregkh@suse.de
- Update config files. change to CONFIG_SCSI=y and CONFIG_BLK_DEV_SD=y
-------------------------------------------------------------------
Fri Apr 24 18:14:49 CEST 2009 - gregkh@suse.de
- Update config files. change to use CONFIG_USB=y
-------------------------------------------------------------------
Thu Apr 23 23:38:53 CEST 2009 - jeffm@suse.de
- Added legacy config.
-------------------------------------------------------------------
Thu Apr 23 23:31:39 CEST 2009 - jeffm@suse.de
- Temporarily disabled patches.suse/acpi-dsdt-initrd-v0.9a-2.6.25.patch
-------------------------------------------------------------------
Thu Apr 23 17:53:58 CEST 2009 - jeffm@suse.de
- Moved i386 kernel-default to kernel-legacy.
- Moved i386 kernel-pae config to kernel-default.
- Disabled CONFIG_ISA in i386 kernel-default to improve boot speed.
-------------------------------------------------------------------
Thu Apr 23 17:29:47 CEST 2009 - jeffm@suse.de
- Update to 2.6.30-rc3.
-------------------------------------------------------------------
Thu Apr 23 17:17:59 CEST 2009 - jeffm@suse.de
- patches.fixes/fix-periodic-mode-programming-on-amd81xx: x86:
hpet: fix periodic mode programming on AMD 81xx.
- patches.fixes/hpet-boot-fix: Delete.
-------------------------------------------------------------------
Mon Apr 20 16:44:13 CEST 2009 - jeffm@suse.de
- patches.fixes/hpet-boot-fix: hpet: fix "IO-APIC + timer doesn't work!"
-------------------------------------------------------------------
Mon Apr 20 16:43:50 CEST 2009 - jeffm@suse.de
- Update to 2.6.30-rc2-git6.
-------------------------------------------------------------------
Wed Apr 15 06:33:54 CEST 2009 - jeffm@suse.de
- Update to 2.6.30-rc2.
- trace and xen flavors disabled.
- CONFIG_OTUS disabled on ppc.
- request-based multipath could use some testing.
- Eliminated 96 patches.
-------------------------------------------------------------------
Fri Apr 10 20:09:08 CEST 2009 - jeffm@suse.de
- rpm/devel-post.sh, rpm/kernel-binary.spec.in: Created i586 symlink
for i386.
-------------------------------------------------------------------
Fri Apr 10 19:08:14 CEST 2009 - jeffm@suse.de
- rpm/kernel-binary.spec.in: Added /usr/src/linux-obj to -devel
-------------------------------------------------------------------
Fri Apr 10 17:35:35 CEST 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: Use xargs -r to fix case when no
modules are supported.
-------------------------------------------------------------------
Fri Apr 10 17:18:34 CEST 2009 - jeffm@suse.com
- Moved linux-obj symlink handling to kernel-$flavor-devel.
-------------------------------------------------------------------
Fri Apr 10 11:41:12 CEST 2009 - mmarek@suse.cz
- rpm/package-descriptions: Add comment.
-------------------------------------------------------------------
Fri Apr 10 11:12:30 CEST 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in, rpm/kernel-source.spec.in,
rpm/kernel-syms.spec.in, scripts/tar-up.sh: Rename the timestamp
file to source-timestamp instead, so that autobuild does not add
the timestamp verbatim.
-------------------------------------------------------------------
Thu Apr 9 13:52:47 CEST 2009 - jbeulich@novell.com
- Update Xen patches to 2.6.29 final and c/s 854.
- patches.xen/sfc-external-sram: enable access to Falcon's
external SRAM (bnc#489105).
- patches.xen/sfc-sync-headers: sync Solarflare accelerator
headers (bnc#489105).
- Update Xen config files.
-------------------------------------------------------------------
Wed Apr 8 11:54:11 CEST 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in, rpm/kernel-source.spec.in,
rpm/kernel-syms.spec.in: Fix last change: do not add the
timestamp if it is already added verbatim (by prepare_spec during
checkin)
-------------------------------------------------------------------
Tue Apr 7 21:58:38 CEST 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in, rpm/kernel-source.spec.in,
rpm/kernel-syms.spec.in: Add source timestamp to package
descriptions.
-------------------------------------------------------------------
Tue Apr 7 21:28:59 CEST 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in, rpm/kernel-source.spec.in,
rpm/kernel-syms.spec.in, rpm/mkspec: add descriptions to
generated spec files.
- rpm/package-descriptions: descriptions of binary packages.
-------------------------------------------------------------------
Mon Apr 6 20:29:03 CEST 2009 - jeffm@suse.com
- Enabled STAGING on !x86 and disabled COMEDI.
-------------------------------------------------------------------
Mon Apr 6 19:21:37 CEST 2009 - jeffm@suse.com
- patches.rpmify/split-package: Enable
-------------------------------------------------------------------
Mon Apr 6 19:21:23 CEST 2009 - jeffm@suse.com
- Update config files: Fixed i386-vanilla.
-------------------------------------------------------------------
Mon Apr 6 19:11:52 CEST 2009 - jeffm@suse.com
- patches.fixes/xfs-export-debug: xfs: export assertion handler.
-------------------------------------------------------------------
Mon Apr 6 02:53:12 CEST 2009 - jeffm@suse.com
- Switch from SPARSEMEM to DISCONTIGMEM on i386.
-------------------------------------------------------------------
Sun Apr 5 02:24:01 CEST 2009 - jeffm@suse.com
- scripts/tar-up_and_run_mbuild.sh: Added pae to the important
specfiles list.
-------------------------------------------------------------------
Fri Apr 3 22:47:12 CEST 2009 - jeffm@suse.com
- Update config files: Fix missing ia64-debug.
-------------------------------------------------------------------
Fri Apr 3 22:32:01 CEST 2009 - jeffm@suse.com
- patches.xen/sfc-resource-driver: Fix uninitialized var warning.
-------------------------------------------------------------------
Fri Apr 3 22:25:35 CEST 2009 - jeffm@suse.com
- Drop NR_CPUS back to 128 on i386.
-------------------------------------------------------------------
Fri Apr 3 19:36:31 CEST 2009 - jeffm@suse.com
- rpm/kernel-binary.spec.in: Added CONFIG_SPLIT_PACKAGE.
-------------------------------------------------------------------
Fri Apr 3 19:35:53 CEST 2009 - jeffm@suse.de
- Update config files: Enabled STAGING drivers on -vanilla.
-------------------------------------------------------------------
Fri Apr 3 17:13:32 CEST 2009 - jblunck@suse.de
- patches.rpmify/rpm-kernel-config: Rediff.
-------------------------------------------------------------------
Fri Apr 3 17:06:14 CEST 2009 - mmarek@suse.cz
- rpm/kernel-source.spec.in: chmod +x mkspec arch-symbols
compute-PATCHVERSION.sh
-------------------------------------------------------------------
Fri Apr 3 17:00:50 CEST 2009 - jeffm@suse.com
- Update config files: Enabled STAGING drivers.
-------------------------------------------------------------------
Fri Apr 3 16:30:02 CEST 2009 - jeffm@suse.com
- Sync up kernel configs for x86/x86_64 flavors.
-------------------------------------------------------------------
Fri Apr 3 14:55:26 CEST 2009 - mmarek@suse.cz
- rpm/kernel-source.spec.in, rpm/mkspec: do not package the binary
spec files anymore.
-------------------------------------------------------------------
Thu Apr 2 23:41:52 CEST 2009 - mmarek@suse.cz
- rpm/modversions: keep the override keyword in --pack.
-------------------------------------------------------------------
Thu Apr 2 20:37:33 CEST 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in, rpm/mkspec, scripts/tar-up.sh: remove
@TOLERATE_UNKNOWN_NEW_CONFIG_OPTIONS@ expansion, check for a file
named TOLERATE-UNKNOWN-NEW-CONFIG-OPTIONS in sourcedir instead.
-------------------------------------------------------------------
Thu Apr 2 20:27:04 CEST 2009 - mmarek@suse.cz
- rpm/kernel-syms.spec.in: set LC_ALL=C in rpm -q call
-------------------------------------------------------------------
Thu Apr 2 17:57:48 CEST 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: add @FLAVOR@ again to avoid %%(...)
expansion
-------------------------------------------------------------------
Thu Apr 2 17:48:41 CEST 2009 - mmarek@suse.cz
- rpm/mkspec: new script to generate spec files from *.spec.in
templates
- rpm/compute-PATCHVERSION.sh, rpm/kernel-binary.spec.in,
rpm/kernel-source.spec.in, rpm/kernel-syms.spec.in: add to the
source rpm
- scripts/tar-up.sh: just tar up patches directories and call
mkspec
-------------------------------------------------------------------
Tue Mar 31 15:56:00 CEST 2009 - mmarek@suse.cz
- rpm/kernel-dummy.spec.in: Delete.
-------------------------------------------------------------------
Tue Mar 31 15:46:18 CEST 2009 - jeffm@suse.de
- doc/README.KSYMS: Add to repo.
-------------------------------------------------------------------
Tue Mar 31 15:39:55 CEST 2009 - mmarek@suse.cz
- config.conf, rpm/old-packages.conf, scripts/arch-symbols,
scripts/run_oldconfig.sh, scripts/tar-up.sh: drop the arch
symbols completely, only map the various ix86 archs to i386.
-------------------------------------------------------------------
Tue Mar 31 14:49:09 CEST 2009 - mmarek@suse.cz
- doc/README.SUSE: allow_unsupported_modules needs to be set before
installing the kernel (bnc#484664).
-------------------------------------------------------------------
Tue Mar 31 03:08:30 CEST 2009 - jeffm@suse.de
- Add %changelog to spec files
-------------------------------------------------------------------
Tue Mar 31 03:07:51 CEST 2009 - jeffm@suse.de
- rpm/kernel-binary.spec.in: Clean up %build_$flavor macros
-------------------------------------------------------------------
Tue Mar 31 02:54:18 CEST 2009 - jeffm@suse.de
- rpm/kernel-source.spec.in: Create kernel-source-vanilla
-------------------------------------------------------------------
Tue Mar 31 02:53:41 CEST 2009 - jeffm@suse.de
- rpm/kernel-syms.spec.in, scripts/tar-up.sh: Depend on kernel-$flavor-devel
-------------------------------------------------------------------
Tue Mar 31 02:52:41 CEST 2009 - jeffm@suse.de
- rpm/kernel-binary.spec.in, rpm/kernel-source.spec.in,
rpm/kernel-syms.spec.in: Create a %using_buildservice macro
-------------------------------------------------------------------
Tue Mar 31 02:52:04 CEST 2009 - jeffm@suse.de
- rpm/kernel-binary.spec.in, rpm/kernel-source.spec.in,
scripts/sequence-patch.sh, scripts/tar-up.sh:
kernel-{binary,source}: Remove arch guards
-------------------------------------------------------------------
Tue Mar 31 02:51:13 CEST 2009 - jeffm@suse.de
- doc/README.SUSE, rpm/kernel-binary.spec.in, rpm/kernel-source.spec.in,
scripts/tar-up.sh: Move development files from kernel-source to
kernel-$flavor-devel
-------------------------------------------------------------------
Tue Mar 31 02:50:53 CEST 2009 - jeffm@suse.de
- rpm/kernel-binary.spec.in: Remove $CONFIG_MODULES
-------------------------------------------------------------------
Tue Mar 31 02:50:15 CEST 2009 - jeffm@suse.de
- rpm/kernel-binary.spec.in: Remove duplicate CONFIG_DEBUG_INFO=y
-------------------------------------------------------------------
Tue Mar 31 02:49:53 CEST 2009 - jeffm@suse.de
- rpm/kernel-binary.spec.in: Use macros for cpu_arch
-------------------------------------------------------------------
Tue Mar 31 02:49:23 CEST 2009 - jeffm@suse.de
- rpm/kernel-binary.spec.in, rpm/kernel-source.spec.in:
kernel-{source,binary}: Use path-related rpm macros
-------------------------------------------------------------------
Tue Mar 31 02:48:40 CEST 2009 - jeffm@suse.de
- rpm/kernel-binary.spec.in, rpm/kernel-source.spec.in:
Use a %kernelrelease macro.
-------------------------------------------------------------------
Tue Mar 31 02:47:58 CEST 2009 - jeffm@suse.de
- rpm/kernel-source.spec.in, rpm/source-post.sh, scripts/tar-up.sh:
Use %variant instead of $variant
-------------------------------------------------------------------
Tue Mar 31 02:47:14 CEST 2009 - jeffm@suse.de
- kernel-source: Kill old obsoletes
-------------------------------------------------------------------
Tue Mar 31 02:46:35 CEST 2009 - jeffm@suse.de
- rpm/kernel-binary.spec.in, rpm/kernel-source.spec.in,
rpm/kernel-syms.spec.in, scripts/tar-up.sh:
Use %var instead of @VAR@ except where necessary
-------------------------------------------------------------------
Tue Mar 31 02:46:12 CEST 2009 - jeffm@suse.de
- kernel-syms: Sort by flavor, not architecture
-------------------------------------------------------------------
Tue Mar 31 02:45:43 CEST 2009 - jeffm@suse.de
- kernel-syms: Stop the architecture %else madness
-------------------------------------------------------------------
Tue Mar 31 02:45:15 CEST 2009 - jeffm@suse.de
- kernel-binary: Stop the architecture %else madness
-------------------------------------------------------------------
Mon Mar 30 22:16:04 CEST 2009 - jeffm@suse.de
- Removed -RT guards and a dead patch.
-------------------------------------------------------------------
Mon Mar 30 22:14:17 CEST 2009 - jeffm@suse.de
- patches.fixes/reiserfs-prealloc-fix: Delete.
-------------------------------------------------------------------
Mon Mar 30 15:26:04 CEST 2009 - jeffm@suse.de
- patches.suse/reiserfs-inode-init: Delete.
-------------------------------------------------------------------
Thu Mar 26 21:28:32 CET 2009 - mmarek@suse.cz
- rpm/config.sh: introduce rpm/config.sh, defining SRCVERSION and
VARIANT variables.
-------------------------------------------------------------------
Tue Mar 24 15:37:54 CET 2009 - jeffm@suse.de
- Update to 2.6.29-final.
- Eliminated 4 patches.
-------------------------------------------------------------------
Fri Mar 20 09:41:41 CET 2009 - jbeulich@novell.com
- Update Xen config files.
- Update Xen patches to 2.6.29-rc8 and c/s 821.
-------------------------------------------------------------------
Wed Mar 18 15:10:32 CET 2009 - mmarek@suse.cz
- rpm/kernel-*.spec.in, scripts/tar-up.sh: don't add "<RELEASE>"
to the release, breaks plain rpmbuild.
-------------------------------------------------------------------
Tue Mar 17 16:14:08 CET 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: don't generate symsets
- rpm/kernel-syms.spec.in: don't package symsets
- rpm/find-provides: disable symset provides
- rpm/macros.kernel-source: don't check for /boot/symsets*
(fate#305945)
-------------------------------------------------------------------
Tue Mar 17 07:56:40 CET 2009 - knikanth@suse.de
- patches.fixes/loop-barriers: Delete.
- patches.fixes/loop-barriers2: Delete.
Remove non-mainline patches to loop driver making it honour
O_SYNC, sync requests and barriers. (bnc#485089), (bnc#471249)
-------------------------------------------------------------------
Mon Mar 16 18:11:40 CET 2009 - bphilips@suse.de
- README: add rough guide to updating KABI
-------------------------------------------------------------------
Fri Mar 13 23:37:52 CET 2009 - jeffm@suse.com
- Update to 2.6.29-rc8.
-------------------------------------------------------------------
Thu Mar 12 11:21:42 CET 2009 - jbeulich@novell.com
- patches.fixes/fix-nf_conntrack_slp,
patches.suse/perfmon2-remove_get_base_syscall_attr.patch,
patches.suse/perfmon2.patch,
patches.suse/silent-stack-overflow-2.patch: fix build warnings.
-------------------------------------------------------------------
Thu Mar 12 11:09:42 CET 2009 - jbeulich@novell.com
- Update Xen patches addressing several issues in initial commit
- Update Xen config files (re-enable oprofile, disable novfs).
- patches.xen/xen3-x86_64-unwind-annotations: fix unwind annotations
in entry_64-xen.S.
-------------------------------------------------------------------
Thu Mar 12 11:02:37 CET 2009 - jbeulich@novell.com
- patches.arch/x86_64-unwind-annotations: fix unwind annotations in
entry_64.S.
-------------------------------------------------------------------
Thu Mar 12 07:43:03 CET 2009 - rgoldwyn@suse.de
- patches.suse/novfs-creds-change-2.6.29: Changing credential
according to new task_struct.
-------------------------------------------------------------------
Wed Mar 11 18:27:00 CET 2009 - jblunck@suse.de
- rpm/kernel-binary.spec.in: Use split_packages only if supported.conf
is not empty.
-------------------------------------------------------------------
Mon Mar 9 21:26:13 CET 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: renamed modprobe config to
/etc/modprobe.d/50-module-renames.conf (required by new
module-init-tools).
-------------------------------------------------------------------
Mon Mar 9 12:04:46 CET 2009 - jbeulich@novell.com
- patches.xen/xen3-patch-2.6.29-rc4: fix ia64 build.
-------------------------------------------------------------------
Mon Mar 9 09:42:36 CET 2009 - jbeulich@novell.com
- Update Xen config files (get tracing options back in sync with
default).
-------------------------------------------------------------------
Fri Mar 6 20:56:37 CET 2009 - jeffm@suse.de
- Update config files: Enable CONFIG_FRAME_POINTER on Xen.
-------------------------------------------------------------------
Fri Mar 6 20:36:26 CET 2009 - jeffm@suse.de
- config.conf: Enabled Xen for building.
-------------------------------------------------------------------
Fri Mar 6 17:49:36 CET 2009 - jbeulich@novell.com
- Update Xen patches to 2.6.29-rc7.
-------------------------------------------------------------------
Fri Mar 6 13:34:30 CET 2009 - jbenc@suse.cz
- Update config files: enabled wireless debugging in -debug flavors.
-------------------------------------------------------------------
Fri Mar 6 10:36:19 CET 2009 - mmarek@suse.cz
- rpm/get_release_number.sh.in, rpm/kernel-binary.spec.in,
rpm/kernel-source.spec.in, rpm/kernel-syms.spec.in,
scripts/tar-up.sh, doc/README.SUSE: finally drop kernel-dummy
- rpm/prepare-build.sh: Delete.
-------------------------------------------------------------------
Wed Mar 4 20:18:28 CET 2009 - jeffm@suse.com
- Update to 2.6.29-rc7.
- Eliminated 1 patch.
-------------------------------------------------------------------
Wed Mar 4 11:48:01 CET 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: workaround a bash bug (bnc#481817)
in kernel-vanilla.spec.
-------------------------------------------------------------------
Tue Mar 3 23:00:28 CET 2009 - jeffm@suse.com
- patches.suse/export-security_inode_permission: Export
security_inode_permission for aufs.
-------------------------------------------------------------------
Thu Feb 26 15:32:35 CET 2009 - jeffm@suse.com
- scripts/tar-up.sh: Add -u to update existing spec files.
-------------------------------------------------------------------
Thu Feb 26 11:50:57 CET 2009 - sven@suse.de
- rpm/kernel-binary.spec.in: Fix sub-package install-time conflict.
-------------------------------------------------------------------
Wed Feb 25 19:41:59 CET 2009 - mmarek@suse.cz
- scripts/tar-up.sh: create tarballs that don't change
unnecessarily: set owner/group to nobody/nobody, mtime to time of
the latest commit and sort the input files.
-------------------------------------------------------------------
Tue Feb 24 23:28:11 CET 2009 - jeffm@suse.com
- Update to 2.6.29-rc6-git1.
-------------------------------------------------------------------
Sat Feb 21 17:30:47 CET 2009 - mmarek@suse.cz
- rpm/kernel-syms.spec.in: also check if the package versions match
(bnc#478462)
-------------------------------------------------------------------
Fri Feb 20 14:41:31 CET 2009 - jbeulich@novell.com
- patches.suse/stack-unwind: fix 32-bit arch_unwind_init_running().
-------------------------------------------------------------------
Fri Feb 20 10:12:51 CET 2009 - jbeulich@novell.com
- patches.suse/stack-unwind: fix patch fuzz.
-------------------------------------------------------------------
Fri Feb 20 09:48:59 CET 2009 - jbeulich@novell.com
- misc/xen-port-patches.py: Adjust fro new x86 header placement.
- patches.arch/x86_64-unwind-annotations: fix unwind annotations
(bnc#472783).
- patches.suse/stack-unwind: Properlz hook up unwinder again.
-------------------------------------------------------------------
Fri Feb 20 02:49:50 CET 2009 - jeffm@suse.de
- patches.suse/kdb-common: Build fix with -I directive.
-------------------------------------------------------------------
Fri Feb 20 02:12:56 CET 2009 - jeffm@suse.de
- Update config files.
-------------------------------------------------------------------
Fri Feb 20 01:50:59 CET 2009 - jeffm@suse.de
- Update to 2.6.29-rc5-git3.
- Eliminated 1 patch.
-------------------------------------------------------------------
Thu Feb 19 11:27:58 CET 2009 - mmarek@suse.cz
- rpm/symsets.pl: allow passing only Module.symvers and no modules
-------------------------------------------------------------------
Wed Feb 18 11:25:46 CET 2009 - olh@suse.de
- disable ppc601 support, disable unused framebuffer drivers
-------------------------------------------------------------------
Wed Feb 18 10:41:14 CET 2009 - olh@suse.de
- disable kdump on ppc32
------------------------------------------------------------------
Mon Feb 16 17:18:41 CET 2009 - jeffm@suse.com
- Update config files.
-------------------------------------------------------------------
Sat Feb 14 17:40:22 CET 2009 - jeffm@suse.de
- Update to 2.6.29-rc5.
-------------------------------------------------------------------
Fri Feb 13 21:15:40 CET 2009 - jeffm@suse.de
- Update to 2.6.29-rc4-git7.
- Eliminated 2 patches.
-------------------------------------------------------------------
Mon Feb 9 22:04:41 CET 2009 - jeffm@suse.de
- patches.rpmify/spin_is_contended-fix: spin_is_contended
Kconfig fixes.
-------------------------------------------------------------------
Mon Feb 9 17:47:43 CET 2009 - jeffm@suse.de
- Updated to 2.6.29-rc4.
- Eliminated 3 patches.
-------------------------------------------------------------------
Fri Feb 6 21:34:56 CET 2009 - jeffm@suse.com
- patches.fixes/fix-warning-while-mapping-0-1MB-range-with-dev-mem:
x86, pat: fix warn_on_once() while mapping 0-1MB range.
-------------------------------------------------------------------
Fri Feb 6 20:54:14 CET 2009 - mmarek@suse.cz
- rpm/kernel-module-subpackage, rpm/post.sh, rpm/postun.sh: fix
last change: don't pass -e to weak-modules2.
-------------------------------------------------------------------
Fri Feb 6 14:42:13 CET 2009 - mmarek@suse.cz
- rpm/kernel-module-subpackage, rpm/post.sh, rpm/postun.sh: pass
down shell options like -x to weak-modules2 to make debugging
with rpm -ivv easier.
-------------------------------------------------------------------
Tue Feb 3 21:36:36 CET 2009 - jeffm@suse.de
- patches.fixes/fix-nf_conntrack_slp: make nf_conntrack_slp
actually work (bnc#470963).
-------------------------------------------------------------------
Tue Feb 3 14:34:14 CET 2009 - mmarek@suse.cz
- scripts/tar-up.sh: fix branch name in KOTD packages.
-------------------------------------------------------------------
Tue Feb 3 12:37:06 CET 2009 - olh@suse.de
- config.conf: readde -debug flavor for ppc64
-------------------------------------------------------------------
Tue Feb 3 11:51:37 CET 2009 - olh@suse.de
- patches.fixes/scsi-ibmvfc_prli_initiator_fix.patch:
Better handle other FC initiators (bnc#471217 - LTC51238)
-------------------------------------------------------------------
Tue Feb 3 11:48:59 CET 2009 - mmarek@suse.cz
- scripts/wd-functions.sh: display master as "master", not "HEAD"
or "".
-------------------------------------------------------------------
Mon Feb 2 22:13:03 CET 2009 - jeffm@suse.de
- patches.fixes/ath9k-fix-led_device_naming.diff: ath9k: fix
led naming.
- patches.fixes/b43legacy-fix-led_device_naming.diff: b43legacy:
fix led naming.
- patches.fixes/iwlwifi-fix-iwl-3945_led_device_naming.diff:
iwlwifi: another led naming fix.
- patches.fixes/iwlwifi-fix-iwl-led_device_naming.diff: iwlwifi:
fix led naming .
- patches.fixes/rt2x00-fix-led_device_naming.diff: rt2x00:
fix led naming.
-------------------------------------------------------------------
Mon Feb 2 21:20:36 CET 2009 - jeffm@suse.de
- Updated to 2.6.29-rc3-git3.
- Eliminated 6 patches.
-------------------------------------------------------------------
Mon Feb 2 17:35:32 CET 2009 - jeffm@suse.de
- Updated to 2.6.29-rc3.
- AppArmor is disabled.
- Xen is disabled.
- Eliminated 745 patches.
-------------------------------------------------------------------
Mon Feb 2 17:17:07 CET 2009 - jeffm@suse.de
- Enabled patches.suse/reiserfs_warning-reentrant
-------------------------------------------------------------------
Mon Feb 2 11:30:07 CET 2009 - rw@suse.de
- patches.fixes/xpc-pass-physical,
patches.kabi/xpc-pass-physical:
kABI: restore upstream patch, add ABI cover-up. (bnc#458811)
-------------------------------------------------------------------
Mon Feb 2 10:44:23 CET 2009 - olh@suse.de
- patches.fixes/serial-jsm-enable_ms.patch:
Add enable_ms to jsm driver (bnc#471224 - LTC51066)
-------------------------------------------------------------------
Mon Feb 2 10:30:50 CET 2009 - olh@suse.de
- patches.arch/ppc-optimize-sync.patch:
Optimise smp_{r,w}mb and mutex (bnc#471222 - LTC51356)
-------------------------------------------------------------------
Sat Jan 31 04:35:24 CET 2009 - gregkh@suse.de
- refresh patches for fuzz due to 2.6.27.14-rc1 import.
-------------------------------------------------------------------
Sat Jan 31 04:16:39 CET 2009 - gregkh@suse.de
- patches.kabi/abi-fix-add-epoll_devs-back-to-struct-user_struct.patch:
ABI fix: add epoll_devs back to struct user_struct.
-------------------------------------------------------------------
Sat Jan 31 04:07:38 CET 2009 - gregkh@suse.de
- Update to 2.6.27.14-rc1
- lots of security fixes
- lots of bugfixes
- obsoletes:
- patches.drivers/alsa-virtuoso-no-eeprom-overwrite
- patches.drivers/pata_via.c-support-vx855-and-future-chips-whose-ide-controller-use-0x0571.patch
- patches.fixes/SUNRPC-Fix-autobind-on-cloned-rpc-clients.patch
- patches.fixes/sysfs-fix-problems-with-binary-files.patch
- patches.fixes/xpc-fix-NULL-deref
- patches.fixes/xpc-write-barrier
-------------------------------------------------------------------
Fri Jan 30 09:15:04 CET 2009 - olh@suse.de
- patches.arch/ppc-pseries-migration_hang_fix.patch:
Fix partition migration hang under load (bnc#470563 - LTC51153)
-------------------------------------------------------------------
Fri Jan 30 08:00:00 CET 2009 - olh@suse.de
- disable CONFIG_DEBUG_STACKOVERFLOW and CONFIG_DEBUG_STACK_USAGE
on ppc/ppc64
-------------------------------------------------------------------
Fri Jan 30 01:24:09 CET 2009 - teheo@suse.de
- patches.drivers/libata-fix-EH-device-failure-handling: libata:
fix EH device failure handling (bnc#470845).
-------------------------------------------------------------------
Thu Jan 29 21:02:44 CET 2009 - jjolly@suse.de
- patches.arch/s390-08-08-add_qdio_utilization.patch: zfcp:
queue_full is lacking the entry for qdio utilization
(bnc#466462).
-------------------------------------------------------------------
Thu Jan 29 18:45:32 CET 2009 - gregkh@suse.de
- add ability to debug kernel using USB debug connector.
- Update config files.
- patches.suse/usb-move-ehci-reg-def.patch: usb: move ehci
reg def.
- patches.suse/x86-usb-debug-port-early-console-v4.patch: x86:
usb debug port early console, v4.
-------------------------------------------------------------------
Thu Jan 29 11:43:32 CET 2009 - mmarek@suse.cz
- patches.kabi/abi-fix-add-s_syncing-back-to-struct-super_block.patch
patches.kabi/abi-fix-add-wb_sync_hold-enum-writeback_sync_modes.patch
patches.kabi/export-iwl_rx_allocate
patches.kabi/sched-kabi-compat-hack.patch: Introduce
patches.kabi/ for patches that only work around kabi issues and
can be safely dropped at the next SP.
-------------------------------------------------------------------
Wed Jan 28 20:34:29 CET 2009 - agruen@suse.de
- patches.xen/xen-x86-mark_rodata_rw.patch: Add missing pageattr.c
changes to pageattr-xen.c (bnc#439348).
-------------------------------------------------------------------
Wed Jan 28 18:50:59 CET 2009 - agruen@suse.de
- patches.suse/x86-mark_rodata_rw.patch: Add mark_rodata_rw()
to un-protect read-only kernel code pages (bnc#439348).
- patches.xen/xen-x86-mark_rodata_rw.patch: xen specific part
(bnc#439348).
-------------------------------------------------------------------
Wed Jan 28 15:59:27 CET 2009 - mmarek@suse.cz
- config/s390/s390: the -man package still fails for s390, disable
it
-------------------------------------------------------------------
Wed Jan 28 14:27:18 CET 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: fix build of the -man subpackage on
31bit s390
-------------------------------------------------------------------
Wed Jan 28 13:23:01 CET 2009 - mmarek@suse.cz
- fix kernel-default.ppc64 reference symsets
-------------------------------------------------------------------
Wed Jan 28 12:06:53 CET 2009 - jslaby@suse.cz
- patches.arch/x86_sgi_cpus4096-05-update-send_IPI_mask.patch:
x86 cpumask: Updates to support NR_CPUS=4096 (bnc#425240
FATE304266).
[cpu_mask_to_apicid bigsmp fix]
-------------------------------------------------------------------
Wed Jan 28 08:16:54 CET 2009 - olh@suse.de
- patches.fixes/scsi-ibmvscsi-module_alias.patch:
map scsi proc_name to module name (bnc#459933 - LTC50724)
-------------------------------------------------------------------
Tue Jan 27 23:33:09 CET 2009 - jeffm@suse.de
- Update config files: Disable ftrace in -debug on ppc64
-------------------------------------------------------------------
Tue Jan 27 23:16:03 CET 2009 - jeffm@suse.de
- config.conf: Added -debug flavor for ppc64.
-------------------------------------------------------------------
Tue Jan 27 13:40:53 CET 2009 - bwalle@suse.de
- patches.drivers/libfc-set-the-release-function.diff:
Whitespace change.
-------------------------------------------------------------------
Tue Jan 27 09:05:30 CET 2009 - hare@suse.de
- patches.drivers/libfc-fix-read-IO-data-integrity: libfc:
IO data integrity issue when a IO data frame lost (bnc#469536).
-------------------------------------------------------------------
Tue Jan 27 08:52:49 CET 2009 - jbeulich@novell.com
- re-enable patches.xen/xen3-e1000e_Export_set_memory_ro-rw.
-------------------------------------------------------------------
Tue Jan 27 07:44:18 CET 2009 - olh@suse.de
- update patches.arch/ppc-memoryless-nodes.patch:
include prototype for PFN_UP() (bnc#462546 - LTC50009)
-------------------------------------------------------------------
Mon Jan 26 19:53:20 CET 2009 - kkeil@suse.de
- patches.suse/e1000e_Export_set_memory_ro-rw: Export
set_memory_ro() and set_memory_rw() calls.
readded to avoid kabi change
-------------------------------------------------------------------
Mon Jan 26 19:36:59 CET 2009 - jeffm@suse.de
- config.conf: Added kernel-vmi to i386.
-------------------------------------------------------------------
Mon Jan 26 19:08:43 CET 2009 - olh@suse.de
- update patches.arch/ppc-memoryless-nodes.patch:
use PFN_UP() for end_pfn (bnc#462546 - LTC50009)
-------------------------------------------------------------------
Mon Jan 26 17:14:44 CET 2009 - mmarek@suse.cz
- kabi: import FCoE changes
-------------------------------------------------------------------
Mon Jan 26 17:00:44 CET 2009 - hare@suse.de
- patches.suse/dm-mpath-requeue-for-stopped-queue: disable
wrong debug message again.
-------------------------------------------------------------------
Mon Jan 26 15:35:41 CET 2009 - rw@suse.de
- patches.fixes/taskstats-alignment:
IA64: fill 'struct taskstats' on stack and 'memcpy' result to skb.
(bnc#448410)
-------------------------------------------------------------------
Mon Jan 26 15:31:39 CET 2009 - olh@suse.de
- update patches.arch/ppc-memoryless-nodes.patch:
fix calculation of reserve_size (bnc#462546 - LTC50009)
-------------------------------------------------------------------
Mon Jan 26 14:19:30 CET 2009 - kkeil@suse.de
- patches.fixes/disable-lro-per-default: Disable LRO per default
in igb and ixgbe. (bnc#467519)
-------------------------------------------------------------------
Mon Jan 26 13:44:37 CET 2009 - jbeulich@novell.com
- Just comment out patches.xen/xen3-e1000e_* (to address build error)
until disposition of their originals is known.
-------------------------------------------------------------------
Mon Jan 26 13:01:24 CET 2009 - kkeil@suse.de
- patches.fixes/sctp_do_not_use_stale_copy_of_sk: Do not use
stale copy of sk. (bnc#440104)
-------------------------------------------------------------------
Mon Jan 26 12:52:21 CET 2009 - jblunck@suse.de
Renamed some patches so they get included in vanilla builds.
- patches.rpmify/firmware-path: Renamed.
- patches.rpmify/no-include-asm: Renamed.
- patches.suse/md-raid-metadata-PAGE_SIZE.patch: Renamed.
-------------------------------------------------------------------
Mon Jan 26 12:18:00 CET 2009 - olh@suse.de
- patches.suse/led_classdev.sysfs-name.patch: use correct name
for /sys/devices/virtual/leds/ entries (bnc#468350)
-------------------------------------------------------------------
Mon Jan 26 12:15:15 CET 2009 - kkeil@suse.de
- patches.suse/e1000e_Export_set_memory_ro-rw: Delete.
- patches.suse/e1000e_allow_bad_checksum: Delete.
- patches.suse/e1000e_call_dump_eeprom: Delete.
- patches.suse/e1000e_ioremap_sanity_check: Delete.
- patches.suse/e1000e_use_set_memory_ro-rw_to_protect_flash_memory:
Delete.
Remove not mainline e1000e patches which were added to help with
the e1000e NVM corruption - root issue is fixed
-------------------------------------------------------------------
Mon Jan 26 12:06:30 CET 2009 - kkeil@suse.de
- patches.drivers/tg3_libphy_workaround: tg3 libphy workaround.
(bnc#468725)
-------------------------------------------------------------------
Mon Jan 26 09:17:49 CET 2009 - hare@suse.de
- supported.conf: Correct spelling for dm-least-pending
path checker.
-------------------------------------------------------------------
Mon Jan 26 09:16:31 CET 2009 - hare@suse.de
- patches.suse/dm-mpath-check-info-before-access: Kernel Oops
during path failover (bnc#458393).
-------------------------------------------------------------------
Sun Jan 25 02:07:17 CET 2009 - gregkh@suse.de
- refresh patch fuzz now that 2.6.27.13 is in tree
-------------------------------------------------------------------
Sun Jan 25 01:59:30 CET 2009 - gregkh@suse.de
- Update to final version of 2.6.27.13
-------------------------------------------------------------------
Sat Jan 24 23:24:49 CET 2009 - gregkh@suse.de
- dynamic debugging fixes backported from upstream:
- patches.drivers/driver-core-add-newlines-to-debugging-enabled-disabled-messages.patch:
driver core: add newlines to debugging enabled/disabled
messages.
- patches.drivers/driver-core-fix-dynamic_debug-cmd-line-parameter.patch:
Driver core: fix 'dynamic_debug' cmd line parameter.
- patches.drivers/driver-core-fix-using-ret-variable-in-unregister_dynamic_debug_module.patch:
driver core: fix using 'ret' variable in
unregister_dynamic_debug_module.
-------------------------------------------------------------------
Sat Jan 24 17:51:17 CET 2009 - jbohac@suse.cz
- patches.arch/x86_64-hpet-64bit-timer.patch:
(fix return of an unitialized value (bnc#469017)
-------------------------------------------------------------------
Sat Jan 24 11:29:02 CET 2009 - mmarek@suse.cz
- update kabi files: ignore changes in struct pcie_link_state as
it is an internal structure only.
-------------------------------------------------------------------
Sat Jan 24 11:26:16 CET 2009 - mmarek@suse.cz
- patches.suse/genksyms-add-override-flag.diff: genksyms: add
--override flag.
- rpm/kernel-binary.spec.in: set KBUILD_OVERRIDE=1
-------------------------------------------------------------------
Sat Jan 24 01:25:44 CET 2009 - ghaskins@suse.de
- patches.fixes/sched-kabi-compat-hack.patch: sched: leave
RT_GROUP_SCHED structure components intact to preserve kABI.
broke kabi with fix for 456542
-------------------------------------------------------------------
Sat Jan 24 00:35:12 CET 2009 - trenn@suse.de
- patches.fixes/cpufreq_export_latency.patch: CPUFREQ: Introduce
/sys/devices/system/cpu/cpu*/cpufreq/cpuinfo_transition_latency
(bnc#464461).
- patches.fixes/cpufreq_ondemand_adjust_sampling_rate_limit.patch:
CPUFREQ: ondemand/conservative: sanitize sampling_rate
restrictions (bnc#464461).
- patches.fixes/cpufreq_ondemand_performance_optimise_default_settings.patch:
CPUFREQ: ondemand: Limit default sampling rate to 300ms
max. (bnc#464461).
- patches.fixes/x86_cpufreq_powernow-k8_acpi_latency_values.patch:
X86 powernow-k8 cpufreq: Get transition latency from acpi _PSS
object (bnc#464461).
-------------------------------------------------------------------
Fri Jan 23 20:29:24 CET 2009 - jeffm@suse.de
- patches.fixes/xfs-dmapi-fixes: xfs/dmapi: fix crash on mount
(bnc#458027).
-------------------------------------------------------------------
Fri Jan 23 20:19:33 CET 2009 - ghaskins@suse.de
- Update config files: Disable RT_GROUP_SCHED (bnc#456542).
The RT_GROUP_SCHED feature is experimental and clearly broken, so
lets turn it off for now.
-------------------------------------------------------------------
Fri Jan 23 16:51:40 CET 2009 - jeffm@suse.de
- patches.fixes/hpilo-open-close-fix: hpilo open/close fix
(bnc#466517).
-------------------------------------------------------------------
Fri Jan 23 15:59:44 CET 2009 - hare@suse.de
- patches.suse/dm-mpath-requeue-for-stopped-queue: Handle I/O
on stopped queues correctly (bnc#458393).
-------------------------------------------------------------------
Fri Jan 23 15:34:11 CET 2009 - jbenc@suse.cz
- patches.suse/mnt-want-write-speedup.patch,
patches.suse/mnt_clone_write.patch: modified not to break kABI,
enabled (bnc#436953).
-------------------------------------------------------------------
Fri Jan 23 15:08:39 CET 2009 - jbenc@suse.cz
- patches.fixes/iwlagn-fix-rfkill.patch: iwlagn: fix hw-rfkill
while the interface is down (bnc#446158).
-------------------------------------------------------------------
Fri Jan 23 14:59:57 CET 2009 - mmarek@suse.cz
- kabi/severities: temporarily enable changes in FcOE modules.
-------------------------------------------------------------------
Fri Jan 23 11:55:18 CET 2009 - hare@suse.de
- patches.arch/s390-08-06-personality.patch: kernel: setting 32
bit personality doesn't work (bnc#466462).
- patches.arch/s390-08-07-compat_wrappers.patch: kernel:
Add missing wrapper functions for 31 bit compat
syscalls. (bnc#466462,LTC#51229).
- patches.fixes/block-leave-the-request-timeout-timer-running:
Delete obsolete patch.
-------------------------------------------------------------------
Fri Jan 23 11:42:28 CET 2009 - bwalle@suse.de
- patches.drivers/fcoe-change-fcoe_sw-sg_tablesi.diff: change
fcoe_sw sg_tablesize to SG_ALL (bnc #459142).
- patches.drivers/fcoe-check-return-for-fc_set_m.diff: check
return for fc_set_mfs (bnc #459142).
- patches.drivers/fcoe-fix-frame-length-validati.diff: fix frame
length validation in the early receive path (bnc #459142).
- patches.drivers/fcoe-fix-incorrect-use-of-struct-module.diff:
fcoe: fix incorrect use of struct module (bnc #468051).
- patches.drivers/fcoe-improved-load-balancing-i.diff: improved
load balancing in rx path (bnc #459142).
- patches.drivers/fcoe-logoff-of-the-fabric-when.diff: Logoff
of the fabric when destroying interface (bnc #459142).
- patches.drivers/fcoe-remove-warn_on-in-fc_set.diff: remove
WARN_ON in fc_set_mfs (bnc #459142).
- patches.drivers/fcoe-user_mfs-is-never-used.diff: user_mfs is
never used (bnc #459142).
- patches.drivers/libfc-add-fc_disc-c-locking-co.diff: Add
fc_disc.c locking comment block (bnc #459142).
- patches.drivers/libfc-ensure-correct-device_pu.diff: libfc:
Ensure correct device_put/get usage (round 2).
- patches.drivers/libfc-fix-rport-recursive-lock.diff: libfc:
Fix rport recursive lock on rport mutex (bnc #459142).
- patches.drivers/libfc-handle-rrq-exch-timeout.diff: libfc:
handle RRQ exch timeout (bnc #465596).
- patches.drivers/libfc-improve-fc_lport-c-locki.diff: Improve
fc_lport.c locking comment block (bnc #459142).
- patches.drivers/libfc-improve-fc_rport-c-locki.diff: Improve
fc_rport.c locking comment block (459142).
- patches.drivers/libfc-make-fc_disc-inline-with.diff: make
fc_disc inline with the fc_lport structure (bnc #459142).
- patches.drivers/libfc-make-rscn-parsing-more-r.diff: make RSCN
parsing more robust (bnc #459142).
- patches.drivers/libfc-make-sure-we-access-the.diff: make sure
we access the CRC safely (bnc #459142).
- patches.drivers/libfc-pass-lport-in-exch_mgr_r.diff: libfc:
Pass lport in exch_mgr_reset (bnc #465596).
- patches.drivers/libfc-remove-debug-print-state.diff: libfc:
Remove debug print statement, too verbose (bnc #459142).
- patches.drivers/libfc-set-the-release-function.diff: Set
the release function for the rport's kobject (round 2)
(bnc #459142).
- patches.drivers/libfc-updated-comment-for-orde.diff: updated
comment for order of em and ex locks (bnc #459142).
- patches.drivers/libfc-updated-libfc-fcoe-modul.diff: updated
libfc fcoe module ver to 1.0.6 (bnc #459142).
- patches.drivers/libfc-use-an-operations-struct.diff: use an
operations structure for rport callbacks (bnc #459142).
- patches.drivers/libfc-when-rport-goes-away-re.diff: libfc:
when rport goes away (re-plogi), clean up exchanges to/from
rport (bnc #465596).
- patches.drivers/libfc_locking.diff: libfc, fcoe: fixed locking
issues with lport->lp_mutex around lport->link_status (bnc
#468053).
- patches.drivers/libfc_rport.diff: libfc: rport retry on LS_RJT
from certain ELS (bnc #468054).
-------------------------------------------------------------------
Fri Jan 23 11:36:44 CET 2009 - hare@suse.de
- patches.fixes/qla2xxx-check-fc-rport-validity:
qla2xxx: added check for fcport is valid in
qla2x00_terminate_rport_io(). (bnc#467624).
-------------------------------------------------------------------
Fri Jan 23 11:01:59 CET 2009 - tiwai@suse.de
- patches.drivers/alsa-hda-gateway-t1616-quirk: ALSA: hda -
Add quirk for Gateway T1616 laptop (bnc#467597).
- patches.drivers/alsa-hda-hp-dv4-quirk: ALSA: hda - Add model
entry for HP dv4.
- patches.drivers/alsa-hda-intel-d945-ref-quirk: ALSA: hda -
Add model=ref for Intel board with STAC9221 (bnc#406529).
-------------------------------------------------------------------
Fri Jan 23 10:48:16 CET 2009 - hare@suse.de
- patches.fixes/blk-leave-sync-timer-running: block: Rediff
- patches.fixes/block-use-round_jiffies_up: Block: use
round_jiffies_up() (bnc#464155).
- Add missing patches to series.conf:
patches.fixes/round-jiffies-up
patches.fixes/block-use-round_jiffies_up
patches.fixes/block-fix-blk_start_queueing
patches.fixes/suppress-buffer-IO-errors
patches.fixes/block-optimizations-in-blk_rq_timed_out_timer
patches.fixes/block-add-comment-in-blk_rq_timed_out
-------------------------------------------------------------------
Fri Jan 23 07:51:35 CET 2009 - olh@suse.de
- update patches.fixes/scsi-ibmvscsi-vio_leak.patch:
handle also drivers/scsi/ibmvscsi/ibmvfc.c
-------------------------------------------------------------------
Fri Jan 23 06:41:18 CET 2009 - sjayaraman@suse.de
- patches.fixes/cifs-fix-oops-on-ipv6-mount: cifs: make sure we
allocate enough storage for socket address (467691).
-------------------------------------------------------------------
Fri Jan 23 05:57:48 CET 2009 - gregkh@suse.de
- patches.kernel.org/abi-fix-add-wb_sync_hold-enum-writeback_sync_modes.patch:
ABI fix: add WB_SYNC_HOLD enum writeback_sync_modes.
-------------------------------------------------------------------
Fri Jan 23 05:08:48 CET 2009 - gregkh@suse.de
- patches.kernel.org/abi-fix-add-s_syncing-back-to-struct-super_block.patch:
ABI fix: add s_syncing back to struct super_block.
-------------------------------------------------------------------
Fri Jan 23 02:26:30 CET 2009 - gregkh@suse.de
- update to 2.6.27.13-rc1:
- security updates
- lots of bugfixes
- obsoletes:
- patches.arch/ppc-fix_hugepage_check.patch
- patches.drivers/alsa-hda-ad1986a-laptop-eapd-model-back
- patches.drivers/alsa-hda-samsung-q45-quirk
- patches.fixes/security-introduce-missing-kfree.patch
- patches.fixes/xpc-fix-heartbeat
- Update config files.
-------------------------------------------------------------------
Thu Jan 22 23:55:10 CET 2009 - kkeil@suse.de
- patches.drivers/e1000-fix-shared-emc.patch: e1000: fix bug
with shared interrupt during reset (bnc#396687)
-------------------------------------------------------------------
Thu Jan 22 22:43:48 CET 2009 - tonyj@suse.de
- patches.fixes/revert-bgcolor-line-feed-93f78da4.patch: Revert
"vt: fix background color on line feed" (bnc#418613).
-------------------------------------------------------------------
Thu Jan 22 19:28:06 CET 2009 - jbenc@suse.cz
- patches.fixes/iwlwifi-fix-rs_get_rate-oops.patch: iwlwifi:
fix rs_get_rate WARN_ON() (bnc#456002).
- Reordered wireless patches to group together patches touching the same
driver.
-------------------------------------------------------------------
Thu Jan 22 19:13:20 CET 2009 - bphilips@suse.de
- patches.drivers/disable-catas_reset-by-default-to-avoid-problems-with-eeh.patch:
disable catas_reset by default to avoid problems with EEH
(bnc#456389).
-------------------------------------------------------------------
Thu Jan 22 17:42:04 CET 2009 - rw@suse.de
- patches.fixes/xpc-pass-physical:
fixed kABI breakage. (bnc#458811)
-------------------------------------------------------------------
Thu Jan 22 15:58:54 CET 2009 - bwalle@suse.de
- scripts/tar-up_and_run_mbuild.sh: s390 (the 31 bit variant) is
not an important spec file.
-------------------------------------------------------------------
Thu Jan 22 15:50:44 CET 2009 - jbenc@suse.cz
- patches.fixes/mac80211-add-direct-probe.patch: fixed kABI
breakage, reenabled.
-------------------------------------------------------------------
Thu Jan 22 15:29:07 CET 2009 - mmarek@suse.cz
- rpm/modversions: eat the "override" keyword before parsing the
symbol definition.
-------------------------------------------------------------------
Thu Jan 22 14:14:03 CET 2009 - olh@suse.de
- patches.fixes/scsi-ibmvscsi-vio_leak.patch:
Correct VIO bus/device CMO accounting problems (bnc#468304 - LTC51205)
-------------------------------------------------------------------
Thu Jan 22 14:03:12 CET 2009 - olh@suse.de
- patches.suse/of_platform_driver.module-owner.patch:
add missing module symlink to /sys/bus/*/driver/*
in struct of_platform_driver.
-------------------------------------------------------------------
Thu Jan 22 13:29:23 CET 2009 - kkeil@suse.de
- patches.drivers/ixgbe_DCB_compile_err.patch: DCB compile
error fix - new version from Intel (bnc#465923)
-------------------------------------------------------------------
Thu Jan 22 12:58:06 CET 2009 - jbohac@suse.cz
- patches.arch/x86_64-hpet-64bit-timer.patch: allow 64-bit mode
for HPET Timer0 (bnc#456700).
(fix compilation on i386 and add hpet64 to kernel-parameters.txt)
-------------------------------------------------------------------
Thu Jan 22 12:25:59 CET 2009 - jbohac@suse.cz
- patches.arch/x86_64-hpet-64bit-timer.patch: allow 64-bit mode
for HPET Timer0 (bnc#456700).
-------------------------------------------------------------------
Thu Jan 22 12:10:39 CET 2009 - rw@suse.de
- patches.fixes/xpc-pass-physical:
sgi-xpc: need to pass the physical address, not virtual. (bnc#458811)
- patches.fixes/xpc-fix-heartbeat:
sgi-xpc: eliminate false detection of no heartbeat. (bnc#464545)
-------------------------------------------------------------------
Thu Jan 22 11:28:20 CET 2009 - jkosina@suse.de
- patches.fixes/input-add-nomux-dell-vostro-1510.patch: Input:
add Dell Vostro 1510 to nomux list (bnc#404881).
-------------------------------------------------------------------
Thu Jan 22 10:30:46 CET 2009 - jblunck@suse.de
- scripts/compute-PATCHVERSION.sh: Fix SRCVERSION parsing (bnc#465113).
-------------------------------------------------------------------
Thu Jan 22 10:02:42 CET 2009 - tiwai@suse.de
- patches.drivers/alsa-hda-add-volume-offset: ALSA: hda - Add
extra volume offset to standard volume amp macros (bnc#466428).
- patches.drivers/alsa-hda-stac-reduce-volume-scale: ALSA: hda -
Halve too large volume scales for STAC/IDT codecs (bnc#466428).
-------------------------------------------------------------------
Thu Jan 22 09:25:52 CET 2009 - hare@suse.de
- patches.drivers/lpfc-8.2.8.12-update: Update lpfc from 8.2.8.11
to 8.2.8.12 (bnc#467713).
-------------------------------------------------------------------
Thu Jan 22 01:58:48 CET 2009 - jeffm@suse.de
- patches.fixes/reiserfs-debug-1036: fix missing jl arg
-------------------------------------------------------------------
Wed Jan 21 21:09:15 CET 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: delete duplicate error message in the
kabi checks
-------------------------------------------------------------------
Wed Jan 21 20:04:30 CET 2009 - jeffm@suse.de
- patches.fixes/remove_kernel_physical_mapping_init_from_init:
move kernel_physical_mapping_init to __meminit (bnc#467474).
-------------------------------------------------------------------
Wed Jan 21 19:56:34 CET 2009 - jbenc@suse.cz
- patches.fixes/mac80211-add-direct-probe.patch: disabled, as it changes
kABI.
-------------------------------------------------------------------
Wed Jan 21 19:46:46 CET 2009 - gregkh@suse.de
- patches.fixes/security-introduce-missing-kfree.patch: security:
introduce missing kfree (bnc#467322).
- patches.fixes/sysfs-fix-problems-with-binary-files.patch:
sysfs: fix problems with binary files.
-------------------------------------------------------------------
Wed Jan 21 19:35:32 CET 2009 - rw@suse.de
- patches.arch/ia64-page-migration.fix:
fix deadlock caused by cpe_migrate.ko and mark it supported.
(bnc#464676)
-------------------------------------------------------------------
Wed Jan 21 19:23:31 CET 2009 - jeffm@suse.de
- patches.fixes/sn-irq-affinity: sn2: preserve irq affinity set
in PROM (bnc#457679).
-------------------------------------------------------------------
Wed Jan 21 19:15:43 CET 2009 - jeffm@suse.de
- patches.fixes/uv_zalias_support: uv: Support for non-nasid 0
systems (bnc#458869).
-------------------------------------------------------------------
Wed Jan 21 19:12:47 CET 2009 - jeffm@suse.de
- patches.fixes/xpc-fix-NULL-deref: sgi-xpc: Remove NULL pointer
dereference. (bnc#466563).
- patches.fixes/xpc-write-barrier: sgi-xpc: ensure flags are
updated before bte_copy (bnc#466563).
-------------------------------------------------------------------
Wed Jan 21 19:06:26 CET 2009 - jbenc@suse.cz
- patches.fixes/ipw2200-workaround-firmware-restarts-when-scanning.patch:
ipw2200: fix scanning while associated (bnc#459067).
-------------------------------------------------------------------
Wed Jan 21 19:01:41 CET 2009 - jbenc@suse.cz
- patches.fixes/iwl3945-fix-rfkill.patch: iwl3945: report
killswitch changes even if the interface is down (bnc#446013).
-------------------------------------------------------------------
Wed Jan 21 18:51:54 CET 2009 - jbenc@suse.cz
- patches.fixes/mac80211-add-direct-probe.patch: mac80211:
add direct probe before association (bnc#461889).
-------------------------------------------------------------------
Wed Jan 21 16:38:10 CET 2009 - hare@suse.de
- patches.drivers/mptsas-discover-all-devices: mptsas driver
fails to discover devices (bnc#459932).
-------------------------------------------------------------------
Wed Jan 21 14:04:08 CET 2009 - jbeulich@novell.com
- Update Xen patches to 2.6.27.12.
- patches.xen/764-netback-foreign-pages.patch: netback: handle
non-netback foreign pages.
- patches.xen/769-evtchn-CPU-offline.patch: evtchn: Fix CPU offlining
to switch all affected ports belonging to a particular /dev/evcthn
user.
- patches.xen/gso-size-check.patch: gso: Ensure that the packet
is long enough.
- patches.xen/xen-S3-MSI: fix Dom0 resume from S3 when MSI is
in use (bnc#435596).
- patches.xen/xen3-e1000e_ioremap_sanity_check: ioremap sanity
check to catch mapping requests exceeding the BAR sizes
(bnc#425480).
- patches.xen/xen3-x86-fix-kmap-contig.patch: x86: contiguous
kmap fix (bnc#449812).
-------------------------------------------------------------------
Wed Jan 21 12:08:54 CET 2009 - olh@suse.de
- update patches.suse/radeon-monitor-jsxx-quirk.patch:
implement correct model matching
-------------------------------------------------------------------
Wed Jan 21 10:20:05 CET 2009 - olh@suse.de
- update patches.suse/dm-mpath-tracking-nr-bytes:
lpp_end_io gets nr_bytes as third arg
-------------------------------------------------------------------
Wed Jan 21 10:04:08 CET 2009 - olh@suse.de
- update patches.suse/radeon-monitor-jsxx-quirk.patch:
match all JSxx/QSxx models based on the first 4 chars in 'model'
-------------------------------------------------------------------
Wed Jan 21 08:09:10 CET 2009 - olh@suse.de
- update patches.arch/ppc-axon-missing-msi-workaround-5.diff:
Fix MSI after kexec (bnc#467633)
-------------------------------------------------------------------
Tue Jan 20 21:01:18 CET 2009 - gregkh@suse.de
- clean up patch fuzz after 2.6.27.12 inclusion.
-------------------------------------------------------------------
Tue Jan 20 20:50:47 CET 2009 - gregkh@suse.de
- Update to the real 2.6.27.12
-------------------------------------------------------------------
Tue Jan 20 17:00:55 CET 2009 - jeffm@suse.de
- patches.suse/reiserfs_warning-reentrant: reiserfs: eliminate
reiserfs_warning from uniqueness functions; Fixes deadlock.
-------------------------------------------------------------------
Tue Jan 20 16:39:35 CET 2009 - olh@suse.de
- patches.drivers/cxgb3-ser.patch:
reset the adapter on fatal error (bnc#466062 - LTC51042)
-------------------------------------------------------------------
Tue Jan 20 15:24:43 CET 2009 - jjolly@suse.de
- patches.arch/s390-08-03-iucv-cpu-hotremove.diff: iucv: failing
cpu hot remove for inactive iucv (bnc#466462,LTC#51104).
- patches.arch/s390-08-04-compat-sigaltstack.diff:
kernel: 31 bit compat sigaltstack syscall fails with
-EFAULT. (bnc#466462,LTC#50888).
- patches.arch/s390-08-05-af_iucv-msgpeek-fix.patch:
af_iucv: System hang if recvmsg() is used with MSG_PEEK
(bnc#466462,LTC#51136).
-------------------------------------------------------------------
Tue Jan 20 15:15:19 CET 2009 - hare@suse.de
- patches.suse/dm-mpath-accept-failed-paths: Only accept
non-existing paths when adding failed paths (bnc#467579)
-------------------------------------------------------------------
Tue Jan 20 12:19:52 CET 2009 - mmarek@suse.cz
- rpm/kernel-source.spec.in: set CONFIG_DEBUG_INFO=y in the
packaged .configs if builfing debug packages (bnc#460887)
-------------------------------------------------------------------
Mon Jan 19 16:40:39 CET 2009 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: set %tolerate_kabi_changes to 6
-------------------------------------------------------------------
Mon Jan 19 16:40:11 CET 2009 - mmarek@suse.cz
- patches.suse/export-iwl_rx_allocate: reintroduce
EXPORT_SYMBOL(iwl_rx_allocate).
-------------------------------------------------------------------
Mon Jan 19 13:56:20 CET 2009 - mmarek@suse.cz
- import SLE11 RC2 reference kabi
-------------------------------------------------------------------
Mon Jan 19 11:35:12 CET 2009 - hare@suse.de
- patches.drivers/mpt-return-all-sense-data: MPT Fusion doesn't
return all sense data (bnc#466179).
-------------------------------------------------------------------
Sat Jan 17 00:20:49 CET 2009 - gregkh@suse.de
- Update to 2.6.27.12-rc2
-------------------------------------------------------------------
Fri Jan 16 17:46:11 CET 2009 - od@suse.de
- patches.arch/x86-call-boot-IRQ-quirks-at-end-of-device-init-and-during-resume.patch:
call boot IRQ quirks at end of device init and during resume.
- patches.arch/x86-disable-AMD-ATI-boot-interrupt-generation.patch:
update to upstream variant of this patch:
- integrate an older quirk to make IO-APIC mode work on AMD
8131 rev. A0 and B0
- fix boot IRQ disabling logic for AMD 813x
- remove unneeded code for AMD SB700S
-------------------------------------------------------------------
Fri Jan 16 16:09:26 CET 2009 - jbeulich@novell.com
- patches.arch/x86-fix-kmap-contig.patch: x86: contiguous kmap
fix (bnc#449812).
-------------------------------------------------------------------
Fri Jan 16 10:55:12 CET 2009 - olh@suse.de
- enable mptsas in kdump kernel to allow crashdump on QS2x blades
-------------------------------------------------------------------
Fri Jan 16 08:44:42 CET 2009 - tiwai@suse.de
Fix STAC925x patch again
- patches.drivers/alsa-hda-stac925x-init-fix: ALSA: hda - Fix
(yet more) STAC925x issues (bnc#460478).
-------------------------------------------------------------------
Fri Jan 16 07:03:59 CET 2009 - jjolly@suse.de
- patches.arch/s390-08-01-cio-fix-mp-mode.diff: cio: fix
subchannel multipath mode setup (bnc#466462,LTC#51047).
- patches.arch/s390-08-02-zfcp-gpn-align-fix.diff: zfcp: fix
memory alignment for GPN_FT requests. (bnc#466462).
-------------------------------------------------------------------
Thu Jan 15 23:53:36 CET 2009 - gregkh@suse.de
- Update config files for vanilla kernel versions due to new config
option added in 2.6.27.12-rc1.
-------------------------------------------------------------------
Thu Jan 15 23:47:39 CET 2009 - gregkh@suse.de
- Update to 2.6.27.12-rc1:
- security fixes
- fixes CVE-2009-0029
- bug fixes all over the place.
- obsoletes the following patches:
- patches.arch/ppc-cmm_no_kdump.patch
- patches.drivers/alsa-caiaq-midi-oops-fix
- patches.drivers/alsa-hda-hp-6730b-quirk
- patches.drivers/ibmvfc-host_init_delay.patch
- patches.drivers/ibmvfc-improve_sync_events.patch
- patches.fixes/PCI-Suspend-and-resume-PCI-Express-ports-with-interrupts-disabled.patch
- patches.fixes/PCI-handle-PCI-state-saving-with-interrupts-disabled.patch
- patches.fixes/fs-symlink-write_begin-allocation-context-fix.patch
- patches.fixes/mm-lockless-pagecache-barrier.patch
- patches.fixes/pci-rework-suspend-of-devices-with-no-drivers.patch
- patches.fixes/uv-remove-erroneous-BAU-init
- Update config files.
-------------------------------------------------------------------
Thu Jan 15 11:37:26 CET 2009 - tiwai@suse.de
- patches.drivers/alsa-virtuoso-no-eeprom-overwrite: sound:
virtuoso: do not overwrite EEPROM on Xonar D2/D2X (bnc#462365).
-------------------------------------------------------------------
Thu Jan 15 11:16:35 CET 2009 - bwalle@suse.de
- patches.suse/s390-System.map.diff:
Strip L2^B symbols (bnc #456682).
-------------------------------------------------------------------
Thu Jan 15 11:09:29 CET 2009 - tiwai@suse.de
- patches.drivers/alsa-hda-gateway-fix: ALSA: patch_sigmatel:
Add missing Gateway entries and autodetection (bnc#460478).
- patches.drivers/alsa-hda-gateway-fix2: ALSA: hda - More fixes
on Gateway entries (bnc#460478).
- patches.drivers/alsa-hda-hp-dv5-mic-fix: ALSA: hda - Fix HP
dv5 mic input (bnc#462913).
- patches.drivers/alsa-hda-hp-dv5-quirk: ALSA: hda - Add quirk
for another HP dv5 (bnc#462913).
- patches.drivers/alsa-hda-idt92hd83-fix-typo: ALSA: hda -
Fix a typo.
- patches.drivers/alsa-hda-samsung-q45-quirk: ALSA: hda - Add
automatic model setting for Samsung Q45.
- patches.drivers/alsa-hda-seek-for-codec-id: ALSA: hda - Add
a new function to seek for a codec ID (bnc#460478).
- patches.drivers/alsa-hda-sigmatel-no-hp-reset: ALSA: hda -
Don't reset HP pinctl in patch_sigmatel.c (bnc#460478).
- patches.drivers/alsa-hda-stac925x-init-fix: ALSA: hda - Fix
missing initialization of NID 0x0e for STAC925x (bnc#460478).
-------------------------------------------------------------------
Thu Jan 15 08:40:13 CET 2009 - olh@suse.de
- patches.arch/ppc-fix_hugepage_check.patch:
is_hugepage_only_range() must account for both 4kB and 64kB
slices (bnc#466229 - LTC51063)
-------------------------------------------------------------------
Wed Jan 14 23:23:42 CET 2009 - jeffm@suse.de
- Update config files: Disabled PARAVIRT on vanilla and LGUEST.
-------------------------------------------------------------------
Wed Jan 14 23:07:16 CET 2009 - jeffm@suse.de
- Enabled patches.suse/unlock_page-speedup.patch
-------------------------------------------------------------------
Wed Jan 14 22:00:49 CET 2009 - rjw@suse.de
- patches.fixes/PCI-PM-Split-PCI-Express-port-suspend-resume.patch:
PCI PM: Split PCI Express port suspend-resume (bnc#455926).
- patches.fixes/PCI-Suspend-and-resume-PCI-Express-ports-with-interrupts-disabled.patch:
PCI: Suspend and resume PCI Express ports with interrupts
disabled (bnc#455926).
- patches.fixes/PCI-handle-PCI-state-saving-with-interrupts-disabled.patch:
PCI: handle PCI state saving with interrupts disabled
(bnc#455926).
- patches.fixes/pci-rework-suspend-of-devices-with-no-drivers.patch:
PCI: Rework default handling of suspend and resume (bnc#455926).
-------------------------------------------------------------------
Wed Jan 14 19:38:29 CET 2009 - jeffm@suse.de
- Update config files: Disable PARAVIRT.
-------------------------------------------------------------------
Wed Jan 14 19:20:29 CET 2009 - gregkh@suse.de
- refresh patches for fuzz due to update to 2.6.27.11
-------------------------------------------------------------------
Wed Jan 14 19:02:21 CET 2009 - gregkh@suse.de
- Update to final version of 2.6.27.11
-------------------------------------------------------------------
Wed Jan 14 16:38:47 CET 2009 - kkeil@suse.de
- patches.drivers/ixgbe-dcb-setstate.patch: Bugfix for ixgbe
and kernel DCB netlink code. (bnc#458194)
- patches.drivers/ixgbe_DCB_compile_err.patch: DCB compile
error fix. (bnc#465923)
- Update config files.
-------------------------------------------------------------------
Wed Jan 14 15:56:58 CET 2009 - trenn@suse.de
- patches.fixes/acpi_irq_quirk_pci_irq_derive.patch: Delete.
It came out that this is an already fixed BIOS bug. The quirk
is not needed anymore.
-------------------------------------------------------------------
Wed Jan 14 14:53:51 CET 2009 - trenn@suse.de
- patches.fixes/acpi_fix_double_slash_root_prefix_handling.patch:
In AcpiNsGetInternalNameLength, skip the redundant backslash
of RootPrefix (http://bugzilla.kernel.org/show_bug.cgi?id=11541
http://www.acpica.org/bugzilla/show_bug.cgi?id=739).
- patches.fixes/acpi_video_always_update_sys.patch: video: always
update the brightness when poking "brightness" (bnc#450149).
- patches.fixes/acpi_video_handle_reversed_brightness_info.patch:
ACPI: video: Fix reversed brightness behavior on ThinkPad SL
series (bnc#450149).
-------------------------------------------------------------------
Wed Jan 14 08:45:29 CET 2009 - olh@suse.de
- patches.fixes/sched-fix-__load_balance_iterator-for-cfs-with-on.patch:
fix __load_balance_iterator() for cfs with only one task
(bnc#457594 - LTC50544)
-------------------------------------------------------------------
Wed Jan 14 08:32:32 CET 2009 - olh@suse.de
- patches.fixes/xfs-redirty-ENOSPC.patch: Re-dirty pages on
ENOSPC when converting delayed allocations (bnc#433112 - LTC48749)
-------------------------------------------------------------------
Wed Jan 14 04:33:33 CET 2009 - npiggin@suse.de
- Added guarded patches:
- patches.suse/mnt-want-write-speedup.patch: fs: mnt_want_write
speedup (bnc#436953).
- patches.suse/mnt_clone_write.patch: fs: introduce
mnt_clone_write (bnc#436953).
- patches.suse/unlock_page-speedup.patch: mm: unlock_page speedup
(bnc#436953).
-------------------------------------------------------------------
Wed Jan 14 00:51:58 CET 2009 - gregkh@suse.de
- Update config files.
- patches.drivers/add-via-chrome9-drm-support.patch: add Via
chrome9 drm support.
-------------------------------------------------------------------
Wed Jan 14 00:29:20 CET 2009 - gregkh@suse.de
- patches.drivers/pata_via.c-support-vx855-and-future-chips-whose-ide-controller-use-0x0571.patch:
pata_via.c: Support VX855 and future chips whose IDE controller
use 0x0571..
-------------------------------------------------------------------
Tue Jan 13 16:46:08 CET 2009 - hare@suse.de
- patches.fixes/scsi-restart-lookup-by-target: Modify patch
after suggestions from James Bottomley (bnc#465346).
-------------------------------------------------------------------
Tue Jan 13 14:54:58 CET 2009 - hare@suse.de
- patches.fixes/scsi-restart-lookup-by-target: Restart
scsi_device_lookup_by_target() (bnc#465346).
-------------------------------------------------------------------
Tue Jan 13 10:43:59 CET 2009 - olh@suse.de
- update patches.drivers/cxgb3-Allocate-multiqueues-at-init-time:
Allow multiqueue setting in MSI-X mode only (bnc#464351 - LTC50966)
-------------------------------------------------------------------
Tue Jan 13 08:55:32 CET 2009 - olh@suse.de
- patches.drivers/cxgb3i-mainline.patch: fixes bug in tag release
and sync-up cxgb3i with mainline state (bnc#464508 - LTC50816)
-------------------------------------------------------------------
Tue Jan 13 05:25:12 CET 2009 - gregkh@suse.de
- Update to 2.6.27.11-rc1:
- lots of minor fixes
- obsoletes:
- patches.fixes/md-bitmap-read-do-not-overflow
- patches.suse/scsi-scsi_transport_srp-shost_data.patch
-------------------------------------------------------------------
Mon Jan 12 20:09:42 CET 2009 - gregkh@suse.de
- supported.conf: add kernel/drivers/acpi/acpi_memhotplug as supported
-------------------------------------------------------------------
Mon Jan 12 19:06:00 CET 2009 - mmarek@suse.cz
- rpm/kernel-source.spec.in, rpm/source-post.sh: handle arch
symlinks like i586 -> i386 in /usr/src/linux-obj.
-------------------------------------------------------------------
Mon Jan 12 18:39:57 CET 2009 - gregkh@suse.de
- supported.conf: updated staging and other drivers
-------------------------------------------------------------------
Mon Jan 12 18:11:10 CET 2009 - kkeil@suse.de
- patches.drivers/r8169-Tx-performance-tweak-helper: r8169:
Tx performance tweak helper.
- patches.drivers/r8169-add-8168-8101-registers-description:
r8169: add 8168/8101 registers description.
- patches.drivers/r8169-add-hw-start-helpers-for-the-8168-and-the-8101:
r8169: add hw start helpers for the 8168 and the 8101.
- patches.drivers/r8169-additional-8101-and-8102-support: r8169:
additional 8101 and 8102 support.
- patches.drivers/r8169-use-pci_find_capability-for-the-PCI-E-features:
r8169: use pci_find_capability for the PCI-E features.
(bnc#448168)
-------------------------------------------------------------------
Mon Jan 12 15:50:46 CET 2009 - dgollub@suse.de
- scripts/tar-up_and_run_mbuild.sh: use $BUILD_DIR instead of fixed
"kernel-source" string, to stay in sync with with differet kernel
variants.
-------------------------------------------------------------------
Mon Jan 12 14:25:27 CET 2009 - mmarek@suse.cz
- rpm/kernel-source.spec.in, rpm/source-post.sh, rpm/source-pre.sh:
replace the /usr/src/linux-obj symlink with a directory containing
per-flavor symlinks instead. This allows us to install kernel-source /
syms and kernel-source-rt / syms-rt in parallel and still find
everything below /usr/src/linux-obj/.
- rpm/kernel-binary.spec.in: for -rt, install into
/usr/src/linux-$version-rt-obj.
- rpm/kernel-syms.spec.in: fix kernel-source requires for -rt.
-------------------------------------------------------------------
Sun Jan 11 23:18:21 CET 2009 - jkosina@suse.de
- patches.drivers/input-usbtouchscreen-hw-calibration.patch:
Input: usbtouchscreen - allow reporting calibrated data
(bnc#444814).
-------------------------------------------------------------------
Fri Jan 9 18:54:47 CET 2009 - mmarek@suse.cz
- patches.suse/file-capabilities-add-file_caps-switch.diff:
fix parsing of the file_caps commandline option (bnc#264075)
-------------------------------------------------------------------
Fri Jan 9 18:17:45 CET 2009 - trenn@suse.de
- patches.arch/x86_fix_llc_shared_map__cpu_llc_id_anomolies.patch:
x86: fix intel x86_64 llc_shared_map/cpu_llc_id anomolies
(bnc#464329).
-------------------------------------------------------------------
Fri Jan 9 16:25:12 CET 2009 - olh@suse.de
- patches.arch/ppc-cmm_no_kdump.patch:
Disable Collaborative Memory Manager for kdump (bnc#460552 - LTC50789)
-------------------------------------------------------------------
Fri Jan 9 16:13:13 CET 2009 - jslaby@suse.cz
- patches.suse/cgroup-disable-memory.patch: memcg: disable the
memory controller by default.
- patches.suse/add-enable_cgroup-parameter.patch: Delete.
- patches.suse/disable-cgroups.patch: Delete.
-------------------------------------------------------------------
Fri Jan 9 16:13:09 CET 2009 - olh@suse.de
- patches.suse/radeon-monitor-jsxx-quirk.patch
fix compile errors
-------------------------------------------------------------------
Fri Jan 9 15:40:35 CET 2009 - jslaby@suse.de
- patches.fixes/ath5k-ignore-calibration-return-value.patch:
ath5k: ignore the return value of
ath5k_hw_noise_floor_calibration (bnc#446541).
-------------------------------------------------------------------
Fri Jan 9 15:37:22 CET 2009 - jslaby@suse.de
- patches.fixes/cgroups-suppress-cloning-warning.patch: cgroups:
suppress bogus warning messages (bnc#460961).
-------------------------------------------------------------------
Fri Jan 9 15:28:56 CET 2009 - olh@suse.de
- patches.suse/radeon-monitor-jsxx-quirk.patch: Add quirk for
the graphics adapter in some JSxx (bnc#461002 - LTC50817)
-------------------------------------------------------------------
Fri Jan 9 14:34:02 CET 2009 - trenn@suse.de
- patches.fixes/acpi_irq_quirk_pci_irq_derive.patch: ACPI: Do not
derive IRQ from parent bridge/device via boot param/dmi list
(bnc#437211).
- patches.suse/acpi_osi_sle11_ident.patch: Provide possibility
for vendors to fix BIOS issues for SLE11 only (none).
-------------------------------------------------------------------
Fri Jan 9 13:03:36 CET 2009 - hare@suse.de
- patches.drivers/blk-request-based-multipath-update: Rediff.
- patches.fixes/scsi-refactor-busy-processing: refactor
sdev/starget/shost busy checking; break out from
blk-request-based-multipath-update.
-------------------------------------------------------------------
Fri Jan 9 12:31:34 CET 2009 - hare@suse.de
- patches.drivers/lpfc-8.2.8.11-update: Update lpfc from 8.2.8.10
to 8.2.8.11 (bnc#464662).
- patches.fixes/scsi-call-unprep_request-under-lock: scsi_lib:
only call scsi_unprep_request() under queue lock (bnc#464155).
- patches.fixes/scsi-fix-hang-in-starved-list-processing: Fix
hang in starved list processing (bnc#464155).
-------------------------------------------------------------------
Fri Jan 9 12:28:55 CET 2009 - kkeil@suse.de
- patches.drivers/bnx2-Add-PCI-ID-for-5716S: bnx2: Add PCI ID
for 5716S
- patches.drivers/bnx2-Fix-bug-in-bnx2_free_rx_mem_: bnx2:
Fix bug in bnx2_free_rx_mem() (bnc#464130)
-------------------------------------------------------------------
Fri Jan 9 12:11:23 CET 2009 - jslaby@suse.cz
- patches.suse/disable-cgroups.patch: Disable all cgroups
(bnc#436025).
-------------------------------------------------------------------
Fri Jan 9 11:39:59 CET 2009 - hare@suse.de
- Backporting block layer fixes (bnc#464155):
* patches.fixes/block-add-comment-in-blk_rq_timed_out: add
comment in blk_rq_timed_out() about why next can not be 0
* patches.fixes/block-fix-blk_start_queueing: block: Fix
blk_start_queueing() to not kick a stopped queue.
* patches.fixes/block-leave-the-request-timeout-timer-running:
block: leave the request timeout timer running even on an
empty list.
* patches.fixes/block-optimizations-in-blk_rq_timed_out_timer:
block: optimizations in blk_rq_timed_out_timer().
* patches.fixes/block-suppress-buffer-IO-errors: block: Supress
Buffer I/O errors when SCSI REQ_QUIET flag set.
* patches.fixes/block-use-round_jiffies_up: Block: use
round_jiffies_up().
* patches.fixes/round-jiffies-up: Add round_jiffies_up and
related routines.
-------------------------------------------------------------------
Fri Jan 9 11:21:39 CET 2009 - jbeulich@novell.com
- patches.xen/xen3-acpi-pci-pci-msi-_osc-support-capabilities-called-when-root-bridge-added.patch:
ACPI/PCI: PCI MSI _OSC support capabilities called when root
bridge added (bnc#438941).
-------------------------------------------------------------------
Fri Jan 9 10:23:55 CET 2009 - hare@suse.de
- patches.fixes/scsi_dh-retry-on-UNIT_ATTENTION: scsi_dh_rdac
does not retry MODE SENSE on UNIT ATTENTION (bnc#464155).
- patches.suse/scsi-check-removed-device-for-offline: Only check
for SDEV_OFFLINE and SDEV_DEL, not SDEV_CANCEL.
-------------------------------------------------------------------
Fri Jan 9 10:06:29 CET 2009 - jslaby@suse.cz
- patches.suse/add-enable_cgroup-parameter.patch: Add
cgroup_enable parameter (bnc#436025).
-------------------------------------------------------------------
Fri Jan 9 00:19:19 CET 2009 - gregkh@suse.de
- patches.drivers/acpi-pci-include-missing-acpi.h-file-in-pci-acpi.h.patch:
ACPI/PCI: include missing acpi.h file in
pci-acpi.h. (bnc#438941).
-------------------------------------------------------------------
Fri Jan 9 00:14:25 CET 2009 - gregkh@suse.de
- clean up patch fuzz
-------------------------------------------------------------------
Thu Jan 8 23:56:01 CET 2009 - gregkh@suse.de
- patches.drivers/acpi-pci-pci-msi-_osc-support-capabilities-called-when-root-bridge-added.patch:
ACPI/PCI: PCI MSI _OSC support capabilities called when root
bridge added (bnc#438941).
- patches.drivers/acpi-pci-pcie-aer-_osc-support-capabilities-called-when-root-bridge-added.patch:
ACPI/PCI: PCIe AER _OSC support capabilities called when root
bridge added (bnc#438941).
- patches.drivers/acpi-pci-pcie-aspm-_osc-support-capabilities-called-when-root-bridge-added.patch:
ACPI/PCI: PCIe ASPM _OSC support capabilities called when root
bridge added (bnc#438941).
- patches.drivers/acpi-pci-remove-obsolete-_osc-capability-support-functions.patch:
ACPI/PCI: remove obsolete _OSC capability support functions
(bnc#438941).
-------------------------------------------------------------------
Thu Jan 8 23:06:58 CET 2009 - gregkh@suse.de
- patches.drivers/acpi-pci-call-_osc-support-during-root-bridge-discovery.patch:
ACPI/PCI: call _OSC support during root bridge discovery
(bnc#438941).
- patches.drivers/acpi-pci-change-pci_osc_control_set-to-query-control-bits-first.patch:
ACPI/PCI: Change pci_osc_control_set() to query control bits
first (bnc#438941).
- patches.drivers/acpi-pci-fix-possible-race-condition-on-_osc-evaluation.patch:
ACPI/PCI: Fix possible race condition on _OSC evaluation
(bnc#438941).
- patches.drivers/acpi-pci-include-missing-acpi.h-file-in-pci-acpi.h.patch:
ACPI/PCI: include missing acpi.h file in
pci-acpi.h. (bnc#438941).
- patches.drivers/acpi-pci-pci-extended-config-_osc-support-called-when-root-bridge-added.patch:
ACPI/PCI: PCI extended config _OSC support called when root
bridge added (bnc#438941).
-------------------------------------------------------------------
Thu Jan 8 19:38:41 CET 2009 - gregkh@suse.de
- patches.drivers/bnx2x-version-update.patch: bnx2x: Version
Update (bnc#439679).
-------------------------------------------------------------------
Thu Jan 8 19:16:28 CET 2009 - jjolly@suse.de
- patches.arch/s390-07-01-zfcp-port-failed-message.diff: zfcp:
Remove message for failed port (bnc#464466).
- patches.arch/s390-07-02-zfcp-unchained-fsf.diff: zfcp: Add
support for unchained FSF requests (bnc#464466).
- patches.arch/s390-07-03-topology-fix.diff: kernel: fix cpu
topology support (bnc#464466).
- patches.arch/s390-07-04-dasd-failfast.patch: dasd: Add
'failfast' device feature. (bnc#464466,LTC#43066).
-------------------------------------------------------------------
Thu Jan 8 15:47:53 CET 2009 - tiwai@suse.de
- patches.drivers/alsa-caiaq-midi-oops-fix: ALSA: caiaq - Fix
Oops with MIDI.
-------------------------------------------------------------------
Thu Jan 8 15:13:22 CET 2009 - knikanth@suse.de
- patches.fixes/dm-avoid-put-table-dm_any_congested: dm: avoid
destroying table in dm_any_congested (bnc#457205).
- patches.fixes/dm-table-ref-count: dm table: rework reference
counting (bnc#457205).
- patches.fixes/dm-unbind-drop-ref: dm table: drop reference at
unbind (bnc#457205).
-------------------------------------------------------------------
Thu Jan 8 13:00:35 CET 2009 - olh@suse.de
- update kdump config, disable some unused drivers
-------------------------------------------------------------------
Thu Jan 8 12:58:45 CET 2009 - olh@suse.de
- refresh config files, no functional changes
-------------------------------------------------------------------
Thu Jan 8 12:52:20 CET 2009 - olh@suse.de
- patches.drivers/ehea-modinfo.patch:
use separate table for module alias (bnc#435215 - LTC48564)
-------------------------------------------------------------------
Thu Jan 8 12:41:24 CET 2009 - tiwai@suse.de
Backport fixes for HD-audio from the upstream:
- patches.drivers/alsa-hda-ad1882-id-typo-fix: ALSA: hda -
Fix typos for AD1882 codecs.
- patches.drivers/alsa-hda-ad1986a-laptop-eapd-model-back: ALSA:
hda - make laptop-eapd model back for AD1986A.
- patches.drivers/alsa-hda-hp2230s-quirk: ALSA: hda - Add quirk
for HP 2230s (bnc#461660).
- patches.drivers/alsa-hda-sigmatel-add-missing-terminators:
ALSA: hda - Add missing terminators in patch_sigmatel.c.
-------------------------------------------------------------------
Thu Jan 8 11:46:43 CET 2009 - bwalle@suse.de
- Update config files: Enable CONFIG_EHEA=m (and CONFIG_IBMEBUS=y)
for ppc/kdump and ppc64/kdump (bnc #459119).
-------------------------------------------------------------------
Thu Jan 8 10:57:36 CET 2009 - jblunck@suse.de
- Make kernel-source.changes incremental again
-------------------------------------------------------------------
Thu Jan 8 10:15:08 CET 2009 - olh@suse.de
- supported.conf: rename dm-leastpending-path to dm-leastpending
-------------------------------------------------------------------
Thu Jan 8 09:27:28 CET 2009 - olh@suse.de
- patches.drivers/ehea-modinfo.patch:
add alias entry for portN properties (bnc#435215 - LTC48564)
-------------------------------------------------------------------
Thu Jan 8 08:19:15 CET 2009 - olh@suse.de
- patches.drivers/ibmvfc-abort-response.patch:
Fixup command response translation (bnc#459383 - LTC50695)
-------------------------------------------------------------------
Thu Jan 8 08:15:34 CET 2009 - olh@suse.de
- patches.drivers/ibmvfc-improve_sync_events.patch:
Improve async event handling (bnc#460567 - LTC50778)
-------------------------------------------------------------------
Thu Jan 8 06:29:53 CET 2009 - gregkh@suse.de
- patches.drivers/via-unichrome-drm-bugfixes.patch: via: Unichrome
DRM bugfixes.
-------------------------------------------------------------------
Thu Jan 8 06:19:53 CET 2009 - coly.li@suse.de
- Move patch from patches.suse/dlm-fix-shutdown-cleanup.patch to
patches.fixes/dlm-fix-shutdown-cleanup.patch
-------------------------------------------------------------------
Thu Jan 8 06:11:18 CET 2009 - coly.li@suse.de
- Fixes a regression from commit
0f8e0d9a317406612700426fad3efab0b7bbc467,
"dlm: allow multiple lockspace creates".
-------------------------------------------------------------------
Wed Jan 7 16:37:22 CET 2009 - olh@suse.de
- patches.arch/ppc-pseries-cpu-migrate.patch: Update
default_server during migrate_irqs_away (bnc#460566 - LTC50723)
-------------------------------------------------------------------
Wed Jan 7 16:25:48 CET 2009 - jack@suse.cz
- patches.suse/mm-increase-dirty-limits.patch: Increase limits
for starting writeback of dirty data (bnc#449662).
-------------------------------------------------------------------
Wed Jan 7 15:43:23 CET 2009 - ghaskins@suse.de
- Update config files (part of bnc#448412).
-------------------------------------------------------------------
Wed Jan 7 14:55:19 CET 2009 - ghaskins@suse.de
- patches.fixes/ia64-configure-HAVE_UNSTABLE_SCHED_CLOCK-for-SGI_SN.patch:
configure HAVE_UNSTABLE_SCHED_CLOCK for SGI_SN systems (bnc#448412).
-------------------------------------------------------------------
Wed Jan 7 13:53:32 CET 2009 - hare@suse.de
- patches.drivers/lpfc-8.2.8.10-update: Emulex 8.2.8.10 driver
patches for SLE11 (bnc#460775).
-------------------------------------------------------------------
Wed Jan 7 13:37:56 CET 2009 - knikanth@suse.de
- patches.suse/dm-barrier-single-device: Update Patch-mainline
header. Patch is not refreshed as it breaks kabi (FATE#304489).
-------------------------------------------------------------------
Wed Jan 7 12:35:13 CET 2009 - hare@suse.de
- patches.drivers/cciss-driver-panic-on-volume-delete: cciss
driver may panic if a logical volume is deleted (bnc#459553).
-------------------------------------------------------------------
Wed Jan 7 10:32:20 CET 2009 - hare@suse.de
- patches.suse/scsi-netlink-ml: Use GFP_ATOMIC to avoid deadlocks
(bnc#461747).
-------------------------------------------------------------------
Wed Jan 7 09:55:34 CET 2009 - hare@suse.de
- patches.fixes/fc_transport-devloss-callback-restore: FC devloss
callback not called when devloss timer fires (bnc#463289).
-------------------------------------------------------------------
Wed Jan 7 09:47:10 CET 2009 - hare@suse.de
- patches.suse/dm-mpath-leastpending-path-update: Update
least-pending-IO dynamic load balancer (bnc#444199).
- patches.suse/dm-mpath-queue-length-load-balancing: Rediff.
- patches.suse/dm-mpath-service-time-load-balancing: Rediff.
- patches.suse/dm-mpath-tracking-nr-bytes: Rediff.
- patches.suse/dm-mpath-leastpending-path: Delete.
-------------------------------------------------------------------
Tue Jan 6 19:38:30 CET 2009 - jeffm@suse.de
- patches.fixes/uv-remove-erroneous-BAU-init: UV: remove erroneous
BAU initialization (bnc#463313).
-------------------------------------------------------------------
Tue Jan 6 18:36:57 CET 2009 - jjolly@suse.de
- patches.arch/s390-06-01-qeth-ext-src-mac-addr.patch: qeth:
exploit source MAC address for inbound layer3 packets
(bnc#458339).
- patches.arch/s390-06-02-qeth-layercrash.patch: qeth: avoid
crash in case of layer mismatch for VSWITCH (bnc#458339).
- patches.arch/s390-06-03-dasd_sim_sense_condition.patch: Fix
unsolicited SIM sense condition. (bnc#458339).
- patches.arch/s390-06-04-qdio_ssqd_memcpy.patch: qdio: fix
broken memcpy (bnc#458339).
- patches.arch/s390-06-05-qdio_s390dbf.patch: qdio: rework
s390dbf usage (bnc#458339).
- patches.arch/s390-06-06-qdio_inbound_ack.patch: qdio: rework
inbound buffer acknowledgement (bnc#458339).
- patches.arch/s390-06-07-cio-attach_detach.patch: cio: Crashes
when repeatetly attaching/detaching devices. (bnc#458339).
-------------------------------------------------------------------
Tue Jan 6 14:37:15 CET 2009 - npiggin@suse.de
- patches.arch/x86-fix-kmap-contig.patch: x86: Jan's comments for
contiguous kmap fix (bnc#449812).
-------------------------------------------------------------------
Tue Jan 6 07:54:29 CET 2009 - npiggin@suse.de
- patches.fixes/mm-lockless-pagecache-barrier.patch: update.
-------------------------------------------------------------------
Mon Jan 5 17:38:52 CET 2009 - mmarek@suse.cz
- patches.suse/modpost-filter-out-built-in-depends: modpost:
filter out "built-in" depends (bnc#450085).
- patches.drivers/0002-Staging-add-TAINT_CRAP-flag-to-drivers-staging-modu.patch:
refresh.
-------------------------------------------------------------------
Mon Jan 5 14:09:57 CET 2009 - npiggin@suse.de
- Fix ps3 config.
-------------------------------------------------------------------
Mon Jan 5 09:53:42 CET 2009 - npiggin@suse.de
- patches.fixes/mm-lockless-pagecache-barrier.patch: mm lockless
pagecache barrier fix.
-------------------------------------------------------------------
Mon Jan 5 09:29:04 CET 2009 - npiggin@suse.de
- patches.fixes/fs-symlink-write_begin-allocation-context-fix.patch:
fs symlink write_begin allocation context fix.
-------------------------------------------------------------------
Mon Jan 5 09:11:14 CET 2009 - npiggin@suse.de
- Update config files.
-------------------------------------------------------------------
Mon Jan 5 08:51:10 CET 2009 - npiggin@suse.de
- patches.suse/cgroup-freezer.patch: cgroup freezer update (bnc#417294,
fate#304191, fate#201036).
-------------------------------------------------------------------
Wed Dec 31 06:09:51 CET 2008 - npiggin@suse.de
- patches.fixes/s390-lru_add_drain_all.patch: mm: remove UP
version of lru_add_drain_all() (bnc#458380).
-------------------------------------------------------------------
Wed Dec 31 05:39:57 CET 2008 - npiggin@suse.de
- patches.arch/x86-fix-kmap-contig.patch: x86: contiguous kmap
fix (bnc#449812).
-------------------------------------------------------------------
Mon Dec 29 23:46:15 CET 2008 - jeffm@suse.de
- patches.arch/thinkpad_acpi-hotkey-notify-fix: thinkpad_acpi:
Fix oops with incompatible backlight interface.
-------------------------------------------------------------------
Mon Dec 22 10:56:57 CET 2008 - olh@suse.de
- update patches.arch/ppc-memoryless-nodes.patch:
fix bootmem reservation on uninitialized node (bunc#457029 - LTC50483)
-------------------------------------------------------------------
Mon Dec 22 10:41:52 CET 2008 - olh@suse.de
- patches.fixes/scsi-ibmvscsi-can_queue_fix.patch: Make
max_requests module parameter more accurate (bnc#458499 - LTC50637)
-------------------------------------------------------------------
Sat Dec 20 17:02:15 CET 2008 - tiwai@suse.de
- patches.drivers/alsa-hda-hp-dv7-quirk: ALSA: hda - Add quirk
for another HP dv7 (bnc#461108).
-------------------------------------------------------------------
Sat Dec 20 11:31:56 CET 2008 - tiwai@suse.de
- patches.drivers/alsa-ca0106-pm-support: More fixes (bnc#447624).
-------------------------------------------------------------------
Fri Dec 19 20:10:09 CET 2008 - jeffm@suse.de
- patches.fixes/reiserfs-debug-1036: Print more info.
-------------------------------------------------------------------
Fri Dec 19 16:21:10 CET 2008 - tiwai@suse.de
- patches.drivers/alsa-ca0106-capture-no-44khz: ALSA: disable
44.1kHz capture on CA0106 (bnc#447624).
- patches.drivers/alsa-ca0106-pm-support: ALSA: ca0106 - Add
power-amangement support (bnc#447624).
- patches.drivers/alsa-hda-92hd73x-desktop-fixes: ALSA: Fix
plaback problems on Intel desktops with IDT codecs.
-------------------------------------------------------------------
Fri Dec 19 11:59:08 CET 2008 - jbeulich@novell.com
- patches.arch/x86_sgi-uv-scir.patch,
patches.suse/e1000e_ioremap_sanity_check,
patches.suse/perfmon2-remove_get_base_syscall_attr.patch,
patches.suse/perfmon2.patch,
patches.suse/silent-stack-overflow-2.patch: eliminate build warnings.
-------------------------------------------------------------------
Fri Dec 19 06:49:55 CET 2008 - gregkh@suse.de
- Update config files.
- patches.drivers/staging-add-rt2870-wireless-driver.patch:
Staging: add rt2870 wireless driver.
-------------------------------------------------------------------
Fri Dec 19 02:01:05 CET 2008 - nfbrown@suse.de
- patches.fixes/md-bitmap-read-do-not-overflow: md: Don't read
past end of bitmap when reading bitmap. (bnc#459557).
-------------------------------------------------------------------
Thu Dec 18 21:24:46 CET 2008 - jeffm@suse.de
- patches.fixes/reiserfs-debug-1036: Fixed ordering.
-------------------------------------------------------------------
Thu Dec 18 21:15:38 CET 2008 - jeffm@suse.de
- patches.fixes/reiserfs-ensure-nonzero-transaction: Delete.
-------------------------------------------------------------------
Thu Dec 18 19:31:20 CET 2008 - gregkh@suse.de
- Updated to final 2.6.27.10 release
-------------------------------------------------------------------
Thu Dec 18 14:38:51 CET 2008 - trenn@suse.de
- patches.arch/x86-apic-force-bigsmp-apic-on-IBM-EXA3-4.patch:
Use apic=bigsmp on specific xseries machines (bnc#440497).
-------------------------------------------------------------------
Thu Dec 18 13:43:01 CET 2008 - trenn@suse.de
- patches.arch/x86_restrict_pci_early_quirks_to_root_bridges.patch:
Only scan the root bus in early PCI quirks. (bnc#57886).
-------------------------------------------------------------------
Thu Dec 18 10:06:36 CET 2008 - jbeulich@novell.com
- patches.xen/746-pirq-status-page.patch: Merge in c/s 751.
- patches.xen/761-highpte.patch: blktap, gntdev: fix highpte handling.
- patches.xen/762-xencons-hvc.patch: add hvc compatibility mode to xencons.
- patches.xen/xen3-patch-2.6.27.7-8: Linux 2.6.27.8.
- patches.xen/xen3-patch-2.6.27.8-9: Linux 2.6.27.9.
- patches.xen/xen-x86-exports: export a few more symbols
(bnc#458222).
- patches.xen/xen3-perfmon2_remove_syscalls.patch: Rename to ...
- patches.xen/xen3-perfmon2-remove_syscalls.patch: ... this.
-------------------------------------------------------------------
Thu Dec 18 03:55:39 CET 2008 - nfbrown@suse.de
- patches.fixes/md-disable-recovery-on-faulty-degraded-array:
Disable recovery when degraded RAID1 array appears to be
faulty. (bnc#447835).
-------------------------------------------------------------------
Wed Dec 17 16:53:43 CET 2008 - mmarek@suse.cz
- rpm/symsets.pl: fix uninitialized variable
-------------------------------------------------------------------
Wed Dec 17 15:38:40 CET 2008 - mmarek@suse.cz
- rpm/kernel-binary.spec.in:
- add genksyms reference files so that genksyms can explain why
did checksums change
-------------------------------------------------------------------
Wed Dec 17 01:50:24 CET 2008 - gregkh@suse.de
- patches.drivers/via-framebuffer-driver.patch: Delete. It
oopses badly and is not needed for the HP2133 hardware, as
it has switched to Intel chipsets.
-------------------------------------------------------------------
Wed Dec 17 01:22:17 CET 2008 - gregkh@suse.de
- Upate to 2.6.27.10-rc1, fixing lots of problems.
- obsoletes:
- patches.drivers/libata-update-ST-FLUSH-blacklist
- patches.fixes/iwlwifi_fix_oops.patch
- patches.fixes/v4l-dvb-avoid-writing-outside-array
-------------------------------------------------------------------
Tue Dec 16 23:14:36 CET 2008 - jeffm@suse.de
- patches.suse/reiserfs-barrier-default: Make reiserfs default
to barrier=flush.
-------------------------------------------------------------------
Tue Dec 16 21:48:08 CET 2008 - mmarek@suse.cz
- addded symtypes-* files to the RC1 reference kabi
-------------------------------------------------------------------
Tue Dec 16 19:04:29 CET 2008 - jeffm@suse.de
- patches.fixes/ext3-mark-super-uptodate: ext3: always mark
super uptodate before dirty (bnc#457043).
-------------------------------------------------------------------
Tue Dec 16 16:23:06 CET 2008 - hare@suse.de
- patches.fixes/blk-dont-invoke-request_fn-on-stopped-queue:
block: only call ->request_fn when the queue is not stopped
(bnc#457041).
- patches.fixes/blk-get-extra-reference-before-unmap: block:
hold extra reference to bio in blk_rq_map_user_iov().
- patches.fixes/blk-move-unplug_work-init: block: move
q->unplug_work initialization.
- patches.fixes/blk-set-segment-boundary-mask: block: fix setting
of max_segment_size and seg_boundary mask.
- patches.fixes/dm-mpath-send-activate-to-every-path: Handle
multiple paths in a path group properly during pg_init.
- patches.fixes/scsi_dh-add-lsi-ids-to-rdac: Adding LSI vendor
and product IDs to RDAC device handler.
- patches.suse/dm-mpath-accept-failed-paths: Accept failed paths
for multipath maps (bnc#458037,bnc#458393).
- patches.suse/scsi-check-removed-device-for-offline: Check if
device is removed in scsi_device_online() (bnc#456747).
-------------------------------------------------------------------
Tue Dec 16 16:14:20 CET 2008 - hare@suse.de
- Enable 3270 console (bnc#457039)
-------------------------------------------------------------------
Tue Dec 16 00:17:08 CET 2008 - rjw@suse.de
- Update config files.
- patches.suse/mm-do-not-disable-memory-hotplug-when-hibernation-is-enabled.patch:
mm: Do not disable memory hotplug when hibernation is enabled
(bnc#438914).
-------------------------------------------------------------------
Mon Dec 15 23:06:28 CET 2008 - jeffm@suse.de
- patches.fixes/iwlwifi_fix_oops.patch: iwlwifi: clean key table
in iwl_clear_stations_table function (bnc#457062).
-------------------------------------------------------------------
Mon Dec 15 16:08:19 CET 2008 - jjolly@suse.de
- patches.drivers/cxgb3i_ddp.patch: cxgb3i - added pdu size
check and fixed ddp page setup (bnc#449519).
-------------------------------------------------------------------
Mon Dec 15 10:17:27 CET 2008 - hare@suse.de
- patches.drivers/qla4xxx-5.01.00-k8_sles11-04-update: qla4xxx
driver SLES 11 Beta6 update (bnc#458186).
-------------------------------------------------------------------
Mon Dec 15 08:14:38 CET 2008 - oneukum@suse.de
- patches.suse/usb_correct_config_ti_04b3_4543.diff: fix
ti_usb_3410_5052 driver for device 04b3:4543 (bnc#395775).
-------------------------------------------------------------------
Sun Dec 14 01:24:41 CET 2008 - gregkh@suse.de
- Update to 2.6.27.9
- obsoleted:
- patches.fixes/applicom-fix-unchecked-ioctl-range
- patches.fixes/watchdog-ib700wdt-buffer-underflow
-------------------------------------------------------------------
Fri Dec 12 20:23:20 CET 2008 - jeffm@suse.de
- patches.fixes/reiserfs-ensure-nonzero-transaction: reiserfs:
ensure nonzero transaction (bnc#447406).
-------------------------------------------------------------------
Fri Dec 12 18:50:58 CET 2008 - jeffm@suse.de
- patches.fixes/reiserfs-debug-1036: Update to print accurate refcount.
-------------------------------------------------------------------
Fri Dec 12 17:42:35 CET 2008 - jblunck@suse.de
- .gitignore: Ignore backup files.
-------------------------------------------------------------------
Fri Dec 12 15:08:44 CET 2008 - hare@suse.de
- patches.fixes/scsi-check-host-lookup-failure: Correct
scsi_host_lookup return value (bnc#456532).
- patches.suse/dm-mpath-accept-failed-paths: Accept failed paths
for multipath maps (bnc#458037).
-------------------------------------------------------------------
Fri Dec 12 13:26:56 CET 2008 - fseidel@suse.de
- patches.fixes/hso_killswitch.patch: hso: rfkill type should
be WWAN (bnc#458625).
-------------------------------------------------------------------
Fri Dec 12 13:24:39 CET 2008 - fseidel@suse.de
- patches.fixes/hso_killswitch.patch:
fix hso rfkillswitch type to WWAN (bnc#458625)
-------------------------------------------------------------------
Fri Dec 12 12:34:38 CET 2008 - mmarek@suse.cz
- import SLE11 RC1 reference kabi
-------------------------------------------------------------------
Fri Dec 12 07:28:39 CET 2008 - gregkh@suse.de
- refresh patches to apply cleanly due to 2.6.27.9-rc addition.
-------------------------------------------------------------------
Fri Dec 12 07:18:20 CET 2008 - gregkh@suse.de
- remove patches that were obsoleted by 2.6.27.9-rc2
- patches.arch/ppc-mpic-dont-reset-affinity-for-secondary-MPIC-on-boot.patch
- patches.arch/x86-hpet-use-WARN_ON_ONCE
- patches.drivers/alsa-hda-acer-quirk
- patches.drivers/alsa-hda-alc888-medion-add
- patches.drivers/alsa-hda-dell-studio-15-quirk
- patches.drivers/alsa-hda-dell-studio-1535-quirk
- patches.drivers/alsa-hda-hp-6730s-quirk
- patches.drivers/alsa-hda-hp-elitebook-8530p-quirk
- patches.drivers/alsa-hda-hp-mobile-fix
- patches.drivers/alsa-hda-stac-no-hp-as-line-out-switch
- patches.drivers/cell_edac.patch
- patches.fixes/acpi-set-SCI_EN-on-MacBook.patch
- patches.fixes/atm-duplicate-listen-on-socket-corrupts-the-vcc-table.patch
- patches.fixes/block-enforce-minimum-SG_IO-timeout
- patches.fixes/cxgb3-remove-duplicate-tests-in-lro
- patches.fixes/cxgb3_fix_race_in_EEH
- patches.fixes/hibernate-x86-fix-breakage-on-x86_32-with-PAE.patch
- patches.fixes/pci_aspm_check_endless_loop_safe.patch
- patches.fixes/sched-fix-bug-in-sched-domain-degenerate.patch
- patches.fixes/x86-arch_add_memory-remove-debug
- patches.fixes/x86-memory-hotplug-off-by-one
-------------------------------------------------------------------
Fri Dec 12 07:11:59 CET 2008 - gregkh@suse.de
- update to 2.6.27.9-rc2:
- lots of bugfixes
- some security updates
-------------------------------------------------------------------
Thu Dec 11 23:34:54 CET 2008 - gregkh@suse.de
- intermediate commit as part of adding 2.6.27.9-rc1 to the tree.
-------------------------------------------------------------------
Thu Dec 11 12:44:30 CET 2008 - hare@suse.de
- Compile in zfcpdump module for S/390 (bnc#446367).
-------------------------------------------------------------------
Thu Dec 11 11:49:54 CET 2008 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: one more fix to make kabi checks work
-------------------------------------------------------------------
Thu Dec 11 05:38:44 CET 2008 - teheo@suse.de
- patches.drivers/libata-update-ST-FLUSH-blacklist: libata:
fix Seagate NCQ+FLUSH blacklist (bnc#458192).
-------------------------------------------------------------------
Wed Dec 10 23:36:26 CET 2008 - nfbrown@suse.de
- patches.suse/SoN-fix-sync: Cope with racy nature of sync_page
in swap_sync_page (441793).
-------------------------------------------------------------------
Wed Dec 10 19:04:00 CET 2008 - jjolly@suse.de
- patches.arch/s390-05-09-cleanup-of-portopen-requests.patch:
zfcp: fix erp timeout cleanup for port open requests
(bnc#450096).
-------------------------------------------------------------------
Wed Dec 10 16:38:13 CET 2008 - jeffm@suse.de
- patches.fixes/watchdog-ib700wdt-buffer-underflow: ib700wdt.c -
fix buffer_underflow bug (bnc#457898).
-------------------------------------------------------------------
Wed Dec 10 16:01:20 CET 2008 - jeffm@suse.de
- patches.fixes/applicom-fix-unchecked-ioctl-range: applicom: Fix
an unchecked user ioctl range and an error return (bnc#457897).
-------------------------------------------------------------------
Wed Dec 10 15:38:18 CET 2008 - jeffm@suse.de
- patches.fixes/block-enforce-minimum-SG_IO-timeout: Enforce a
minimum SG_IO timeout (bnc#457896).
-------------------------------------------------------------------
Wed Dec 10 11:54:33 CET 2008 - mmarek@suse.cz
- rpm/find-provides: don't fail if a subpackage doesn't contain
any modules (such as the -man subpackage on s390x)
-------------------------------------------------------------------
Wed Dec 10 10:55:28 CET 2008 - tiwai@suse.de
- patches.drivers/alsa-hda-hp-6730b-quirk: ALSA: hda - Add quirk
for HP6730B laptop (bnc#457909).
- patches.drivers/alsa-hda-hp-6730s-quirk: ALSA: hda - Add
another HP model (6730s) for AD1884A.
- patches.drivers/alsa-hda-hp-elitebook-8530p-quirk: ALSA: hda -
Make the HP EliteBook 8530p use AD1884A model laptop.
-------------------------------------------------------------------
Wed Dec 10 10:46:08 CET 2008 - olh@suse.de
- patches.drivers/ibmvfc-add_sync.patch:
Fix errors due to inconsistent command data (bnc#456654 - LTC50451)
- patches.drivers/ibmvfc-host_init_delay.patch:
Delay NPIV login retry and add retries (bnc#456654 - LTC50451)
-------------------------------------------------------------------
Wed Dec 10 06:03:42 CET 2008 - teheo@suse.de
- patches.drivers/libata-pata_hpt366-fix-cable-detection:
pata_hpt366: fix cable detection, (bnc#362159).
- patches.drivers/libata-pata_hpt366-fix-clock-detection:
pata_hpt366: fix clock detection (bnc#362159).
- patches.drivers/libata-pata_hpt366-no-ATAPI-DMA: pata_hpt366:
no ATAPI DMA (bnc#362159).
- patches.drivers/libata-pata_hpt366-reimplement-mode-programming:
pata_hpt366: reimplement mode programming (bnc#362159).
-------------------------------------------------------------------
Tue Dec 9 20:35:13 CET 2008 - agruen@suse.de
- supported.conf: Mark xfs_dmapi as supported (bnc#457668).
-------------------------------------------------------------------
Tue Dec 9 19:44:13 CET 2008 - jeffm@suse.de
- patches.fixes/x86-arch_add_memory-remove-debug: x86: remove
debug code from arch_add_memory() (bnc#457526).
- patches.fixes/x86-memory-hotplug-off-by-one: x86, memory
hotplug: remove wrong -1 in calling init_memory_mapping()
(bnc#457526).
-------------------------------------------------------------------
Tue Dec 9 19:21:19 CET 2008 - agruen@suse.de
- rpm/{kernel-module-subpackage,macros.kernel-source}: Remove
a leftover hardcoded dependency on the kernel-source package so
that KMPs can alternatively be built against a kernel other
than (kernel-source + kernel-syms), such as (kernel-source-rt +
kernel-syms-rt).
-------------------------------------------------------------------
Tue Dec 9 13:26:34 CET 2008 - trenn@suse.de
- patches.fixes/pci_aspm_check_endless_loop_safe.patch: PCIe:
ASPM: Break out of endless loop waiting for PCI config bits
to switch (bnc#449799).
-------------------------------------------------------------------
Tue Dec 9 12:25:26 CET 2008 - mmarek@suse.cz
- rpm/symsets.pl:
- add --required-modules option to tell which modules this
subpackage requires via dependencies. This is needed to
preserve symsets when modules move from one subpackage to
another.
- add POD help and --help option.
- rpm/kernel-binary.spec.in: make use of --required-modules
-------------------------------------------------------------------
Tue Dec 9 10:41:57 CET 2008 - nfbrown@suse.de
- patches.fixes/xfs-barrier-fix.patch: Fix propagation of
EOPNOTSUPP when barriers are not supported. (bnc#438608).
-------------------------------------------------------------------
Mon Dec 8 23:10:23 CET 2008 - rjw@suse.de
- patches.fixes/tg3-fix-default-wol.patch: net (tg3): Fix failure
to enable WoL by default when possible (bnc#447371).
-------------------------------------------------------------------
Mon Dec 8 17:20:40 CET 2008 - olh@suse.de
- patches.arch/ppc-valid-hugepage-size-hugetlb_get_unmapped_area.patch:
Check for valid hugepage size in hugetlb_get_unmapped_area
(bnc#456433 - LTC50170).
-------------------------------------------------------------------
Mon Dec 8 17:17:16 CET 2008 - trenn@suse.de
- patches.fixes/pci_aspm_check_endless_loop.patch: Delete.
-------------------------------------------------------------------
Mon Dec 8 17:05:16 CET 2008 - rw@suse.de
- patches.drivers/sgi-xp-no-uv:
SGI SN: Eliminate dependency of the XP/XPC drivers on GRU. (bnc#442442)
-------------------------------------------------------------------
Mon Dec 8 16:52:27 CET 2008 - rw@suse.de
- Update config files for ia64:
Disable PERMIT_BSP_REMOVE. (bnc#386714)
-------------------------------------------------------------------
Mon Dec 8 16:25:09 CET 2008 - mmarek@suse.cz
- rpm/find-provides, rpm/kernel-binary.spec.in: figure out what
subpackage is being processed in find-provides and just print
the symsets computed in the spec.
-------------------------------------------------------------------
Mon Dec 8 14:32:34 CET 2008 - olh@suse.de
- rpm/post.sh: use flavor-rpmarch to match boards on powerpc
-------------------------------------------------------------------
Mon Dec 8 14:08:30 CET 2008 - mmarek@suse.cz
- rpm/kernel-module-subpackage: skip empty KMPs with a warning in
the kmp %%postun
-------------------------------------------------------------------
Mon Dec 8 12:49:33 CET 2008 - jjohansen@suse.de
- Update config files.
- patches.apparmor/fix-complain.diff: fix enforcement of deny
rules in complain mode (bnc#426159).
- patches.apparmor/fix-security-param.diff: fix recognition of
security= boot parameter (bnc#442668).
- patches.apparmor/fork-tracking.diff: fix log messages to enable
tools profile learning (bnc#447564).
-------------------------------------------------------------------
Mon Dec 8 12:37:13 CET 2008 - olh@suse.de
- rpm/post.sh: update board detection to use rpmarch instead flavor
-------------------------------------------------------------------
Mon Dec 8 10:33:13 CET 2008 - hare@suse.de
- patches.fixes/block-integrity-update: Block integrity update
(FATE#304345).
- patches.suse/dm-block-integrity: Block integrity support for
DM and MD (FATE#304345).
- patches.suse/dm-mpath-add-start-io: dm-mpath: add a path
selector interface (FATE#303862,FATE#302108).
- patches.suse/dm-mpath-queue-length-load-balancing: dm-mpath: add
queue-length dynamic load balancer (FATE#303862,FATE#302108).
- patches.suse/dm-mpath-service-time-load-balancing:
dm-mpath: add service-time oriented dynamic load balancer
(FATE#303862,FATE#302108).
- patches.suse/dm-mpath-tracking-nr-bytes: dm-mpath:
interface change for service-time dynamic load balancer
(FATE#303862,FATE#302108).
- supported.conf: Update to correctly reference dynamic load
balancer modules (bnc#444199)
-------------------------------------------------------------------
Mon Dec 8 03:54:35 CET 2008 - tonyj@suse.de
- patches.suse/perfmon2-add_ioctl_interface.patch: switch to
ioctl interface for perfmon2.
- patches.suse/perfmon2-fix_disabled.patch: fix initializion of
perfmon disabled.
- patches.suse/perfmon2-remove_get_base_syscall_attr.patch:
remove perfmon syscall_base attribyute.
- patches.suse/perfmon2-remove_syscalls.patch: drop additional
perfmon2 syscalls.
- patches.xen/xen3-perfmon2_remove_syscalls.patch: drop additional
perfmon2 syscalls.
-------------------------------------------------------------------
Sat Dec 6 21:59:13 CET 2008 - trenn@suse.de
- patches.arch/acpi_ec_provide_non_interrupt_mode_boot_param.patch:
ACPI: EC: Don't degrade to poll mode at storm
automatically. (bnc#446142).
- patches.fixes/pci_aspm_check_endless_loop.patch: PCIE Break
out of endless loop waiting for PCI config bits to switch
(bnc#449799).
-------------------------------------------------------------------
Sat Dec 6 01:09:26 CET 2008 - gregkh@suse.de
- refresh patches to apply cleanly.
-------------------------------------------------------------------
Sat Dec 6 00:26:05 CET 2008 - gregkh@suse.de
- Update to final 2.6.27.8 release
- contains 2 changes from 2.6.27.8-rc1
-------------------------------------------------------------------
Fri Dec 5 17:05:44 CET 2008 - jbeulich@novell.com
- patches.xen/xen-configurable-guest-devices: fix a typo.
-------------------------------------------------------------------
Fri Dec 5 16:55:30 CET 2008 - olh@suse.de
- patches.suse/scsi-scsi_transport_srp-shost_data.patch:
move crq_queue_create at the end of initialization (bnc#455929).
-------------------------------------------------------------------
Fri Dec 5 16:52:52 CET 2008 - hare@suse.de
- patches.drivers/open-fcoe-fnic-patches: Open-FCoE bugfixes
for fnic.
- patches.drivers/open-fcoe-rc1-update: Update open-FCoE with
latest patches from Intel (bnc#438954).
-------------------------------------------------------------------
Fri Dec 5 16:19:44 CET 2008 - jbeulich@novell.com
- Update Xen x86-64 config (2k guest devices, 1k outstanding transmits
in netback).
-------------------------------------------------------------------
Fri Dec 5 12:38:19 CET 2008 - hare@suse.de
- patches.arch/s390-zfcp-synchronize-scsi-register: No udev
events for zfcp online / offline (bnc#443667).
- patches.fixes/scsi-remove-scmd-timeout: Fix block timeout
residue problems (bnc#447249,bnc#441335).
- patches.fixes/scsi-eh_stu-timeout: Delete obsolet patch.
-------------------------------------------------------------------
Fri Dec 5 08:11:16 CET 2008 - bphilips@suse.de
- patches.fixes/saa7134-fix-resource-map-sanity-check-conflict.patch:
saa7134: fix resource map sanity check conflict (bnc#446733).
-------------------------------------------------------------------
Fri Dec 5 07:23:40 CET 2008 - gregkh@suse.de
- Update config files.
- patches.drivers/staging-add-rtl8187se-driver.patch: Staging:
add rtl8187se driver. Needed for MSI Wind and other netbooks.
-------------------------------------------------------------------
Fri Dec 5 07:13:17 CET 2008 - gregkh@suse.de
- Update config files.
- patches.drivers/staging-add-serverengines-benet-10gb-ethernet-driver.patch:
Staging: Add ServerEngines benet 10Gb ethernet driver.
-------------------------------------------------------------------
Fri Dec 5 06:54:23 CET 2008 - gregkh@suse.de
- update the wlan-ng driver to the latest upstream version, making
it much more useful (it actually works now...)
-------------------------------------------------------------------
Fri Dec 5 02:23:23 CET 2008 - nfbrown@suse.de
- patches.fixes/sunrpc-module-refcount-fix: Add a reference to
sunrpc in svc_addsock (443379). This avoid a bug where
refcount on sunrpc can go zero or negative.
-------------------------------------------------------------------
Thu Dec 4 19:35:07 CET 2008 - jeffm@suse.de
- patches.fixes/reiserfs-debug-1036: reiserfs: print more
information when an empty journal list is encountered
(bnc#447406 bnc#399966).
-------------------------------------------------------------------
Thu Dec 4 18:47:17 CET 2008 - gregkh@suse.de
- patches.fixes/atm-duplicate-listen-on-socket-corrupts-the-vcc-table.patch:
ATM: duplicate listen() on socket corrupts the vcc table
(CVE-2008-5079).
-------------------------------------------------------------------
Thu Dec 4 16:37:34 CET 2008 - jack@suse.cz
- Update config files.
-------------------------------------------------------------------
Thu Dec 4 16:21:10 CET 2008 - jack@suse.cz
XFS update on request from SGI:
- patches.apparmor/vfs-notify_change.diff: Add a vfsmount
parameter to notify_change(). (refreshed)
- patches.suse/xfs-account-for-allocated-blocks-when-expanding-directories:
Account for allocated blocks when expanding directories
(bnc#450658).
- patches.suse/xfs-check-for-valid-transaction-headers-in-recovery:
XFS: Check for valid transaction headers in recovery
(bnc#450658).
- patches.suse/xfs-dmapi-enable: VFS changes to support DMAPI
(bnc#450658).
- patches.suse/xfs-dmapi-src: DMAPI Source (bnc#450658).
- patches.suse/xfs-dmapi-xfs-enable: DMAPI support for xfs
(bnc#450658).
- patches.suse/xfs-handle-memory-allocation-failures-during-log-initialisation:
handle memory allocation failures during log initialisation
(bnc#450658).
- patches.suse/xfs-nfsd-dmapi-aware: Make NFSD DMAPI aware
(74107, 173874, bnc#450658).
- patches.suse/xfs-wait-for-all-IO-on-truncate-to-zero: Wait
for all I/O on truncate to zero file size (bnc#450658).
- patches.suse/xfs-dmapi-2.6.27: Delete.
- patches.suse/xfs-dmapi-xfs-2.6.27: Delete.
-------------------------------------------------------------------
Thu Dec 4 14:56:19 CET 2008 - olh@suse.de
- patches.arch/ppc-mpic-dont-reset-affinity-for-secondary-MPIC-on-boot.patch:
Don't reset affinity for secondary MPIC on boot (bnc#456408 - LTC50397).
-------------------------------------------------------------------
Thu Dec 4 14:41:56 CET 2008 - jjolly@suse.de
- Update config files.
- patches.arch/s390-05-04-fix_rport_status_check.patch: zfcp:
fix remote port status check (bnc#450096).
- patches.arch/s390-05-05-stp-etr-stop-machine.patch: stp/etr:
smp_call_function races. (bnc#450096).
- patches.arch/s390-05-06-stp-etr-mutex.patch: stp/etr: serialize
work. (bnc#450096).
- patches.arch/s390-05-07-generic-ipi.patch: kernel:
smp_call_function races. (bnc#450096).
- patches.arch/s390-05-08-topology.patch: kernel: disable cpu
topology support by default (bnc#450096,LTC#50392).
-------------------------------------------------------------------
Thu Dec 4 14:37:34 CET 2008 - olh@suse.de
- patches.arch/ppc-cell-gdb-watchpoints.patch:
Fix GDB watchpoints on Cell (bnc#456405 - LTC50396).
-------------------------------------------------------------------
Thu Dec 4 14:30:44 CET 2008 - olh@suse.de
- patches.arch/ppc-syscall-xer.so.1.patch: Fix system calls on
Cell entered with XER.SO=1 (bnc#456406 - LTC50395).
-------------------------------------------------------------------
Thu Dec 4 14:18:02 CET 2008 - hare@suse.de
- patches.suse/genhd-disk-ro-uevents: Send uevents for
write_protect changes (bnc#440959).
-------------------------------------------------------------------
Thu Dec 4 12:33:22 CET 2008 - mmarek@suse.cz
- supported.conf: mark kernel/net/ipv4/ipvs/ip_vs/* and
kernel/net/ipv4/netfilter/ipt_CLUSTERIP as unsupported,
a supported variant will be provided in a separate KMP
(bnc#455959)
-------------------------------------------------------------------
Thu Dec 4 10:42:03 CET 2008 - schwab@suse.de
- Set CONFIG_IA64_CPE_MIGRATE=m.
-------------------------------------------------------------------
Thu Dec 4 09:50:21 CET 2008 - jbeulich@novell.com
- supported.conf: Mark pciback as support, sfc_resource, sfc_netback,
and sfc_netutil as externally supported, add sfc_netfront, and mark
xenscsi and xen-scsibk as unsupported.
-------------------------------------------------------------------
Thu Dec 4 09:37:35 CET 2008 - mszeredi@suse.de
- patches.suse/SoN-fix-uninitialized-variable.patch: Fix use of
uninitialized variable in cache_grow() (bnc#444597).
-------------------------------------------------------------------
Thu Dec 4 09:19:55 CET 2008 - hare@suse.de
- patches.fixes/scsi-eh_stu-timeout: make scsi_eh_try_stu use
block timeout (bnc#447249,bnc#441335).
-------------------------------------------------------------------
Thu Dec 4 01:06:34 CET 2008 - gregkh@suse.de
- patches.fixes/jbd2-create-proc-entry-fix.patch: Delete. It's not needed
anymore now that 2.6.27.8-rc1 has a similar fix.
-------------------------------------------------------------------
Wed Dec 3 23:09:37 CET 2008 - gregkh@suse.de
- Update to 2.6.27.8-rc1:
- lots of bugfixes
- some security fixes as well.
- obsoletes lots of existing patches:
- patches.arch/ppc-spufs-02-add-a-missing-mutex_unlock.patch
- patches.arch/ppc-spufs-11-Fix-spinning-in-spufs_ps_fault-on-sig.patch
- patches.drivers/libata-fix-ata_tf_read_block-overflow
- patches.drivers/libata-fix-ata_tf_to_lba48-overflow
- patches.drivers/libata-seagate-firmware-bug
- patches.drivers/parport-serial-array-overflow-fix
- patches.fixes/af_unix-soft-lockup-fix.patch
- patches.fixes/cifs-add-cifs_sock_list
- patches.fixes/cifs-cleanup-cifs_mount
- patches.fixes/cifs-cleanup-proto-handling
- patches.fixes/cifs-disable-sess-sharing
- patches.fixes/cifs-fix-build-breakage
- patches.fixes/cifs-fix-data-corruption
- patches.fixes/cifs-fix-dead-tcon-check
- patches.fixes/cifs-fix-oops-on-failed-mount
- patches.fixes/cifs-fix-reconnection-flags
- patches.fixes/cifs-fix-smb-send2
- patches.fixes/cifs-fix-writepages
- patches.fixes/cifs-reinstate-sess-sharing-sans-races
- patches.fixes/cifs-reinstate-treecon-sharing
- patches.fixes/ext4_add-missing-unlock-to-ext4-check-descriptors
- patches.fixes/ext4_create-proc-ext4-stats-file-more-carefully
- patches.fixes/ext4_fix_whitespace_checkpatch_issues
- patches.fixes/ext4_update-flex-bg-counters-when-resizing
- patches.fixes/fix-inotify-watch-removal-umount-races
- patches.fixes/hpwdt-execute-page.diff
- patches.fixes/hpwdt-kdump.diff
- patches.fixes/ia64-fix-boot-panic-caused-by-offline-CPUs.patch
- patches.fixes/x86_cpufreq_powernow-k8_handle_invalid_state_gracefully.patch
-------------------------------------------------------------------
Wed Dec 3 16:05:26 CET 2008 - jbeulich@novell.com
- patches.xen/xen3-patch-2.6.19: Fix a kernel warning during shutdown.
- patches.xen/xen3-patch-2.6.27,
patches.xen/xen-netback-notify-multi,
patches.xen/xen-virq-per-cpu-irq: Refresh.
-------------------------------------------------------------------
Wed Dec 3 15:43:30 CET 2008 - olh@suse.de
- patches.suse/scsi-scsi_transport_srp-shost_data.patch: change
init order to fill in shost_data (bnc#455929).
-------------------------------------------------------------------
Wed Dec 3 14:38:34 CET 2008 - hare@suse.de
- patches.suse/scsi-netlink-ml: Use GFP_ATOMIC for
skb allocation.
-------------------------------------------------------------------
Wed Dec 3 13:38:36 CET 2008 - olh@suse.de
- patches.suse/scsi-scsi_transport_srp-shost_data.patch:
add debug for Scsi_Host->shost_data (bnc#455929).
-------------------------------------------------------------------
Wed Dec 3 10:48:49 CET 2008 - hare@suse.de
- supported.conf: mark dm-leastpending-path as supported
(bnc#444199)
-------------------------------------------------------------------
Wed Dec 3 10:32:12 CET 2008 - teheo@suse.de
Patch updated to remove strcmp() on NULL strings.
- patches.drivers/libata-ata_piix-borked-tecra-m4-broken-suspend:
ata_piix: add borked Tecra M4 to broken suspend list
(bnc#398270).
-------------------------------------------------------------------
Wed Dec 3 09:38:19 CET 2008 - jbeulich@novell.com
- Update Xen patches to c/s 728 (plus several indivual ones)
- patches.xen/735-balloon-exit.patch: remove sysfs files during balloon
module exit.
- patches.xen/737-kexec-free.patch: kexec: Don't pass
limit_pages_to_max_mfn() regions to xen_destroy_contiguous_region().
- patches.xen/740-blkback-resource-leak.patch: blkback, blktap: Fix
potential resource leak.
- patches.xen/746-pirq-status-page.patch: use shared page indicating
the need for an EOI notification
- patches.xen/747-x86-undo-mfn-limit.patch: revert the effect of
xen_limit_pages_to_max_mfn()
- patches.xen/748-x86-ioapic-cleanup.patch: cleanup IO-APIC code
- patches.xen/panic-on-io-nmi-xen.diff: Rename to ...
- patches.xen/xen3-panic-on-io-nmi.diff: ... this.
- patches.xen/uv-sysfs-no-xen.diff: Replace by ...
- patches.xen/xen3-uv_setup_irq.diff: ... this.
- patches.xen/xen3-x86_sgi_uv_early_detect_oem.patch: x86, uv:
add early detection of UV system types (bnc#429984).
- patches.xen/xen-fb-bad-irq: Delete.
-------------------------------------------------------------------
Wed Dec 3 09:11:31 CET 2008 - hare@suse.de
- patches.drivers/lpfc-8.2.8.9-update: Update Emulex lpfc driver
to 8.2.8.9 (bnc#420767).
-------------------------------------------------------------------
Wed Dec 3 08:41:58 CET 2008 - knikanth@suse.de
- patches.suse/cfq-ioc-race: Exiting queue and task might race
to free cic (bnc#444346).
-------------------------------------------------------------------
Wed Dec 3 08:32:18 CET 2008 - sjayaraman@suse.de
- patches.fixes/cifs-reinstate-sess-sharing-sans-races: Adjust as it
didn't apply cleanly.
-------------------------------------------------------------------
Wed Dec 3 07:51:33 CET 2008 - sjayaraman@suse.de
- Fix data corruption issues (411655).
patches.fixes/cifs-fix-data-corruption
patches.fixes/cifs-fix-smb-send2
- Fix multiple oopses, memory corruption due to mount/umount races
(https://bugzilla.samba.org/show_bug.cgi?id=5720).
patches.fixes/cifs-add-cifs_sock_list
patches.fixes/cifs-cleanup-cifs_mount
patches.fixes/cifs-cleanup-proto-handling
patches.fixes/cifs-disable-sess-sharing
patches.fixes/cifs-fix-build-breakage
patches.fixes/cifs-fix-dead-tcon-check
patches.fixes/cifs-fix-oops-on-failed-mount
patches.fixes/cifs-fix-reconnection-flags
patches.fixes/cifs-fix-writepages
patches.fixes/cifs-reinstate-sess-sharing-sans-races
patches.fixes/cifs-reinstate-treecon-sharing
-------------------------------------------------------------------
Tue Dec 2 23:47:14 CET 2008 - jeffm@suse.de
- scripts/git-create-branch: Helper script to create a new
branch.
-------------------------------------------------------------------
Tue Dec 2 17:53:44 CET 2008 - mmarek@suse.cz
- rpm/get_release_number.sh.in, scripts/tar-up.sh: append first
eight digits of the commit id to the release string. This makes
it easier to match rpm release numbers with commits.
-------------------------------------------------------------------
Tue Dec 2 17:38:41 CET 2008 - mszeredi@suse.de
- patches.fixes/af_unix-soft-lockup-fix.patch: net: Fix soft
lockups/OOM issues w/ unix garbage collector (bnc#449739
CVE-2008-5300).
-------------------------------------------------------------------
Tue Dec 2 16:57:59 CET 2008 - hare@suse.de
- patches.drivers/qla2xxx-8.02.01.02.11.0-k9-update: qla2xxx:
additional fixes/updates for SLES11 (bnc#450197).
- patches.fixes/scsi-fixup-failfast-definitions: fc_transport:
fix old bug on bitflag definitions (bnc#447814).
-------------------------------------------------------------------
Tue Dec 2 16:28:04 CET 2008 - goldwyn@suse.de
- patches.suse/novfs-map-drives-correctly.diff
- patches.suse/novfs-merge-changes.diff: Modified to revert
some changes in novfs_set_map_drive()
- patches.suse/novfs-clear-mappeddrives.patch: Unlink mapped
drives on exit (bnc#449451).
-------------------------------------------------------------------
Tue Dec 2 14:22:23 CET 2008 - mmarek@suse.cz
- supported.conf: mark ocfs2 as unsupported, a supported variant
will be provided in a separate KMP (bnc#449824)
-------------------------------------------------------------------
Tue Dec 2 14:04:44 CET 2008 - olh@suse.de
- patches.drivers/ehca-rejecting-dynamic-mem-add-remove.patch:
update error message (bnc#448301 - LTC50134)
-------------------------------------------------------------------
Tue Dec 2 13:27:21 CET 2008 - hare@suse.de
- Update config files for S/390: Disable FCoE and sync
default and s390.
- supported.conf: Mark KVM as supported.
-------------------------------------------------------------------
Tue Dec 2 08:13:10 CET 2008 - jjolly@suse.de
- ...and added the patches to the series.conf (bnc#450096)
-------------------------------------------------------------------
Tue Dec 2 08:03:44 CET 2008 - jjolly@suse.de
- patches.arch/s390-05-01-zfcp-message-linebreak.patch: zfcp:
Wrong placement of linebreak in message (bnc#450096).
- patches.arch/s390-05-02-zfcp-invalid-non-null-return.patch:
zfcp: invalid return value on failing fsf_req creation
(bnc#450096).
- patches.arch/s390-05-03-zfcp-wka-port.patch: zfcp: Fix opening
of wka ports (bnc#450096).
-------------------------------------------------------------------
Mon Dec 1 20:11:18 CET 2008 - kkeil@suse.de
- patches.drivers/cxgb3-Add-1G-fiber-support: cxgb3: Add 1G
fiber support.
- patches.drivers/cxgb3-Allocate-multiqueues-at-init-time: cxgb3:
Allocate multiqueues at init time.
- patches.drivers/cxgb3-More-flexible-support-for-PHY-interrupts:
cxgb3: More flexible support for PHY interrupts..
- patches.drivers/cxgb3-Support-for-Aeluros-2005-PHY: cxgb3:
Support for Aeluros 2005 PHY.
- patches.drivers/cxgb3-allow-for-PHY-reset-status: cxgb3:
allow for PHY reset status.
- patches.drivers/cxgb3-commnonize-LASI-phy-code: cxgb3:
commnonize LASI phy code.
- patches.drivers/cxgb3-eeprom-read-fixes.patch:
cxgb3 - eeprom read fixes.
- patches.drivers/cxgb3-enable-lro-through-ethtool: cxgb3 -
enable lro control through ethtool.
- patches.drivers/cxgb3-simplify-port-type-struct-and-usage:
cxgb3: simplify port type struct and usage.
- patches.drivers/cxgb3-update-driver-version: cxgb3: update
driver version. (bnc#446739)
-------------------------------------------------------------------
Mon Dec 1 15:27:45 CET 2008 - mmarek@suse.cz
- rpm/macros.kernel-source: one more fix to the flavor selection
code (for some reason %* contained a newline)
-------------------------------------------------------------------
Mon Dec 1 14:39:22 CET 2008 - trenn@suse.de
- patches.arch/acpi_x2APIC_madt_enhancements.patch: ACPICA:
x2APIC support: changes for MADT and SRAT ACPI tables (fate
303948 and fate 303984).
- patches.fixes/acpi_check_for_invalid_handle.patch: ACPICA:
Add check for invalid handle in acpi_get_object_info
(http://www.acpica.org/bugzilla/show_bug.cgi?id=474).
- patches.fixes/acpi_dereference_object_if_possible.patch:
ACPICA: Add function to dereference returned reference objects
(http://bugzilla.kernel.org/show_bug.cgi?id=11105).
- patches.fixes/acpi_do_not_load_acpi_cpufreq_acpioff.patch:
ACPI: don't load acpi_cpufreq if acpi=off (no reference).
-------------------------------------------------------------------
Mon Dec 1 14:00:19 CET 2008 - trenn@suse.de
- patches.fixes/acpi_copy_tables_fix_suspend.patch: ACPICA:
Copy dynamically loaded tables to local buffer (bnc#410726).
-------------------------------------------------------------------
Sat Nov 29 21:51:38 CET 2008 - nfbrown@suse.de
- patches.fixes/SUNRPC-Fix-autobind-on-cloned-rpc-clients.patch:
SUNRPC: Fix autobind on cloned rpc clients.
Importantly, this removes a 'BUG_ON' that fires. (450083).
-------------------------------------------------------------------
Sat Nov 29 14:43:40 CET 2008 - teheo@suse.de
- patches.drivers/libata-ata_piix-borked-tecra-m4-broken-suspend:
ata_piix: add borked Tecra M4 to broken suspend list
(bnc#398270).
-------------------------------------------------------------------
Sat Nov 29 11:07:10 CET 2008 - bwalle@suse.de
- UV updates from SGI (bnc#442455, #442455).
o patches.fixes/ia64_uv_partition_id.diff:
Add partition id, coherence id, and region size to UV
o patches.fixes/ia64_uv_watchlist.diff:
Add UV watchlist suppor
o patches.fixes/null_irq_desc_name.diff:
genirq: NULL struct irq_desc's member 'name' in
dynamic_irq_cleanup()
o patches.fixes/uv-bios_call_memprotect.diff:
Add UV bios call to change memory protections
o patches.fixes/uv-bios_call_partition.diff:
x86: Add UV partition call
o patches.fixes/uv-bios_call_reserve_page.diff:
Add UV bios call to get the address of the reserved page
o patches.fixes/uv-bios_call_watchlist.diff:
Add UV bios calls to allocate and free watchlists
o patches.fixes/uv-bios_common.diff:
x86: Add UV bios call infrastructure
o patches.fixes/uv-efi_bios.diff:
x86: Add UV EFI table entry
o patches.fixes/uv-sn_region_size.diff:
Use consistent names for region size and conherence id on x86
and ia64
o patches.fixes/uv-sysfs.diff:
x86: Add UV sysfs entries
o patches.fixes/uv-xp-change_memprotect.diff:
Define xp_expand_memprotect() and xp_restrict_memprotect()
o patches.fixes/uv-xpc-get_sn_info.diff:
Define xp_partition_id and xp_region_size
o patches.fixes/uv-xpc_create_gru_mq_uv.diff:
Add the code to create the activate and notify gru message
queues
o patches.fixes/uv-xpc_get_part_rsvd_page.diff:
Add support for getting the address of a partition's reserved
page
o patches.fixes/uv_setup_irq.diff:
x86, UV: add uv_setup_irq() and uv_teardown_irq() functions, v3
- Refresh Xen patches
o patches.xen/xen3-auto-arch-x86.diff
o patches.xen/xen3-patch-2.6.19
- patches.xen/xen-x86-no-lapic: Add uv_irq.o
- patches.xen/uv-sysfs-no-xen.diff:
Don't build UV sysfs support on Xen.
-------------------------------------------------------------------
Fri Nov 28 23:42:21 CET 2008 - trenn@suse.de
- patches.fixes/x86_cpufreq_powernow-k8_handle_invalid_state_gracefully.patch:
powernow-k8: ignore out-of-range PstateStatus value (no
bug avail).
-------------------------------------------------------------------
Fri Nov 28 18:54:30 CET 2008 - sassmann@suse.de
- Update config files.
activate ALTIVEC in ps3 config
-------------------------------------------------------------------
Fri Nov 28 16:22:33 CET 2008 - hare@suse.de
- patches.drivers/open-fcoe-beta4-update: rediff.
- patches.drivers/open-fcoe-beta6-update: Incremental Open-FCoE
for Beta6 (bnc#438954).
-------------------------------------------------------------------
Fri Nov 28 14:54:13 CET 2008 - tiwai@suse.de
- patches.drivers/alsa-hda-sony-vaio-vgn-sr19xn-quirk: ALSA:
hda - Add quirk for Sony VAIO VGN-SR19XN (bnc#450080).
-------------------------------------------------------------------
Fri Nov 28 08:23:15 CET 2008 - olh@suse.de
- rpm/kernel-binary.spec.in: move Module.symvers creation into
CONFIG_MODULES section, a static kernel exports nothing
-------------------------------------------------------------------
Fri Nov 28 07:43:14 CET 2008 - olh@suse.de
- rpm/post*.sh: kernel-vanilla has now vmlinux-vanilla symlink
-------------------------------------------------------------------
Fri Nov 28 06:04:47 CET 2008 - teheo@suse.de
Block layer timer bug fixed. Multipath patch update is just patch
refresh.
- patches.drivers/block-internal-dequeue-shouldnt-start-timer:
block: internal dequeue shouldn't start timer (bnc#449880).
- patches.drivers/blk-request-based-multipath-update: Block layer
fixes for request-based multipathing (References: FATE#302108).
-------------------------------------------------------------------
Thu Nov 27 16:52:10 CET 2008 - olh@suse.de
- patches.suse/8250-sysrq-ctrl_o.patch: fix no-op macro args
-------------------------------------------------------------------
Thu Nov 27 16:34:48 CET 2008 - olh@suse.de
- patches.suse/8250-sysrq-ctrl_o.patch: fix compile on ppc32
-------------------------------------------------------------------
Thu Nov 27 16:10:30 CET 2008 - olh@suse.de
- rpm/kernel-binary.spec.in: always create a dummy initrd because
mkinitrd is called unconditionally
-------------------------------------------------------------------
Thu Nov 27 15:37:32 CET 2008 - olh@suse.de
- rpm/kernel-binary.spec.in: kernel-ps3 needs a suffix
-------------------------------------------------------------------
Thu Nov 27 15:18:32 CET 2008 - olh@suse.de
- remove last traces of kernel-um handling
-------------------------------------------------------------------
Thu Nov 27 14:38:31 CET 2008 - hare@suse.de
- patches.fixes/qla2xxx-disable-automatic-queue-tracking: qla2xxx:
Conditionally disable queue_full tracking (bnc#449386).
-------------------------------------------------------------------
Thu Nov 27 11:47:43 CET 2008 - olh@suse.de
- patches.suse/8250-sysrq-ctrl_o.patch: no sysrq on Cell QS21/QS22
serial console (bnc#422987,bnc#96313 - LTC47675/LTC16841).
-------------------------------------------------------------------
Thu Nov 27 08:26:03 CET 2008 - olh@suse.de
- patches.arch/ppc-memoryless-nodes.patch: fix booting with
memoryless nodes (443280 - LTC49675)
-------------------------------------------------------------------
Thu Nov 27 06:08:33 CET 2008 - teheo@suse.de
- patches.drivers/libata-seagate-firmware-bug: libata: blacklist
Seagate drives which time out FLUSH_CACHE when used with NCQ
(bnc#449531).
-------------------------------------------------------------------
Wed Nov 26 10:15:02 CET 2008 - agruen@suse.de
- rpm/macros.kernel-source: Fix the kernel flavor selection logic
for the %kernel_module_package macro.
-------------------------------------------------------------------
Wed Nov 26 09:16:44 CET 2008 - hare@suse.de
- patches.fixes/blk-leave-sync-timer-running: move to
correct place in series.conf and rediff
- patches.drivers/cciss-newids.patch: Delete.
-------------------------------------------------------------------
Wed Nov 26 09:03:55 CET 2008 - hare@suse.de
- patches.drivers/cciss-newids.patch: Support for newcciss
controller (bnc#448416).
- patches.fixes/blk-leave-sync-timer-running: block: leave
request timeout timer running on an empty list (bnc#447249).
-------------------------------------------------------------------
Tue Nov 25 20:27:19 CET 2008 - mszeredi@suse.de
- patches.fixes/slab-alloc_slabmgmt-fix.patch: slab: remove
GFP_THISNODE clearing from alloc_slabmgmt() (bnc#444597).
-------------------------------------------------------------------
Tue Nov 25 17:48:50 CET 2008 - sjayaraman@suse.de
- patches.suse/SoN-11-mm-page_alloc-emerg.patch: Fix accidental side-effect
that this patch introduced by reverting patches.fixes/oom-warning
(bnc #444597).
-------------------------------------------------------------------
Tue Nov 25 16:39:00 CET 2008 - tiwai@suse.de
- patches.drivers/alsa-ca0106-pm-support: ALSA: ca0106 - Add
power-amangement support (bnc#447624).
- patches.drivers/alsa-hda-dell-92hd73xx-models: ALSA: hda -
Check model for Dell 92HD73xx laptops (bnc#446025).
- patches.drivers/alsa-hda-dell-studio-1535-quirk: ALSA: hda -
mark Dell studio 1535 quirk (bnc#446025).
- patches.drivers/alsa-hda-spdif-bits-cache-fix: ALSA: hda -
Fix caching of SPDIF status bits.
- patches.drivers/alsa-hda-stac-no-hp-as-line-out-switch: ALSA:
hda - No 'Headphone as Line-out' swich without line-outs
(bnc#446025).
- patches.drivers/alsa-hda-stac-yet-more-fixes: ALSA: hda -
Fix AFG power management on IDT 92HD* codecs (bnc#446025).
-------------------------------------------------------------------
Tue Nov 25 00:52:41 CET 2008 - jeffm@suse.de
- patches.fixes/fix-inotify-watch-removal-umount-races: Fix
inotify watch removal/umount races (bnc#446973 CVE-2008-5182).
-------------------------------------------------------------------
Tue Nov 25 00:02:33 CET 2008 - jeffm@suse.de
- patches.fixes/uv-redundant-creation-of-proc-dir: UV: redundant
creation of sgi_uv (bnc#444799).
-------------------------------------------------------------------
Mon Nov 24 22:44:01 CET 2008 - agruen@suse.de
- supported.conf: dm-raid45 depends on a few more modules
(bnc#440208, bnc#441310).
-------------------------------------------------------------------
Mon Nov 24 20:25:25 CET 2008 - agruen@suse.de
- Switch from a no_file_caps command line switch to file_caps={0,1}
for enabling/disabling file capabilities, and make file_caps=0
the default: we cannot get the no_file_caps option added to the
kernel command line anymore (perl-Bootloader, bnc#418366).
-------------------------------------------------------------------
Mon Nov 24 20:18:46 CET 2008 - agruen@suse.de
- supported.conf: dm-raid4-5 was renamed to dm-raid45 (bnc#440208,
bnc#441310).
-------------------------------------------------------------------
Mon Nov 24 20:15:57 CET 2008 - agruen@suse.de
- Update config files: switch from CONFIG_PREEMPT_VOLUNTARY to
CONFIG_PREEMPT_NONE.
-------------------------------------------------------------------
Mon Nov 24 17:00:47 CET 2008 - ghaskins@suse.de
- patches.fixes/sched-fix-bug-in-sched-domain-degenerate.patch:
sched: fix a bug in sched domain degenerate (bnc#442464).
-------------------------------------------------------------------
Mon Nov 24 16:57:27 CET 2008 - mmarek@suse.cz
- doc/README.SUSE: document that setting allow_unsupported_modules
to 1 in /etc/modprobe.d/unsupported-modules is required to make
self-compiled kernels work.
-------------------------------------------------------------------
Mon Nov 24 11:55:54 CET 2008 - bwalle@suse.de
- patches.fixes/hpwdt-kdump.diff: Sync with latest upstream
submission.
-------------------------------------------------------------------
Sun Nov 23 01:02:08 CET 2008 - trenn@suse.de
- patches.arch/x86_sgi_uv_early_detect_oem.patch: x86, uv:
add early detection of UV system types (bnc#429984).
-------------------------------------------------------------------
Sat Nov 22 20:00:50 CET 2008 - olh@suse.de
- enable CONFIG_CGROUP_NS on ia64, s390 and powerpc (bnc#447507 - LTC50053)
-------------------------------------------------------------------
Sat Nov 22 00:03:11 CET 2008 - rjw@suse.de
- patches.fixes/ia64-fix-boot-panic-caused-by-offline-CPUs.patch:
fix boot panic caused by offline CPUs (bnc#440386).
-------------------------------------------------------------------
Fri Nov 21 20:17:09 CET 2008 - agruen@suse.de
- config/x86_64/maxcpus: Delete.
-------------------------------------------------------------------
Fri Nov 21 20:06:08 CET 2008 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: s/CVS/GIT/
-------------------------------------------------------------------
Fri Nov 21 20:04:05 CET 2008 - mmarek@suse.cz
- rpm/symsets.pl: fix module names in export lists
(kernel/irq/built-in was printed as irq/built-in)
-------------------------------------------------------------------
Fri Nov 21 20:03:17 CET 2008 - agruen@suse.de
- Update x86_64/{debug,default,trace,vanilla} config files:
increase NR_CPUS to 512.
-------------------------------------------------------------------
Fri Nov 21 18:13:58 CET 2008 - mmarek@suse.cz
- rpm/symsets.pl: Replace the bash and awk scripts around symsets
and kabi checking with a single script that generates symsets,
reuses symsets from older kernels and checks for kabi changes for
each of the split packages (kabi checking and symset reuse
require a set of reference files, which does not exist yet)
- rpm/list-exported-symbols: Delete.
- rpm/split-into-symsets: Delete.
- scripts/kabi-checks: Delete.
- kabi/severities: table of kabi change severities
- rpm/built-in-where, rpm/find-provides,
rpm/kernel-binary.spec.in, rpm/kernel-source.spec.in,
scripts/tar-up.sh: update to use symsets.pl
-------------------------------------------------------------------
Fri Nov 21 17:06:39 CET 2008 - kkeil@suse.de
- patches.drivers/ixgbe-sfp.patch: include additional fixes from
Intel (bnc#442411)
-------------------------------------------------------------------
Fri Nov 21 16:50:40 CET 2008 - kkeil@suse.de
- patches.fixes/igb_ethtool.patch: add missing ethtool hooks
(bnc#435551)
-------------------------------------------------------------------
Fri Nov 21 16:10:08 CET 2008 - jjolly@suse.de
- patches.arch/s390-04-08-cio-ungroup-race-fix.patch:
cio: ccwgroup online vs. ungroup race condition
(bnc#445100,LTC#50092).
- patches.arch/s390-04-09-zfcp-host-busy-count-fix.patch: zfcp:
prevent double decrement on host_busy counter (bnc#445100).
-------------------------------------------------------------------
Fri Nov 21 14:24:35 CET 2008 - agruen@suse.de
- rpm/macros.kernel-source:
+ Rename the -s option to -t in the %kernel_module_package macro
for improved cross-distro compatibility.
+ Define %kernel_module_package_release as 1 for feature tests.
+ Define %kernel_module_package_buildreqs for use by KMPs: that
way, KMPs can either use this macro for compatibility, or
they can substitute other packages for special cases.
-------------------------------------------------------------------
Fri Nov 21 13:08:28 CET 2008 - hare@suse.de
- patches.drivers/cxgb3i: add cxgb3i iscsi driver
(FATE#304154,bnc#433500).
- patches.drivers/cxgb3i-fixed-offload-array-size: cxgb3i -
fixed offload wr array size (bnc#447409).
- patches.fixes/dm-table-switch-to-readonly: dm multipath devices
are not getting created for readonly devices (bnc#382705).
- patches.suse/dm-mpath-null-pgs: Allow zero paths for multipath
priority groups (bnc#372684).
- patches.suse/scsi-netlink-ml: Netlink interface for SCSI
sense codes (FATE#303789).
-------------------------------------------------------------------
Fri Nov 21 12:53:49 CET 2008 - goldwyn@suse.de
- patches.suse/novfs-map-drives-correctly.diff: Fix oops in
set_map_drive (bnc#446824, bnc#444469).
-------------------------------------------------------------------
Fri Nov 21 11:16:25 CET 2008 - jbeulich@novell.com
- patches.xen/xen3-x86-vmware-tsc-03-detect-from-hypervisor:
Fix Xen pv driver build.
-------------------------------------------------------------------
Fri Nov 21 10:44:43 CET 2008 - olh@suse.de
- patches.arch/ppc-spufs-01-use-inc_nlink.patch: use inc_nlink
- patches.arch/ppc-spufs-02-add-a-missing-mutex_unlock.patch
- patches.arch/ppc-spufs-03-sputrace-Only-enable-logging-on-open.patch
- patches.arch/ppc-spufs-04-sputrace-Don-t-block-until-the-read.patch
- patches.arch/ppc-spufs-05-Use-state_mutex-for-switch_log-lockin.patch
- patches.arch/ppc-spufs-06-Don-t-require-full-buffer-in-switch_l.patch
- patches.arch/ppc-spufs-07-Don-t-spu_acquire_saved-unnecessarily.patch
- patches.arch/ppc-spufs-08-Use-kmalloc-rather-than-kzalloc-for-s.patch
- patches.arch/ppc-spufs-09-Improve-search-of-node-for-contexts-w.patch
- patches.arch/ppc-spufs-10-Explain-conditional-decrement-of-aff_.patch
- patches.arch/ppc-spufs-11-Fix-spinning-in-spufs_ps_fault-on-sig.patch
update spufs to current mainline state (bnc#447133 - LTC50070)
-------------------------------------------------------------------
Fri Nov 21 09:52:19 CET 2008 - tiwai@suse.de
- patches.drivers/parport-serial-array-overflow-fix: Fix array
overflow in parport_serial.c (bnc#447067).
-------------------------------------------------------------------
Fri Nov 21 09:48:06 CET 2008 - tiwai@suse.de
- patches.drivers/alsa-hda-dell-studio-15-quirk: ALSA: hda -
Add a quirk for Dell Studio 15 (bnc#446025).
- patches.drivers/alsa-hda-stac-dell-m4-3-quirk: ALSA: hda:
Add STAC_DELL_M4_3 quirk (bnc#446025).
-------------------------------------------------------------------
Fri Nov 21 08:03:16 CET 2008 - npiggin@suse.de
- patches.suse/silent-stack-overflow-2.patch: avoid silent stack
overflow over the heap. Try again.
-------------------------------------------------------------------
Fri Nov 21 06:52:04 CET 2008 - gregkh@suse.de
- Refresh patches to apply cleanly after 2.6.27.7 update.
-------------------------------------------------------------------
Fri Nov 21 06:27:23 CET 2008 - gregkh@suse.de
- Update to 2.6.27.7
- lots of bugfixes and security updates.
- obsoletes:
- patches.arch/s390-04-05-topology-lock.diff
- patches.drivers/bnx2x-zero-PMF
- patches.drivers/input-alps-add-signature-for-dualpoint-found-in-dell-latitude-e6500.patch
- patches.fixes/cdc-acm.c-fix-recursive-lock-in-acm_start_wb-error-path.patch
- patches.fixes/sony_laptop_fix_suspend.patch
- patches.kernel.org/iwlagn-avoid-sleep-in-softirq-context.patch
- patches.kernel.org/memory-hotplug-fix-page_zone-calculation-in-test_pages_isolated.patch
- patches.kernel.org/touch_mnt_namespace-when-the-mount-flags-change.patch:
-------------------------------------------------------------------
Fri Nov 21 05:19:43 CET 2008 - teheo@suse.de
- patches.drivers/libata-ata_piix-clear-spurious-IRQ: ata_piix:
detect and clear spurious IRQs (bnc#445872).
-------------------------------------------------------------------
Fri Nov 21 02:18:19 CET 2008 - npiggin@suse.de
- patches.fixes/mm-madvise-fix.patch: mm: madvise correct return
code (bnc#352998).
-------------------------------------------------------------------
Thu Nov 20 22:31:36 CET 2008 - jack@suse.cz
- patches.suse/ocfs2-Change-quotafile-names.patch: ocfs2: Change
file names of local quota files to be consistent (fate#302681).
- patches.suse/ocfs2-Fix-hang-in-quota-recovery-code.patch:
ocfs2: Fix hang in quota recovery code (fate#302681).
- patches.suse/ocfs2-Fix-oops-when-one-quotatype-enabled: ocfs2:
Fix oops when only usrquota or grpquota feature is enabled
(fate#302681).
-------------------------------------------------------------------
Thu Nov 20 21:41:03 CET 2008 - garloff@suse.de
- patches.suse/panic-on-io-nmi.diff
patches.xen/panic-on-io-nmi-xen.diff:
New kernel sysctl panic-on-io-nmi, which is set will cause a
kernel panic on receiving an IOCK NMI (bnc#427979).
-------------------------------------------------------------------
Thu Nov 20 18:39:16 CET 2008 - jjolly@suse.de
- patches.arch/s390-04-06-cio-sac-update.diff: cio: update sac
values (bnc#445100).
- patches.arch/s390-04-07-als.patch: kernel: Add processor type
march=z10 and a processor type safety check. (bnc#445100).
-------------------------------------------------------------------
Thu Nov 20 17:23:46 CET 2008 - tiwai@suse.de
- patches.drivers/alsa-hda-stac-hp-gpio-switch-fix: ALSA: hda:
STAC_DELL_M6 EAPD (bnc#446025).
-------------------------------------------------------------------
Thu Nov 20 17:15:31 CET 2008 - jbeulich@novell.com
- Update Xen patches to 2.6.27.6 and c/s 724.
- Update Xen config files.
- patches.xen/xen-fb-bad-irq: xenfb: don't use irq before it
gets set up (bnc#445659).
- patches.xen/xen-netback-notify-multi: netback: use multicall
for send multiple notifications.
- patches.xen/xen-netback-nr-irqs: netback: reduce overhead of
IRQ recording.
-------------------------------------------------------------------
Thu Nov 20 16:52:46 CET 2008 - kkeil@suse.de
- patches.drivers/igb-pf.patch: removed (no SR-IOV) (bnc#440614)
-------------------------------------------------------------------
Thu Nov 20 15:40:38 CET 2008 - olh@suse.de
- disable patches.arch/ppc-efika-bestcomm-ata-dma.patch
crashes the sound driver
-------------------------------------------------------------------
Thu Nov 20 15:30:40 CET 2008 - jjolly@suse.de
- rpm/kernel-binary.spec.in: excluded vanilla build from creating
the man package
-------------------------------------------------------------------
Thu Nov 20 15:13:41 CET 2008 - hare@suse.de
- patches.drivers/ibmvfc-async-events-oops: ibmvfc oops while
processing async events (bnc#445541).
- patches.drivers/mpt-fusion-4.16.00.00-update: MPT fusion driver
update to 4.16.00.00 (bnc#425660).
- patches.fixes/dm-mpath-NULL-pgpath-in-activate_path: Do not
call activate_path() if pgpath is NULL (bnc#442676).
- patches.fixes/scsi-dh-rdac-initialize-passive-path: Initialize
path state to be passive when path is not owned (bnc#442676).
- patches.suse/dm-mpath-leastpending-path: Dynamic load balancing
policy for device mapper multipath (bnc#444199).
-------------------------------------------------------------------
Thu Nov 20 14:12:23 CET 2008 - olh@suse.de
- patches.fixes/bug-437171_1_sched_clock_lock.patch: sched: only
update rq->clock while holding rq->lock (437171 - LTC47404).
- patches.fixes/bug-437171_2_sched_delta_weight.patch: sched:
revert back to per-rq vruntime (437171 - LTC47404).
- patches.fixes/bug-437171_3_rework_wakeup_preemption.patch:
sched: rework wakeup preemption (437171 - LTC47404).
- patches.fixes/bug-437171_4_sched_reinstate_vruntime_wakeup.patch:
sched: re-instate vruntime based wakeup preemption (437171 -
LTC47404).
-------------------------------------------------------------------
Thu Nov 20 13:47:33 CET 2008 - trenn@suse.de
- Update config files.
Fixed debug build, added dependent config option.
-------------------------------------------------------------------
Thu Nov 20 13:27:57 CET 2008 - trenn@suse.de
- Update config files.
-> unified i386/x86_64 debug kernels:
- added CONFIG_NO_HZ=y to i386-debug
- added CONFIG_DEBUG_INFO to x86_64-debug
Differ method to receive processor_id depending whether the processor
got declared as a regular ACPI device or as a processor object:
- patches.arch/acpi_behave_uniquely_based_on_processor_declaration.patch:
ACPI: Behave uniquely based on processor declaration definition
type (bnc#440062).
- patches.arch/acpi_disambiguate_processor_declaration_type.patch:
ACPI: Disambiguate processor declaration type (bnc#440062).
- patches.arch/acpi_processor_cleanups.patch: ACPI: 80 column
adherence and spelling fix (no functional change) (bnc#440062).
- patches.xen/xen3-auto-common.diff: xen3 common.
Fix missing bit for ThinkPad brightness switching:
- patches.arch/acpi_video_thinkpad_exclude_IGD_devices.patch:
Do not use video backlight switching for Lenovo ThinkPads.
- patches.fixes/sony_laptop_fix_suspend.patch: sony-laptop:
Ignore missing _DIS method on pic device (bnc#446487).
-------------------------------------------------------------------
Thu Nov 20 12:01:24 CET 2008 - hare@suse.de
- patches.fixes/dm-mpath-reattach-dh: Do not detach hardware
handler when removing multipath maps (bnc#435688).
- patches.fixes/scsi-dh-alua-send-stpg: Always send STPG for
explicit tgps mode.
-------------------------------------------------------------------
Thu Nov 20 10:35:32 CET 2008 - jjolly@suse.de
- rpm/kernel-binary.spec.in: Added kernel man package for s390x
-------------------------------------------------------------------
Thu Nov 20 10:26:01 CET 2008 - olh@suse.de
- patches.arch/ppc-of-irq-map.patch: fix IRQ assignment if
interrupts property is missing (bnc#446610 - LTC50006)
-------------------------------------------------------------------
Thu Nov 20 00:20:44 CET 2008 - rjw@suse.de
- patches.fixes/acpi-set-SCI_EN-on-MacBook.patch: ACPI suspend:
Blacklist boxes that require us to set SCI_EN directly on resume
(bnc#444786).
-------------------------------------------------------------------
Wed Nov 19 17:50:51 CET 2008 - tiwai@suse.de
- patches.arch/x86-hpet-pre-read: x86: workaround for mccreary
HPET read problem (bnc#433746).
-------------------------------------------------------------------
Wed Nov 19 17:28:00 CET 2008 - trenn@suse.de
- patches.arch/0008-sony-laptop-fingers-off-backlight.patch:
sony-laptop: fingers off backlight if video.ko is serving
this functionality.
-> Fix typo, must not invert logic at this point
-------------------------------------------------------------------
Wed Nov 19 14:43:33 CET 2008 - kkeil@suse.de
- patches.drivers/e1000e_add_ECC: e1000e: enable ECC (bnc#445829)
-------------------------------------------------------------------
Wed Nov 19 13:54:44 CET 2008 - mmarek@suse.cz
- scripts/tar-up.sh: record the git branch name in the spec files
(no branch name means master)
-------------------------------------------------------------------
Wed Nov 19 12:05:35 CET 2008 - jkosina@suse.de
- patches.drivers/elousb.patch: fix values of maximum X and Y
coordinates so that they are compliant with the values that
the device contains in its descriptor (bnc#442865)
-------------------------------------------------------------------
Wed Nov 19 09:58:11 CET 2008 - goldwyn@suse.de
- patches.suse/novfs-merge-changes.diff: Merge changes left out
during code pull (bnc#445000).
-------------------------------------------------------------------
Wed Nov 19 09:03:46 CET 2008 - olh@suse.de
- patches.arch/ppc-pseries-bsr-multinode.patch: Add support for
multiple BSR nodes in the device tree. (bnc#443665 - LTC49817)
-------------------------------------------------------------------
Wed Nov 19 08:59:11 CET 2008 - olh@suse.de
- patches.arch/ppc-pseries-cmm-pagecounter.patch:
Update page in counter for CMM (bnc#445540 - LTC49942)
-------------------------------------------------------------------
Wed Nov 19 08:49:16 CET 2008 - olh@suse.de
- patches.arch/ppc-pseries-bsr-4k.patch: Unable to Use Small
BSR register on Power LPAR (bnc#443673 - LTC49749)
-------------------------------------------------------------------
Wed Nov 19 05:40:58 CET 2008 - jjolly@suse.de
- config/s390/s390: Update config files: added CONFIG_UTRACE=y and
CONFIG_HAVE_ARCH_TRACEHOOK=y
-------------------------------------------------------------------
Wed Nov 19 01:13:34 CET 2008 - jjolly@suse.de
- patches.drivers/ehca-fix-possible-nullpointer-access-v2.patch:
ehca: fix a possible nullpointer access (bnc#441966).
-------------------------------------------------------------------
Wed Nov 19 00:50:27 CET 2008 - jjolly@suse.de
- patches.arch/ppc-axon-missing-msi-workaround-5.diff:
powerpc/cell/axon-msi: retry on missing interrupt (bnc#445964).
-------------------------------------------------------------------
Wed Nov 19 00:32:26 CET 2008 - jjolly@suse.de
- patches.drivers/0001-IB-ehca-Fix-problem-with-max-number-of-QPs-and-CQs.patch:
Fix problem with max number of QPs and CQs (bnc#441619).
-------------------------------------------------------------------
Tue Nov 18 20:28:57 CET 2008 - bwalle@suse.de
- Disable CONFIG_STRICT_DEVMEM for i386 and x86_64 (bnc#443852).
-------------------------------------------------------------------
Tue Nov 18 18:56:51 CET 2008 - jjolly@suse.de
- Update config files.
- patches.trace/s390-syscall-get-nr.diff: fix syscall_get_nr..
- patches.trace/s390-utrace-enablement.patch: Backport s390
kernel components required for utrace enablement.
-------------------------------------------------------------------
Tue Nov 18 16:53:43 CET 2008 - jblunck@suse.de
- rpm/kernel-binary.spec.in: on s390(x) call dwarfextract to create the
Kerntypes file for use with old lcrash debuggers.
-------------------------------------------------------------------
Tue Nov 18 15:41:37 CET 2008 - tiwai@suse.de
- patches.drivers/alsa-hda-stac-92hd71bxx-gpio-fix: ALSA:
hda - Fix GPIO initialization in patch_stac92hd71bxx()
(bnc#445321,bnc#445161).
- patches.drivers/alsa-hda-stac-gpio-unsol-resume-fix:
ALSA: hda - Fix resume of GPIO unsol event for STAC/IDT
(bnc#445321,bnc#445161).
- patches.drivers/alsa-hda-stac-hp-pavilion-quirks: ALSA: hda -
Add quirks for HP Pavilion DV models (bnc#445321,bnc#445161).
-------------------------------------------------------------------
Tue Nov 18 12:18:27 CET 2008 - tiwai@suse.de
- patches.arch/x86-hpet-use-WARN_ON_ONCE: x86: HPET: convert
WARN_ON to WARN_ON_ONCE (bnc#433746).
-------------------------------------------------------------------
Tue Nov 18 10:45:31 CET 2008 - sdietrich@suse.de
RT development has moved to slert-devel branch.
- Remove RT patches from master branch.
(itemized RT patch list suppressed)
-------------------------------------------------------------------
Tue Nov 18 09:35:26 CET 2008 - olh@suse.de
- patches.arch/ppc-efika-bestcomm-ata-dma.patch: use ATA DMA (bnc#445856)
-------------------------------------------------------------------
Tue Nov 18 08:38:39 CET 2008 - olh@suse.de
- config/ppc/ppc64: reenable 64k PAGE_SIZE to keep the config
flavor on ppc and ppc64 in sync
the last change had also no bug number to fix the possible bug
in the Xserver.
-------------------------------------------------------------------
Tue Nov 18 08:37:54 CET 2008 - tiwai@suse.de
- patches.drivers/alsa-hda-realtek-acer-dmic: ALSA: hda - Split
ALC268 acer model (bnc#420048).
-------------------------------------------------------------------
Tue Nov 18 08:20:26 CET 2008 - jjolly@suse.de
- patches.arch/s390-04-01-qdio_prevent_double_shutdown.patch:
qdio: prevent double qdio shutdown in case of I/O
errors. (bnc#445100).
- patches.arch/s390-04-02-qdio-osa-port-count.patch: qdio:
fix qeth port count detection. (bnc#445100).
- patches.arch/s390-04-03-kmsg.patch: kmsg: do not change pr_xyz
messages without KMSG_COMPONENT (bnc#445100).
- patches.arch/s390-04-04-dasd_fatal_error_log_sense.patch:
dasd: log sense for fatal errors. (bnc#445100).
- patches.arch/s390-04-05-topology-lock.diff: kernel: Fix locking
in cpu topology code. (bnc#445100).
-------------------------------------------------------------------
Tue Nov 18 03:43:34 CET 2008 - jjolly@suse.de
- patches.arch/s390-personality-mask.patch: fix s390x_newuname.
-------------------------------------------------------------------
Tue Nov 18 01:04:14 CET 2008 - gregkh@suse.de
- patches.drivers/staging-rt2860-enable-wpa_supplicant-support.patch:
Staging: rt2860: enable WPA_SUPPLICANT support (bnc#437959).
-------------------------------------------------------------------
Mon Nov 17 16:35:13 CET 2008 - hare@suse.de
- patches.drivers/lpfc-8.2.8.7-update: Update lpfc to 8.2.8.7
(bnc#420767).
- patches.drivers/qla4xxx-5.01.00-k8_sles11-03-update: Update
qla4xxx to 5.01.00-k8_sles11-03 (bnc#444884).
- patches.fixes/dm-mpath-reattach-dh: Reattach device handler
for multipath devices (bnc#435688).
- patches.fixes/scsi-add-tgps-setting: Add TGPS setting to
scsi devices.
- patches.fixes/scsi-dh-alua-retry-UA: Retry ALUA device handler
initialization on Unit Attention.
-------------------------------------------------------------------
Mon Nov 17 16:16:53 CET 2008 - jeffm@suse.de
- patches.fixes/v4l-dvb-avoid-writing-outside-array: V4L/DVB
(9621): Avoid writing outside shadow.bytes array (bnc#445569).
-------------------------------------------------------------------
Mon Nov 17 12:03:13 CET 2008 - fseidel@suse.de
- patches.fixes/ipw2200-send-noassoc.patch: ipw2200: fix oops
in ipw_tx_skb (bnc#397390).
-------------------------------------------------------------------
Sat Nov 15 20:28:00 CET 2008 - rjw@suse.com
- patches.fixes/hibernate-x86-fix-breakage-on-x86_32-with-PAE.patch:
x86: Hibernate: Fix breakage on x86_32 with CONFIG_NUMA set
(bnc#439126).
-------------------------------------------------------------------
Sat Nov 15 19:38:50 CET 2008 - tiwai@suse.de
- patches.drivers/alsa-hda-sigmatel-hp-m4-check-fix: ALSA:
hda - Check model type instead of SSID in patch_92hd71bxx()
(bnc#444349).
- patches.drivers/alsa-hda-sigmatel-vref-event-fix: ALSA: hda:
STAC_VREF_EVENT value change (bnc#444349).
-------------------------------------------------------------------
Sat Nov 15 01:30:22 CET 2008 - gregkh@suse.de
- patches.kernel.org/memory-hotplug-fix-page_zone-calculation-in-test_pages_isolated.patch:
memory hotplug: fix page_zone() calculation in
test_pages_isolated() (bnc#445163).
-------------------------------------------------------------------
Fri Nov 14 19:18:53 CET 2008 - gregkh@suse.de
- patches.drivers/input-alps-add-signature-for-dualpoint-found-in-dell-latitude-e6500.patch:
Input: ALPS - add signature for DualPoint found in Dell Latitude
E6500 (bnc#436719).
-------------------------------------------------------------------
Fri Nov 14 16:39:03 CET 2008 - hare@suse.de
- patches.fixes/scsi-eh-timed-out-missing-braces: scsi_error:
fix indentation and braces disagreement - add braces.
- patches.fixes/scsi-retry-TASK_ABORTED: scsi_error: TASK ABORTED
status handling improvement.
- patches.fixes/scsi-retry-transport-error: scsi_error regression:
Fix idempotent command handling.
-------------------------------------------------------------------
Fri Nov 14 16:38:14 CET 2008 - hare@suse.de
- patches.fixes/scsi-eh-timed-out-missing-braces: scsi_error:
fix indentation and braces disagreement - add braces.
- patches.fixes/scsi-retry-TASK_ABORTED: scsi_error: TASK ABORTED
status handling improvement.
- patches.fixes/scsi-retry-transport-error: scsi_error regression:
Fix idempotent command handling.
-------------------------------------------------------------------
Fri Nov 14 15:44:54 CET 2008 - bwalle@suse.de
- patches.fixes/hpwdt-execute-page.diff:
[WATCHDOG] [hpwdt] Set the mapped BIOS address space as
executable (bnc#430680).
-------------------------------------------------------------------
Fri Nov 14 13:03:27 CET 2008 - tiwai@suse.de
- patches.arch/x86-vmware-tsc-01-add-TSC_RELIABLE,
patches.arch/x86-vmware-tsc-02-add-X86_FEATURE_HYPERVISOR,
patches.arch/x86-vmware-tsc-03-detect-from-hypervisor,
patches.arch/x86-vmware-tsc-04-use-TSC_RELIABLE,
patches.arch/x86-vmware-tsc-05-skip-tsc-clocksource,
patches.arch/x86-vmware-tsc-06-fix-vmware_get_tsc,
patches.arch/x86-vmware-tsc-07-DMI-product-serial-key,
patches.xen/xen-x86-vmware-tsc-fix:
VMware tsc clocksource workaround (bnc#441338).
-------------------------------------------------------------------
Fri Nov 14 08:57:30 CET 2008 - tiwai@suse.de
- Fixed a typo in definition of X86_FEATURE_XTOPOLOGY (bnc#443293)
in patches.arch/x2APIC_PATCH_40_of_41_bbb65d2d365efe9951290e61678dcf81ec60add4
-------------------------------------------------------------------
Fri Nov 14 00:56:42 CET 2008 - agruen@suse.de
- Update CONFIG_X86_RESERVE_LOW_64K in config/x86_64/maxcpus as
well.
- patches.suse/bug-425240_nr_cpus-mem_cgroup_stat-fix.diff:
rename to patches.suse/mem_cgroup_stat-dynamic-alloc, and update
to newer version.
-------------------------------------------------------------------
Fri Nov 14 00:12:15 CET 2008 - bwalle@suse.de
- patches.fixes/kdump-x86-sparsemem.diff: x86, kdump: fix invalid
access on i386 sparsemem (bnc#440525).
- patches.xen/linux-2.6.19-rc1-kexec-move_segment_code-i386.patch:
Refresh.
-------------------------------------------------------------------
Thu Nov 13 23:34:27 CET 2008 - gregkh@suse.de
- patches.kernel.org/iwlagn-avoid-sleep-in-softirq-context.patch:
iwlagn: avoid sleep in softirq context (bnc#444382).
-------------------------------------------------------------------
Thu Nov 13 23:17:34 CET 2008 - philips@suse.de
- patches.fixes/cdc-acm.c-fix-recursive-lock-in-acm_start_wb-error-path.patch:
cdc-acm.c: fix recursive lock in acm_start_wb error path.
-------------------------------------------------------------------
Thu Nov 13 21:46:05 CET 2008 - gregkh@suse.de
- enable CONFIG_X86_RESERVE_LOW_64K for i386 and x86-64 vanilla
configs.
-------------------------------------------------------------------
Thu Nov 13 21:05:10 CET 2008 - gregkh@suse.de
- enable CONFIG_X86_RESERVE_LOW_64K on i386 and x86-64 to fix some
suspend/resume issues (option added in 2.6.27.6)
-------------------------------------------------------------------
Thu Nov 13 20:51:11 CET 2008 - gregkh@suse.de
- refresh patches so that everything applies cleanly.
-------------------------------------------------------------------
Thu Nov 13 20:33:40 CET 2008 - gregkh@suse.de
- Update to 2.6.27.6:
- fixes some security issues
- lots of bugfixes
- obsoletes:
- patches.arch/acpi-dock-avoid-check-_STA-method.patch:
- patches.arch/ppc-gigantic-page-fix2.patch:
- patches.arch/ppc-gigantic-page-fix3.patch:
- patches.drivers/alsa-hda-dell-eq-option:
- patches.drivers/cciss-fix-procfs-firmware-regression.patch:
- patches.drivers/cciss-fix-sysfs-symlink.patch:
- patches.drivers/libata-fix-last_reset-timestamp-handling:
- patches.kernel.org/md-raid10-recovoery-fix.path:
-------------------------------------------------------------------
Thu Nov 13 19:15:59 CET 2008 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: package modules.order (bnc#441384)
-------------------------------------------------------------------
Thu Nov 13 15:18:51 CET 2008 - agruen@suse.de
- config.conf: Add x86_64/maxcpus as the 4096-cpu configuration
and switch back to at most 128 cpus in the other configs. This
avoids wasting memory on all but the most extreme x86_64
systems.
- rpm/kernel-binary.spec.in: define a kernel-$flavor symbol to
allow per-flavor patches. (Use this for testing only!)
- patches.suse/bug-425240_nr_cpus-mem_cgroup_stat-fix.diff: Add
this patch conditionally for x86_64/maxcpus for now pending
test results from bnc#425240.
-------------------------------------------------------------------
Thu Nov 13 14:35:52 CET 2008 - tiwai@suse.de
- patches.drivers/alsa-hda-beep-dig-switch: ALSA: hda - Add
digital beep playback switch for STAC/IDT codecs (#444572).
- patches.drivers/alsa-hda-beep-null-check-fix: ALSA: hda -
Missing NULL check in hda_beep.c.
-------------------------------------------------------------------
Thu Nov 13 11:58:05 CET 2008 - hare@suse.de
- patches.fixes/scsi-skip-nonscsi-device-for-dma: fix to
make it work with iSCSI (bnc#444234)
-------------------------------------------------------------------
Thu Nov 13 09:29:41 CET 2008 - olh@suse.de
- mark some powerpc device drivers as supported to move them out of
kernel-extra, otherwise installation-images will not pick them up
- ps3vram, mtd, mtdblock, mtd_blkdevs (bnc#444220)
- PowerMac, Efika and Pegasos2 storage and network drivers
-------------------------------------------------------------------
Wed Nov 12 20:44:23 CET 2008 - bwalle@suse.de
- patches.fixes/firmware-memmap-64bit.diff: Always use 64 bit
addresses for the firmware memory map.
-------------------------------------------------------------------
Wed Nov 12 16:29:10 CET 2008 - tiwai@suse.de
- patches.drivers/alsa-powermac-ibook-g4-mic-fix: ALSA: powermac -
Rename mic-analog loopback mixer element (bnc#444194).
-------------------------------------------------------------------
Wed Nov 12 16:23:48 CET 2008 - tiwai@suse.de
- patches.drivers/alsa-hda-stac-hp-detect-fix: ALSA: hda -
Fix IDT/STAC multiple HP detection (bnc#443267).
-------------------------------------------------------------------
Wed Nov 12 14:42:53 CET 2008 - agruen@suse.de
- patches.suse/module-ref-dynamic-alloc: fall back to vmalloc if
kmalloc fails (bnc#425240).
-------------------------------------------------------------------
Wed Nov 12 00:11:57 CET 2008 - tiwai@suse.de
- patches.drivers/alsa-hda-stac9200-missing-mux-capture: ALSA:
hda - Add missing analog-mux mixer creation for STAC9200
(bnc#443738).
- patches.drivers/alsa-hda-stac92xx-mic-pin-fix: ALSA: hda -
Fix input pin initialization for STAC/IDT codecs (bnc#443738).
-------------------------------------------------------------------
Tue Nov 11 23:07:25 CET 2008 - philips@suse.de
- rpm/kernel-binary.spec.in: remove Recommends: kerneloops, opt-in will
be implemented in the 11.2 installer
-------------------------------------------------------------------
Tue Nov 11 22:07:38 CET 2008 - jeffm@suse.de
- patches.fixes/reiserfs-error-buffer-locking: reiserfs: add
locking around error buffer.
- patches.fixes/reiserfs-varargs-fix: reiserfs: prepare_error_buf
wrongly consumes va_arg.
-------------------------------------------------------------------
Tue Nov 11 20:49:11 CET 2008 - tiwai@suse.de
- patches.suse/module-ref-dynamic-alloc: Allocate module.ref
array dynamically (bnc#425240).
- Update config files: back to NR_CPUS=4096
-------------------------------------------------------------------
Tue Nov 11 15:15:03 CET 2008 - jblunck@suse.de
- Update config files: Set NR_CPUS on x86_64 back to 128 again for
now: with NR_CPUS=4096, the size of eack .ko file increases by
496 KiB because of the static struct module in
.gnu.linkonce.this_module.
-------------------------------------------------------------------
Tue Nov 11 13:07:51 CET 2008 - schwab@suse.de
- patches.arch/ppc-select: Fix wrong error code from ppc32 select.
-------------------------------------------------------------------
Tue Nov 11 12:21:50 CET 2008 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: also encode the architecture into the
packageand supplements.
-------------------------------------------------------------------
Tue Nov 11 11:24:33 CET 2008 - teheo@suse.de
- patches.drivers/libata-fix-ata_tf_read_block-overflow: libata:
Avoid overflow in ata_tf_read_block() when tf->hba_lbal > 127
(bnc#443661).
- patches.drivers/libata-fix-ata_tf_to_lba48-overflow: libata:
Avoid overflow in ata_tf_to_lba48() when tf->hba_lbal > 127
(bnc#443661).
-------------------------------------------------------------------
Tue Nov 11 11:24:04 CET 2008 - teheo@suse.de
- patches.drivers/libata-pata_sch-slave-poss: pata_sch: slave
devices (bnc#443657).
-------------------------------------------------------------------
Mon Nov 10 22:15:05 CET 2008 - schwab@suse.de
- config/ppc/ppc64: Disable 64k pages to work around X server bug.
-------------------------------------------------------------------
Mon Nov 10 20:34:50 CET 2008 - olh@suse.de
- rpm/kernel-binary.spec.in: fix variable assignment in last change
-------------------------------------------------------------------
Mon Nov 10 15:34:45 CET 2008 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: encode the architecture into
subpackage dependencies (bnc#440961)
-------------------------------------------------------------------
Mon Nov 10 14:42:44 CET 2008 - olh@suse.de
- handle arch differences for cpu and kbuild correctly
-------------------------------------------------------------------
Mon Nov 10 14:06:03 CET 2008 - schwab@suse.de
- patches.arch/ia64-page-migration. patches.arch/mm-avoid-bad-page-on-lru:
migrade pages off of pages with correctable errors.
-------------------------------------------------------------------
Mon Nov 10 11:48:42 CET 2008 - mmarek@suse.cz
- scripts/tar-up.sh: do not generate kernel-source-rt.spec and
kernel-syms-rt.spec if RT is not enabled.
-------------------------------------------------------------------
Mon Nov 10 10:08:10 CET 2008 - sdietrich@suse.de
- config.conf: Suppress RT configurations.
-------------------------------------------------------------------
Sun Nov 9 16:11:43 CET 2008 - jeffm@suse.de
- config.conf: Removed previous workaround.
- rpm/kernel-binary.spec.in: Remove @FLAVOR@ from %symbols.
-------------------------------------------------------------------
Sat Nov 8 04:58:08 CET 2008 - jeffm@suse.de
- config.conf: Workaround for kernel-ppc64 on head-ppc.
-------------------------------------------------------------------
Sat Nov 8 00:56:12 CET 2008 - gregkh@suse.de
- Update to the "real" 2.6.27.5. No code changes from what we had
in our tree previously, with the exception for the version number
change.
-------------------------------------------------------------------
Fri Nov 7 19:33:49 CET 2008 - bwalle@suse.de
- patches.fixes/kdb-read-CR.diff:
Support '\n' in KDB (bnc#442808).
-------------------------------------------------------------------
Fri Nov 7 17:09:26 CET 2008 - gregkh@suse.de
- disable perfmon support in the -trace kernels as it doesn't build
properly.
-------------------------------------------------------------------
Fri Nov 7 16:43:40 CET 2008 - schwab@suse.de
- patches.arch/compat-sys-swapcontext: Fix msr check in sys_swapcontext.
-------------------------------------------------------------------
Fri Nov 7 15:52:37 CET 2008 - hare@suse.de
- patches.drivers/ixgbe-add-bcna-support: DCB: Add BCNA support
to ixgbe.
- patches.drivers/open-fcoe-beta3-update: Open-FCoE update for
Beta3 (bnc#438954).
- patches.drivers/open-fcoe-beta4-update: Open-FCoE: Update for
Beta4 (bnc#438954).
- patches.drivers/open-fcoe-beta5-update: Open-FCoE: Update for
Beta5 (bnc#438954).
- patches.fixes/dcb-fix-setpfcstate: Fix setpfcstate (bnc#438954).
- patches.fixes/dcb-setting-pg-will-cause-tx-hang: DCB: setting
pg will cause tx unit hangs (bnc#438954).
- patches.fixes/multiq-requeue-should-rewind-current_band:
multiq: requeue should rewind the current_band (bnc#438954).
- patches.fixes/scsi-dh-rdac-retry-mode-select: Retry mode select
in RDAC device handler (bnc#441337).
- patches.fixes/scsi-dh-rdac-set-default-ownership: scsi_dh_rdac:
make sure the ownership is set correctly (bnc#441337).
- patches.fixes/scsi-skip-nonscsi-device-for-dma: Update patch
to check for ->bus instead of ->type (bnc#431294).
-------------------------------------------------------------------
Fri Nov 7 15:02:14 CET 2008 - tiwai@suse.de
- patches.drivers/alsa-hda-dell-studio-probe-fix: ALSA: hda -
Fix probe errors on Dell Studio Desktop (bnc#440907).
- patches.drivers/alsa-hda-hp-3013-master-fix: ALSA: hda -
Fix ALC260 hp3013 master switch (bnc#441068).
-------------------------------------------------------------------
Fri Nov 7 14:52:40 CET 2008 - jjolly@suse.de
- patches.arch/s390-03-07-qeth_hsi_mcl_string.patch:
qeth: pre z9 systems return HiperSocket version string
different. (bnc#440610,LTC#49052).
- patches.arch/s390-03-08-zfcp-abort-race.patch: zfcp: eliminate
race between validation and locking. (bnc#440610).
- patches.arch/s390-03-09-zfcp-oops-during-target-scan.patch:
zfcp: prevent SCSI target scan for vanished rport
(bnc#440610,LTC#49373).
-------------------------------------------------------------------
Fri Nov 7 14:38:40 CET 2008 - olh@suse.de
- Update config files for RT
-------------------------------------------------------------------
Fri Nov 7 14:34:08 CET 2008 - olh@suse.de
- build a kernel-default in dist ppc64, its identical to kernel-ppc64
otherwise unused
-------------------------------------------------------------------
Fri Nov 7 14:03:12 CET 2008 - olh@suse.de
- rpm/kernel-source.spec.in: map ppc/ppc64 to powerpc
-------------------------------------------------------------------
Fri Nov 7 11:38:01 CET 2008 - kkeil@suse.de
- patches.drivers/igb-pf.patch: VF enabled igb driver (fate#305004)
-------------------------------------------------------------------
Fri Nov 7 11:36:48 CET 2008 - olh@suse.de
- stop building kernel-default and kernel-ps3 in dist ppc64,
they are openSuSE only packages
-------------------------------------------------------------------
Fri Nov 7 11:13:35 CET 2008 - olh@suse.de
- rpm/kernel-binary.spec.in: extracting debuginfo from vdso fails
on powerpc, disable vdso_install for the time being
-------------------------------------------------------------------
Fri Nov 7 10:48:07 CET 2008 - jbeulich@novell.com
- patches.xen/xen3-intel-ibex-peak-device-ids.patch: x86/PCI: irq
and pci_ids patch for Intel Ibex Peak DeviceIDs (bnc#415383).
-------------------------------------------------------------------
Fri Nov 7 10:24:22 CET 2008 - olh@suse.de
- rpm/post.sh: reject legacy iSeries again
-------------------------------------------------------------------
Fri Nov 7 10:12:06 CET 2008 - olh@suse.de
- build a 32bit and a 64bit vanilla kernel on powerpc
-------------------------------------------------------------------
Fri Nov 7 08:51:07 CET 2008 - olh@suse.de
- rpm/kernel-binary.spec.in: no vdso in vanilla and ps3 kernel
-------------------------------------------------------------------
Fri Nov 7 08:26:35 CET 2008 - gregkh@suse.de
- patches.kernel.org/net-fix-recursive-descent-in-__scm_destroy.patch:
net: Fix recursive descent in __scm_destroy()..
-------------------------------------------------------------------
Fri Nov 7 08:07:10 CET 2008 - olh@suse.de
- remove patches.arch/ppc64-rpanote-relocate-firmware.patch
older firmware versions can handle relocation properly (bnc#427960)
-------------------------------------------------------------------
Fri Nov 7 07:56:00 CET 2008 - jjolly@suse.de
- patches.drivers/cxgb3-get_drvinfo-deadlock.patch: RDMA/cxgb3:
deadlock in iw_cxgb3 can cause hang when configuring
interface. (bnc#430998).
-------------------------------------------------------------------
Fri Nov 7 06:32:54 CET 2008 - gregkh@suse.de
- patches.suse/perfmon2.patch: perfmon2 (bnc#430298).
-------------------------------------------------------------------
Fri Nov 7 05:21:56 CET 2008 - nfbrown@suse.de
- patches.fixes/nfs-write.c-bug-removal.patch: Revert "NFS:
Allow redirtying of a completed unstable write." (442267).
It causes a BUG().
-------------------------------------------------------------------
Fri Nov 7 04:18:42 CET 2008 - nfbrown@suse.de
- patches.kernel.org/md-raid10-recovoery-fix.path: md: fix bug
in raid10 recovery..
- patches.suse/md-notify-when-stopped: md: notify udev when an
md array is stopped..: Removed much of this patch as it turned out
to be both buggy and unnecessary (the ioctl can be done from
mdadm).
-------------------------------------------------------------------
Thu Nov 6 21:38:27 CET 2008 - kkeil@suse.de
- patches.drivers/ixgbe-sfp.patch: ixgbe driver update to add
Longcove (SFP+) NIC support for FCoE needs (bnc#442411)
-------------------------------------------------------------------
Thu Nov 6 20:15:19 CET 2008 - gregkh@suse.de
- enable CONFIG_X86_SUMMIT, CONFIG_X86_ES7000, and CONFIG_X86_BIGSMP
for the i386 default kernel, so that the installer can actually
boot on "modern" multiprocessor i386 boxes (bnc#428247)
-------------------------------------------------------------------
Thu Nov 6 19:17:46 CET 2008 - jblunck@suse.de
- rpm/kernel-binary.spec.in: Call make vdso_install only on x86 and ppc.
-------------------------------------------------------------------
Thu Nov 6 18:50:47 CET 2008 - jblunck@suse.de
- rpm/kernel-binary.spec.in: Call make vdso_install to install the
vdso shared objects for debugging.
-------------------------------------------------------------------
Thu Nov 6 17:31:25 CET 2008 - jjolly@suse.de
- patches.drivers/intel-ibex-peak-device-ids.patch: x86/PCI: irq
and pci_ids patch for Intel Ibex Peak DeviceIDs (bnc#415383).
- patches.drivers/intel-ibex-peak-device-support.patch: i2c-i801:
Add support for Intel Ibex Peak (bnc#415383).
-------------------------------------------------------------------
Thu Nov 6 16:11:54 CET 2008 - jjolly@suse.de
- patches.arch/s390-03-01-stp-init.patch: Fixed patch for build
- patches.arch/s390-03-04-qdio_multicast_performance.patch: dasd:
fix message flood for unsolicited interrupts (bnc#440610).
- patches.arch/s390-03-05-dasd-block-uevent.patch: dasd: DASD
uevents are not sent correctly (bnc#440610,LTC#49429).
- patches.arch/s390-03-06-zfcp-hexdump.patch: zfcp: fix hexdump
data in s390dbf traces (bnc#440610).
-------------------------------------------------------------------
Thu Nov 6 15:52:52 CET 2008 - jjolly@suse.de
- patches.arch/s390-03-01-stp-init.patch: kernel: Fix
initialization of stp. (bnc#440610,LTC#49639).
- patches.arch/s390-03-02-setup_memory.patch: kernel: Fix range
for add_active_range() in setup_memory() (bnc#440610,LTC#49639).
- patches.arch/s390-03-03-dasd_unsolicited_interrupt.patch:
dasd: fix message flood for unsolicited interrupts
(bnc#440610,LTC#49639).
-------------------------------------------------------------------
Thu Nov 6 15:16:13 CET 2008 - jjolly@suse.de
- patches.arch/s390-02-10-zfcp-scan-online.patch: wait for port
scan when setting FCP device online (bnc#434333).
-------------------------------------------------------------------
Thu Nov 6 12:11:01 CET 2008 - jbeulich@novell.com
- Update Xen patches to 2.6.27.4 and c/s 718.
- Update Xen config files.
- patches.arch/x86_sgi_cpus4096-05-update-send_IPI_mask.patch: Include
pv-ops-Xen changes here
- patches.xen/x86_sgi_xen-x86-cpus4096.patch: Delete.
- patches.xen/xen-configurable-guest-devices: allow number of
guest devices to be configurable.
- patches.xen/xen-cpufreq-report: make /proc/cpuinfo track
CPU speed.
- patches.xen/xen-ipi-per-cpu-irq: fold IPIs onto a single
IRQ each.
- patches.xen/xen-rtl2860-build: Rename to ...
- patches.xen/xen-rt2860-build: ... this.
- patches.xen/xen-sysdev-suspend: use base kernel suspend/resume
infrastructure.
- patches.xen/xen-virq-per-cpu-irq: fold per-CPU VIRQs onto a
single IRQ each.
- patches.xen/xen-x86-mmu-batching: Delete.
- patches.xen/xen3-patch-2.6.27.3-4: Linux 2.6.27.4.
- patches.xen/xen3-x2APIC_PATCH_20_of_41_cff73a6ffaed726780b001937d2a42efde553922:
x64, x2apic/intr-remap: introcude self IPI to genapic routines
(fate #303948 and fate #303984).
- patches.xen/xen3-x86_sgi_cpus4096-02-fix-send_call_func_ip.patch:
x86: reduce stack requirements for send_call_func_ipi
(bnc#425240 FATE304266).
- patches.xen/xen3-x86_sgi_cpus4096-05-update-send_IPI_mask.patch:
x86 cpumask: Updates to support NR_CPUS=4096 (bnc#425240
FATE304266).
-------------------------------------------------------------------
Thu Nov 6 11:54:52 CET 2008 - sassmann@suse.de
- patches.arch/ppc-ps3-introduce-ps3_gpu_mutex.patch: required for
new ps3vram patch
- patches.arch/ppc-ps3-ps3vram-mtd.patch: updated ps3vram patch
that works with firmware 2.50 (bnc#442227)
-------------------------------------------------------------------
Thu Nov 6 11:39:00 CET 2008 - olh@suse.de
- remove unneeded BuildRequires for dtc
-------------------------------------------------------------------
Thu Nov 6 06:36:15 CET 2008 - jjolly@suse.de
- patches.arch/s390-01-01-self-ptrace-v3.patch: Feature removed
(bnc#417299)
-------------------------------------------------------------------
Thu Nov 6 01:54:26 CET 2008 - gregkh@suse.de
- patches.suse/file-capabilities-add-no_file_caps-switch.patch:
file capabilities: add no_file_caps switch (v4).
add the patch back into the tree.
-------------------------------------------------------------------
Wed Nov 5 17:48:13 CET 2008 - jblunck@suse.de
- patches.suse/coredump_filter-add-elfhdr-default.patch: Dump
elf headers to core per default.
-------------------------------------------------------------------
Wed Nov 5 15:26:49 CET 2008 - teheo@suse.de
Fix !CONFIG_DMI case.
- patches.drivers/dmi-introduce-dmi_first_match: DMI:
Introduce dmi_first_match to make the interface more flexible
(bnc#441721).
-------------------------------------------------------------------
Wed Nov 5 14:51:08 CET 2008 - hare@suse.de
- patches.fixes/scsi-skip-nonscsi-device-for-dma: update patch
to skip all devices with no dma_parms (bnc#431294)
-------------------------------------------------------------------
Wed Nov 5 14:25:30 CET 2008 - agruen@suse.de
- rpm/kernel-module-subpackage: Remove the obsolete kernel-$flavor
dependency (bnc#440961).
-------------------------------------------------------------------
Wed Nov 5 14:15:24 CET 2008 - jjolly@suse.de
- patches.arch/s390-01-05-kmsg-v2.patch: Removed and replaced with
v3 of the patch from IBM (bnc#417300)
- patches.arch/s390-01-05-kmsg-v3.patch: Kernel message catalog
infrastucture and message generation (bnc#417300).
- patches.drivers/driver-core-basic-infrastructure-for-per-module-dynamic-debug-messages.patch:
Patch edited to allow new kmsg patch
-------------------------------------------------------------------
Wed Nov 5 13:36:02 CET 2008 - agruen@suse.de
- Update config files: set CONFIG_MODULE_FORCE_LOAD=y to allow
ignoring ABI mismatches. (This is still unsafe to do!)
-------------------------------------------------------------------
Wed Nov 5 12:02:18 CET 2008 - tiwai@suse.de
Backport fixes from 2.6.28-rc.
- patches.drivers/alsa-hda-acer-quirk: ALSA: hda - Add a quirk
for another Acer Aspire (1025:0090) (bnc#426935).
- patches.drivers/alsa-hda-alc888-medion-add: ALSA: hda - Add
a quirk for MEDION MD96630 (bnc#412548).
- patches.drivers/alsa-hda-dell-eq-option: ALSA: hda: make a
STAC_DELL_EQ option.
- patches.drivers/alsa-hda-proc-gpio-fix: ALSA: hda - Limit the
number of GPIOs show in proc.
-------------------------------------------------------------------
Wed Nov 5 09:03:23 CET 2008 - gregkh@suse.de
- refresh -rt patches to remove fuzz.
-------------------------------------------------------------------
Wed Nov 5 08:57:59 CET 2008 - teheo@suse.de
Backport two more device specific workarounds from 2.6.28-rc.
- patches.drivers/libata-add-and-use-HORKAGE_ATAPI_MOD16_DMA:
libata: implement ATA_HORKAGE_ATAPI_MOD16_DMA and apply it.
- patches.drivers/libata-whitelist-good-bridges: libata: add
whitelist for devices with known good pata-sata bridges.
-------------------------------------------------------------------
Wed Nov 5 08:57:08 CET 2008 - gregkh@suse.de
- refresh patches to remove fuzz
-------------------------------------------------------------------
Wed Nov 5 08:46:21 CET 2008 - teheo@suse.de
Backport double spin off workaround.
- patches.drivers/dmi-introduce-dmi_first_match: DMI:
Introduce dmi_first_match to make the interface more flexible
(bnc#441721).
- patches.drivers/libata-ahci-blacklist-double-spin-off: SATA
AHCI: Blacklist system that spins off disks during ACPI power
off (bnc#441721).
- patches.drivers/libata-ata_piix-blacklist-double-spin-off:
SATA PIIX: Blacklist system that spins off disks during ACPI
power off (bnc#441721).
- patches.drivers/libata-implement-NO_SPINDOWN: SATA: Blacklisting
of systems that spin off disks during ACPI power off (rev. 2)
(bnc#441721).
- patches.drivers/libata-sata_sil-blacklist-double-spin-off:
SATA Sil: Blacklist system that spins off disks during ACPI
power off (bnc#441721).
- patches.drivers/power-introduce-system_entering_hibernation:
Hibernation: Introduce system_entering_hibernation (bnc#441721).
-------------------------------------------------------------------
Wed Nov 5 08:29:02 CET 2008 - gregkh@suse.de
- fix rt tree that was broken by 2.6.27.5-rc1
-------------------------------------------------------------------
Wed Nov 5 08:24:23 CET 2008 - olh@suse.de
- update patches.arch/ppc-powerpc-debug-pci-hotplug.patch
fix booting on ppc32 (bnc#439491 - LTC48584)
-------------------------------------------------------------------
Wed Nov 5 08:12:23 CET 2008 - teheo@suse.de
Backport sata_via fixes from 2.6.28-rc.
- patches.drivers/libata-sata_via-fix-support-for-5287: sata_via:
fix support for 5287 (bnc#441718).
- patches.drivers/libata-sata_via-load-DEVICE-register-when-CTL-changes:
sata_via: load DEVICE register when CTL changes (bnc#441718).
- patches.drivers/libata-sata_via-restore-vt-_prepare_host-error-handling:
sata_via: restore vt*_prepare_host error handling (bnc#441718).
-------------------------------------------------------------------
Wed Nov 5 07:58:49 CET 2008 - teheo@suse.de
Backport laptop table and pci device ID table entries from 2.6.28-rc.
- patches.drivers/libata-ata_piix-add-Hercules-EC-900-mini-to-laptop-tbl:
ata_piix: add Hercules EC-900 mini-notebook to ich_laptop
short cable list.
- patches.drivers/libata-ata_piix-add-intel-ibex-pci-ids:
ata_piix: IDE Mode SATA patch for Intel Ibex Peak DeviceIDs.
-------------------------------------------------------------------
Wed Nov 5 07:06:10 CET 2008 - teheo@suse.de
Backport slave_link from 2.6.28-rc to fix ata_piix probing problem.
- patches.drivers/libata-ata_piix-use-slave_link: ata_piix:
drop merged SCR access and use slave_link instead (bnc#441420).
- patches.drivers/libata-eh-fix-slave-link-EH-action-mask-handling:
libata-eh: fix slave link EH action mask handling (bnc#441420).
- patches.drivers/libata-implement-slave_link: libata: implement
slave_link (bnc#441420).
- patches.drivers/libata-make-SCR-access-ops-per-link: libata:
make SCR access ops per-link (bnc#441420).
- patches.drivers/libata-misc-updates-to-prepare-for-slave-link:
libata: misc updates to prepare for slave link (bnc#441420).
- patches.drivers/libata-reimplement-link-iterator: libata:
reimplement link iterator (bnc#441420).
- patches.drivers/libata-set-device-class-to-NONE-if-phys_offline:
libata: set device class to NONE if phys_offline (bnc#441420).
- patches.drivers/libata-transfer-EHI-control-flags-to-slave-ehc.i:
libata: transfer EHI control flags to slave ehc.i (bnc#441420).
-------------------------------------------------------------------
Wed Nov 5 01:18:17 CET 2008 - gregkh@suse.de
- Update to 2.6.27.5-rc1:
- fixes lots of things, including a few CVE entries
- obsoletes, and caused to be deleted:
- patches.arch/ppc-pseries_16g-numa.patch
- patches.arch/ppc-pseries_hugepage_pagetable_allocation.patch
- patches.arch/ppc-pseries_mem-limit-16g.patch
- patches.arch/s390-02-02-smp-sysdev.patch
- patches.drivers/alsa-hda-reboot-notifier
- patches.drivers/libata-sata_nv-hardreset-fix
- patches.fixes/acpi-clear-wake-status.patch
- patches.fixes/agp-fix-stolen-memory-counting-on-g4x.patch
- patches.suse/file-capabilities-add-no_file_caps-switch.diff
- patches.suse/file-capabilities-turn-on-by-default.diff
- Update config files.
-------------------------------------------------------------------
Wed Nov 5 00:50:39 CET 2008 - kkeil@suse.de
- patches.drivers/bnx2-Add-bnx2_shutdown_chip: bnx2: Add
bnx2_shutdown_chip()
- patches.drivers/bnx2-check-running.patch: Check netif_running
in most ethtool operations (bnc#440052)
-------------------------------------------------------------------
Wed Nov 5 00:45:17 CET 2008 - kkeil@suse.de
- patches.drivers/ixgbe-copper_pond.patch: ixgbe: add device
support for 82598AT (copper 10GbE) adapters (bnc#441471)
-------------------------------------------------------------------
Tue Nov 4 21:51:19 CET 2008 - tonyj@suse.de
- patches.rt/fork_init_nrcpus.patch: Fix oops in fork_init.
-------------------------------------------------------------------
Tue Nov 4 20:18:59 CET 2008 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: fix typo
-------------------------------------------------------------------
Tue Nov 4 20:12:49 CET 2008 - rw@suse.de
- patches.drivers/bnx2x-zero-PMF:
bnx2x: Removing the PMF indication when unloading. (bnc#439679)
-------------------------------------------------------------------
Tue Nov 4 19:55:01 CET 2008 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: make also -base and -extra x86
subpackages not installable on x86_64
-------------------------------------------------------------------
Tue Nov 4 18:57:52 CET 2008 - jjolly@suse.de
- patches.arch/s390-01-04-fcpperf-3-v2.patch: (kernel):FCP -
Performance Data colletion & analysis patch update (bnc#417243).
- patches.arch/s390-01-04-fcpperf-3.patch: Removed for update
-------------------------------------------------------------------
Tue Nov 4 17:06:20 CET 2008 - miklos@szeredi.hu
- patches.apparmor/d_namespace_path_oops_fix.diff: fix oops in
d_namespace_path (bnc#433504).
-------------------------------------------------------------------
Tue Nov 4 13:02:01 CET 2008 - hare@suse.de
- patches.fixes/scsi-skip-nonscsi-device-for-dma: scsi_lib_dma.c :
fix bug w/ dma on virtual fc ports (bnc#431294).
-------------------------------------------------------------------
Tue Nov 4 12:18:53 CET 2008 - sdietrich@suse.de
- Update RT config files: Enable CONFIG_NO_HZ on i386/rt_debug.
- Refresh config files.
-------------------------------------------------------------------
Tue Nov 4 11:51:36 CET 2008 - teheo@suse.de
- patches.drivers/libata-fix-last_reset-timestamp-handling:
libata: fix last_reset timestamp handling (bnc#441340).
-------------------------------------------------------------------
Tue Nov 4 11:17:18 CET 2008 - hare@suse.de
- patches.drivers/qla2xxx-8.02.01-k9-update: Update qla2xxx to
8.02.01-k9 (bnc#439208).
-------------------------------------------------------------------
Tue Nov 4 05:05:33 CET 2008 - gregkh@suse.de
- refresh patches to apply cleanly.
-------------------------------------------------------------------
Mon Nov 3 18:09:34 CET 2008 - jeffm@suse.de
- patches.suse/dm-raid45_2.6.27_20081027.patch: Compile fix.
-------------------------------------------------------------------
Mon Nov 3 17:28:10 CET 2008 - tiwai@suse.de
- patches.drivers/alsa-emu10k1-audigy-fixes: ALSA: emu10k1 -
Add more invert_shared_spdif flag to Audigy models (bnc#440862).
- patches.drivers/alsa-hda-alc269-fsc-amilo: ALSA: hda - Add
ALC269 fujitsu model (bnc#440626).
-------------------------------------------------------------------
Mon Nov 3 16:10:49 CET 2008 - jeffm@suse.de
- patches.suse/dm-raid45_2.6.27_20081027.patch: Update dmraid45.
-------------------------------------------------------------------
Mon Nov 3 12:13:36 CET 2008 - knikanth@suse.de
- patches.suse/dm-barrier-single-device: Fix dm table
ref count (FATE#304489).
-------------------------------------------------------------------
Mon Nov 3 11:34:55 CET 2008 - teheo@suse.de
BNC reference added.
- patches.drivers/libata-dont-restore-DET-on-detach: libata:
mask off DET when restoring SControl for detach (bnc#440980).
-------------------------------------------------------------------
Mon Nov 3 11:33:26 CET 2008 - teheo@suse.de
- patches.drivers/libata-dont-restore-DET-on-detach: libata:
mask off DET when restoring SControl for detach.
-------------------------------------------------------------------
Mon Nov 3 10:27:18 CET 2008 - olh@suse.de
- add patches.arch/ppc-clock_gettime-nanoseconds.patch
update also nanoseconds (bnc#439908 - LTC49499)
-------------------------------------------------------------------
Mon Nov 3 09:27:17 CET 2008 - teheo@suse.de
- scripts/vc: s/GIT_COMMITER_EMAIL/GIT_COMMITTER_EMAIL and add
SUSE_COMMITTER_EMAIL.
-------------------------------------------------------------------
Mon Nov 3 04:46:53 CET 2008 - teheo@suse.de
BNC reference added.
- patches.drivers/libata-sata_nv-hardreset-fix: sata_nv: fix
generic, nf2/3 detection regression (bnc#429344).
-------------------------------------------------------------------
Mon Nov 3 04:42:10 CET 2008 - teheo@suse.de
- patches.drivers/libata-sata_nv-hardreset-fix: sata_nv: fix
generic, nf2/3 detection regression.
-------------------------------------------------------------------
Sun Nov 2 06:11:19 CET 2008 - sdietrich@suse.de
- Update RT config files: enable more lock debugging,
latency features, make x86_64 and i386 consistent.
-------------------------------------------------------------------
Sun Nov 2 05:19:27 CET 2008 - sdietrich@suse.de
- patches.rt/kprobes_make_pointer_decl_consistent.patch: Make
kprobe locking consistent with lock-type declarations
-------------------------------------------------------------------
Sun Nov 2 01:05:36 CET 2008 - sdietrich@suse.de
- patches.rt/mem_cgroup_charge_statistics-smp_processor_id.patch:
Use raw_smp_processor_id in __mem_cgroup_stat_add_safe.
-------------------------------------------------------------------
Sun Nov 2 01:03:00 CET 2008 - sdietrich@suse.de
- patches.rt/mem_cgroup_charge_statistics-smp_processor_id.patch:
-------------------------------------------------------------------
Sun Nov 2 00:12:04 CET 2008 - sdietrich@suse.de
- patches.rt/workqueue-introduce-create_rt_workqueue.patch:
workqueue: introduce create_rt_workqueue. (from 2.6.28)
Refresh to eliminate fuzz:
- patches.rt/preempt-realtime-core.patch: Linux-RT 2.6.27-RT.
-------------------------------------------------------------------
Sat Nov 1 23:41:00 CET 2008 - sdietrich@suse.de
- Update RT config files:
- Sync with SLES 11 default/debug configs
- Limit CPUS to 32
- Disable CONFIG_RADIX_TREE_CONCURRENT
- Disable CONFIG_RADIX_TREE_OPTIMISTIC
- Disable CONFIG_PREEMPT_RCU_BOOST
- Enable CONFIG_RTMUTEX_CHECK
- Adapt RT patches to changes made by:
x86_sgi_cpus4096-05-update-send_IPI_mask.patch
- patches.rt/mitigate-resched-flood-update.patch: Update
smp_send_reschedule_allbutself_cpumask mask parameter.
- patches.rt/x86-nmi-send_IPI_mask-pointer-fix.patch: Update
smp_send_nmi_allbutself mask parameter.
Resolve conflicts introduced by:
x86_sgi_cpus4096-05-update-send_IPI_mask.patch
- patches.rt/nmi-profiling-base.patch
- patches.rt/send-nmi-all-preempt-disable.patch
Refresh to eliminate fuzz
- patches.rt/apic-dumpstack.patch: Linux-RT 2.6.27-RT.
- patches.rt/mitigate-resched-flood.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-x86_64.patch: Linux-RT 2.6.27-RT.
-------------------------------------------------------------------
Sat Nov 1 08:32:52 CET 2008 - bwalle@suse.de
- patches.fixes/kdb-fix-stack-overflow.patch:
kdb: fix stack overflow for large NR_CPUS count (bnc#440361).
-------------------------------------------------------------------
Fri Oct 31 18:41:23 CET 2008 - trenn@suse.de
Fate 304268 and 304266. SGI scir driver (replaces the more intrusive
leds one) and the rather intrusive x86_64 4096 CPU support patches:
- Update config files.
- patches.arch/x86_uv_early_detect.patch: Delete hacks that were
necessary while waiting for x2apic code. (bnc#429984).
- patches.arch/x86_sgi-uv-scir.patch: SGI X86 UV: Provide a
System Activity Indicator driver (FATE304268 bnc#426066).
- patches.arch/x86_sgi_cpus4096-01-fix-smp_call_function.patch:
smp: reduce stack requirements for smp_call_function_mask
(bnc#425240 FATE304266).
- patches.arch/x86_sgi_cpus4096-02-fix-send_call_func_ip.patch:
x86: reduce stack requirements for send_call_func_ipi
(bnc#425240 FATE304266).
- patches.arch/x86_sgi_cpus4096-05-update-send_IPI_mask.patch:
x86 cpumask: Updates to support NR_CPUS=4096 (bnc#425240
FATE304266).
- patches.arch/x86_sgi_cpus4096-06-optimize-cpumask-in-sched_c.patch:
Additional cpumask fixups (bnc#425240 FATE304266).
- patches.arch/x86_sgi_cpus4096-04-add-for_each_cpu_mask_and.patch:
Add for_each_cpu_mask_and (bnc#425240 FATE304266).
- patches.arch/x86_sgi_cpus4096-07_pae_compile_fixups.patch:
more cpumask cleanups for previous (x86_sgi_cpu4096..) patches
(Additional cpumask fixups).
- patches.suse/kdb-x86: kdb-v4.4-2.6.27-rc8-x86-1 (FATE#303971).
- patches.xen/xen3-patch-2.6.27: Linux: Update to 2.6.27.
- patches.xen/x86_sgi_xen-x86-cpus4096.patch: x86 cpumask xen:
Updates to support NR_CPUS=4096 (Additional cpumask fixups).
-------------------------------------------------------------------
Fri Oct 31 17:57:22 CET 2008 - tiwai@suse.de
- patches.drivers/alsa-hda-realtek-alc269-dmic: ALSA: hda -
Add digital-mic for ALC269 auto-probe mode (bnc#440626).
- patches.drivers/alsa-hda-realtek-mic-automute-fix: ALSA: hda -
Disable broken mic auto-muting in Realtek codes (bnc#440626).
-------------------------------------------------------------------
Fri Oct 31 12:34:44 CET 2008 - hare@suse.de
- Update config files.
- patches.drivers/cxgb3i: add cxgb3i iscsi driver
(FATE#304154,bnc#433500).
- patches.drivers/cxgb3-private-iscsi-ip-addresses: cxgb3 -
manage private iSCSI IP addresses (FATE#304154,bnc#433500).
- patches.drivers/open-iscsi-offloading-support: support for iscsi
pdu digest offload and payload DDP. (FATE#304154,bnc#433500).
- patches.fixes/cxgb3-remove-duplicate-tests-in-lro: cxgb3 -
remove duplicate tests in lro (FATE#304154, bnc#430538).
- supported.conf: Mark cxgb3i as supported.
-------------------------------------------------------------------
Fri Oct 31 10:08:17 CET 2008 - bwalle@suse.de
- patches.suse/kdb-resolve-uv-conflict.diff:
Resolve KDB conflicts with UV (bnc#440376).
-------------------------------------------------------------------
Fri Oct 31 09:04:16 CET 2008 - tiwai@suse.de
- patches.drivers/alsa-hda-sigmatel-spdif-fix: ALSA: hda -
Fix SPDIF mute on IDT/STAC codecs.
- patches.drivers/alsa-hda-reboot-notifier: ALSA: hda - Add
reboot notifier.
-------------------------------------------------------------------
Fri Oct 31 08:33:45 CET 2008 - jack@suse.cz
- patches.suse/ocfs2-Fix-mount-cleanup-after-quota-failure.patch:
ocfs2: Fix mount cleanup after quota failure (fate#302681).
- patches.suse/ocfs2-Fix-oop-in-recovery-without-quotas:
ocfs2: Fix recovery of nodes when quota feature is disabled
(fate#302681).
- patches.suse/ocfs2-Fix-grace-time-syncing.patch: ocfs2: Fix
grace time syncing (fate#302681).
-------------------------------------------------------------------
Fri Oct 31 01:28:20 CET 2008 - teheo@suse.de
- patches.drivers/block-del-timer-after-dequeue: blk: move
blk_delete_timer call in end_that_request_last (bnc#440076
bnc#440173).
-------------------------------------------------------------------
Thu Oct 30 23:19:43 CET 2008 - trenn@suse.de
- patches.arch/x86_agpgart-g33-stoeln-fix-2.patch: Avoid oops
on G33 in 1MB stolen Mem case (bnc#391261).
-------------------------------------------------------------------
Thu Oct 30 16:53:09 CET 2008 - gregkh@suse.de
- patches.fixes/agp-fix-stolen-memory-counting-on-g4x.patch:
agp: Fix stolen memory counting on G4X. (bnc#437618).
-------------------------------------------------------------------
Thu Oct 30 13:44:43 CET 2008 - oneukum@suse.de
- patches.fixes/sd_liberal_28_sense_invalid.diff: fix medium
presence misdetection in usb storage device (bnc#362850).
-------------------------------------------------------------------
Thu Oct 30 10:17:19 CET 2008 - olh@suse.de
- add patches.fixes/scsi-ibmvscsi-show-config.patch
use 4k buffer to transfer config data (439970 - LTC49349)
-------------------------------------------------------------------
Thu Oct 30 06:02:17 CET 2008 - teheo@suse.de
- patches.drivers/block-add-timeout-on-dequeue: block: add timer
on blkdev_dequeue_request() not elv_next_request() (bnc#440076).
-------------------------------------------------------------------
Wed Oct 29 18:41:36 CET 2008 - sdietrich@suse.de
Refresh RT patches:
- patches.rt/adaptive-spinlock-lite-v2.patch: Linux-RT 2.6.27-RT
adaptive spinlocks lite.
- patches.rt/adaptive-task-oncpu.patch: Linux-RT 2.6.27-RT.
- patches.rt/apic-level-smp-affinity.patch: Linux-RT 2.6.27-RT.
- patches.rt/bh-state-lock.patch: Linux-RT 2.6.27-RT.
- patches.rt/bh-uptodate-lock.patch: Linux-RT 2.6.27-RT.
- patches.rt/bz235099-idle-load-fix.patch: Linux-RT 2.6.27-RT.
- patches.rt/check-for-migration-during-push.patch: RT: fix
push_rt_task() to handle dequeue_pushable properly.
- patches.rt/cond_resched_softirq-WARN-fix.patch: Linux-RT
2.6.27-RT
WARNING: at kernel/sched.c:5071 2.6.23-rc1-rt7.
- patches.rt/cputimer-thread-rt_A0.patch: Linux-RT 2.6.27-RT.
- patches.rt/dev-queue-xmit-preempt-fix.patch: Linux-RT 2.6.27-RT.
- patches.rt/disable-ist-x86_64.patch: Linux-RT 2.6.27-RT.
- patches.rt/disable-run-softirq-from-hardirq-completely.patch:
Linux-RT 2.6.27-RT
Disable running softirqs from hardirqs completely!.
- patches.rt/dont-disable-preemption-without-IST.patch: Linux-RT
2.6.27-RT.
- patches.rt/dont-unmask-io_apic.patch: Linux-RT 2.6.27-RT.
- patches.rt/drain-all-local-pages-via-sched.patch: Linux-RT
2.6.27-RT.
- patches.rt/event-trace-hrtimer-trace.patch: Linux-RT 2.6.27-RT
event-tracer: add clockevent trace.
- patches.rt/event-tracer-syscall-x86_64.patch: Linux-RT
2.6.27-RT.
- patches.rt/filemap-dont-bug-non-atomic.patch: Linux-RT
2.6.27-RT.
- patches.rt/fix-bug-on-in-filemap.patch: Linux-RT 2.6.27-RT
Change bug_on for atomic to pagefault_disabled..
- patches.rt/fix-compilation-for-non-RT-in-timer.patch: Linux-RT
2.6.27-RT.
- patches.rt/fix-config-debug-rt-mutex-lock-underflow-warnings.patch:
Linux-RT 2.6.27-RT
Fix CONFIG_DEBUG_RT_MUTEX lock underflow warnings.
- patches.rt/fix-migrating-softirq.patch: Linux-RT 2.6.27-RT.
- patches.rt/fix-net-bug-fixes.patch: Linux-RT 2.6.27-RT.
- patches.rt/fix-softirq-checks-for-non-rt-preempt-hardirq.patch:
Linux-RT 2.6.27-RT.
- patches.rt/fix-up-comment.patch: RT: Remove comment that is
no longer true.
- patches.rt/ftrace-stop-trace-on-crash.patch: Linux-RT 2.6.27-RT
fix-tracer-wreckage-wtf-is-this-code-all-features.patch.
- patches.rt/futex-fifo-warn-sysctl.patch: Linux-RT 2.6.27-RT.
- patches.rt/genhd-protect-percpu-var.patch: Linux-RT 2.6.27-RT.
- patches.rt/genirq-soft-resend.patch: Linux-RT 2.6.27-RT
x86: activate HARDIRQS_SW_RESEND.
- patches.rt/gtod-optimize.patch: Linux-RT 2.6.27-RT.
- patches.rt/hack-convert-i_alloc_sem-for-direct_io-craziness.patch:
Linux-RT 2.6.27-RT.
- patches.rt/handle-pending-in-simple-irq.patch: Linux-RT
2.6.27-RT
handle IRQ_PENDING for simple irq handler.
- patches.rt/highmem_rewrite.patch: Linux-RT 2.6.27-RT
mm: remove kmap_lock.
- patches.rt/hrtimer-no-printk.patch: Linux-RT 2.6.27-RT.
- patches.rt/hrtimers-overrun-api.patch: Linux-RT 2.6.27-RT.
- patches.rt/hrtimers-stuck-in-waitqueue.patch: Linux-RT
2.6.27-RT.
- patches.rt/ioapic-fix-too-fast-clocks.patch: Linux-RT 2.6.27-RT.
- patches.rt/kdb-rtmisc.patch: Misc KDB fixes for RT (debug
builds).
- patches.rt/kstat-add-rt-stats.patch: Linux-RT 2.6.27-RT
add rt stats to /proc/stat.
- patches.rt/kstat-fix-spurious-system-load-spikes-in-proc-loadavgrt.patch:
Linux-RT 2.6.27-RT.
- patches.rt/loadavg_fixes_weird_loads.patch: Linux-RT 2.6.27-RT.
- patches.rt/lock_page_ref.patch: Linux-RT 2.6.27-RT
mm: lock_page_ref.
- patches.rt/lockdep-show-held-locks.patch: Linux-RT 2.6.27-RT
lockdep: show held locks when showing a stackdump.
- patches.rt/mitigate-resched-flood.patch: Linux-RT 2.6.27-RT.
- patches.rt/mm-fix-latency.patch: Linux-RT 2.6.27-RT
reduce pagetable-freeing latencies.
- patches.rt/multi-reader-account.patch: Linux-RT 2.6.27-RT
map tasks to reader locks held.
- patches.rt/multi-reader-limit.patch: Linux-RT 2.6.27-RT
implement reader limit on read write locks.
- patches.rt/multi-reader-lock-account.patch: Linux-RT 2.6.27-RT
map read/write locks back to their readers.
- patches.rt/multi-reader-pi.patch: Linux-RT 2.6.27-RT
read lock Priority Inheritance implementation.
- patches.rt/neptune-no-at-keyboard.patch: Linux-RT 2.6.27-RT.
- patches.rt/net-core-preempt-fix.patch: Linux-RT 2.6.27-RT.
- patches.rt/new-softirq-code.patch: Linux-RT 2.6.27-RT
softirq preemption: optimization.
- patches.rt/nmi-profiling-base.patch: Linux-RT 2.6.27-RT
nmi-driven profiling for /proc/profile.
- patches.rt/numa-slab-freeing.patch: Linux-RT 2.6.27-RT.
- patches.rt/only-run-softirqs-from-irq-thread-when-irq-affinity-is-set.patch:
Linux-RT 2.6.27-RT.
- patches.rt/pagefault-disable-cleanup.patch: Linux-RT 2.6.27-RT
clean up the page fault disabling logic.
- patches.rt/panic-dont-stop-box.patch: Linux-RT 2.6.27-RT.
- patches.rt/paravirt-function-pointer-fix.patch: Linux-RT
2.6.27-RT.
- patches.rt/partreadd-lttng-instrumentation-irq.patch: readd
RT compatible version of lttng-instrumentation-irq.
- patches.rt/pause-on-oops-head-tail.patch: Linux-RT 2.6.27-RT
introduce pause_on_oops_head/tail boot options.
- patches.rt/powerpc-count_active_rt_tasks-is-undefined-for-non-preempt-rt.patch:
Linux-RT 2.6.27-RT.
- patches.rt/ppc-hacks-to-allow-rt-to-run-kernbench.patch:
Linux-RT 2.6.27-RT.
- patches.rt/preempt-irqs-core.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-irqs-direct-debug-keyboard.patch: Linux-RT
2.6.27-RT.
- patches.rt/preempt-irqs-hrtimer.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-irqs-i386-ioapic-mask-quirk.patch: Linux-RT
2.6.27-RT.
- patches.rt/preempt-irqs-i386.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-irqs-timer.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-acpi.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-console.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-core.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-debug-sysctl.patch: Linux-RT
2.6.27-RT.
- patches.rt/preempt-realtime-ftrace-disable-ftraced.patch:
Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-i386.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-ia64.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-init-show-enabled-debugs.patch:
Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-ipc.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-irqs.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-mm.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-mmdrop-delayed.patch: Linux-RT
2.6.27-RT.
- patches.rt/preempt-realtime-net-drivers.patch: Linux-RT
2.6.27-RT.
- patches.rt/preempt-realtime-net-softirq-fixups.patch: Linux-RT
2.6.27-RT
NOHZ: local_softirq_pending with tickless.
- patches.rt/preempt-realtime-net.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-powerpc-b4.patch: Linux-RT
2.6.27-RT.
- patches.rt/preempt-realtime-powerpc-update.patch: Linux-RT
2.6.27-RT.
- patches.rt/preempt-realtime-prevent-idle-boosting.patch:
Linux-RT 2.6.27-RT
Premmpt-RT: Preevent boosting of idle task.
- patches.rt/preempt-realtime-printk.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-rawlocks.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-sched.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-timer.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-x86_64.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-rt-no-slub.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-softirqs-core.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-trace.patch: Linux-RT 2.6.27-RT.
- patches.rt/print-might-sleep-hack.patch: Linux-RT 2.6.27-RT.
- patches.rt/printk-in-atomic.patch: Linux-RT 2.6.27-RT.
- patches.rt/prof-sysctl-compile.patch: Linux-RT 2.6.27-RT.
- patches.rt/radix-tree-concurrent.patch: Linux-RT 2.6.27-RT
radix-tree: concurrent write side support.
- patches.rt/radix-tree-optimistic-hist.patch: Linux-RT 2.6.27-RT
debug: optimistic lock histogram.
- patches.rt/radix-tree-optimistic.patch: Linux-RT 2.6.27-RT
radix-tree: optimistic locking.
- patches.rt/rcu-preempt-boost-sdr.patch: Linux-RT 2.6.27-RT.
- patches.rt/rcu-preempt-hotplug-hackaround.patch: Linux-RT
2.6.27-RT.
- patches.rt/realtime-preempt-warn-about-tracing.patch: Linux-RT
2.6.27-RT.
- patches.rt/revert-preempt-bkl-revert.patch: Linux-RT 2.6.27-RT.
- patches.rt/root-domain-kfree-in-atomic.patch: Linux-RT
2.6.27-RT.
- patches.rt/rt-kmap-scale-fix.patch: Linux-RT 2.6.27-RT.
- patches.rt/rt-move-update-wall-time-back-to-do-timer.patch:
Linux-RT 2.6.27-RT
rt: move update_wall_time back to do timer.
- patches.rt/rt-mutex-compat-semaphores.patch: Linux-RT 2.6.27-RT.
- patches.rt/rt-mutex-i386.patch: Linux-RT 2.6.27-RT.
- patches.rt/rt-mutex-mips.patch: Linux-RT 2.6.27-RT.
- patches.rt/rt-mutex-preempt-debugging.patch: Linux-RT 2.6.27-RT.
- patches.rt/rt-mutex-trivial-route-cast-fix.patch: Linux-RT
2.6.27-RT.
- patches.rt/rt-mutex-trivial-tcp-preempt-fix.patch: Linux-RT
2.6.27-RT.
- patches.rt/rt-mutex-x86-64.patch: Linux-RT 2.6.27-RT.
- patches.rt/rt-s_files-kill-a-union.patch: Linux-RT 2.6.27-RT.
- patches.rt/rt-shorten-softirq-thread-names.patch: Linux-RT
2.6.27-RT.
- patches.rt/rt_mutex_setprio.patch: Linux-RT 2.6.27-RT
rt: rename rt_mutex_setprio to task_setprio.
- patches.rt/s_files.patch: Linux-RT 2.6.27-RT
remove global files_lock.
- patches.rt/sched-add-needs_post_schedule.patch: Linux-RT
2.6.27-RT.
- patches.rt/sched-enable-irqs-in-preempt-in-notifier-call.patch:
Linux-RT 2.6.27-RT
CFS: enable irqs in fire_sched_in_preempt_notifier.
- patches.rt/sched-fix-dequeued-race.patch: Linux-RT 2.6.27-RT
sched-fix-dequeued-race.patch.
- patches.rt/sched-make-double-lock-balance-fair.patch: Linux-RT
2.6.27-RT.
- patches.rt/sched-nr-migrate-lower-default-preempt-rt.patch:
Linux-RT 2.6.27-RT.
- patches.rt/sched-only-push-once-per-queue.patch: Linux-RT
2.6.27-RT.
- patches.rt/sched-properly-account-irq-and-rt-load.patch:
Linux-RT 2.6.27-RT
sched: properly account IRQ and RT load in .
- patches.rt/sched-rt-runtime-lock-raw.patch: Linux-RT 2.6.27-RT.
- patches.rt/sched-wake_up_idle_cpu-rt.patch: Linux-RT 2.6.27-RT.
- patches.rt/select-error-leak-fix.patch: Linux-RT 2.6.27-RT.
- patches.rt/serial-locking-rt-cleanup.patch: Linux-RT 2.6.27-RT.
- patches.rt/serial-slow-machines.patch: Linux-RT 2.6.27-RT.
- patches.rt/slab-irq-nopreempt-fix.patch: Linux-RT 2.6.27-RT.
- patches.rt/smp-processor-id-fixups.patch: Linux-RT 2.6.27-RT.
- patches.rt/softirq-per-cpu-assumptions-fixes.patch: Linux-RT
2.6.27-RT.
- patches.rt/start_irq_thread.patch: Linux-RT 2.6.27-RT.
- patches.rt/sub-dont-disable-irqs.patch: Linux-RT 2.6.27-RT
rt: dont disable irqs in usb.
- patches.rt/tasklet-busy-loop-hack.patch: Linux-RT 2.6.27-RT.
- patches.rt/tasklet-redesign.patch: Linux-RT 2.6.27-RT.
- patches.rt/timer-freq-tweaks.patch: Linux-RT 2.6.27-RT.
- patches.rt/timer-warning-fix.patch: Linux-RT 2.6.27-RT.
- patches.rt/trace-events-handle-syscalls.patch: Linux-RT
2.6.27-RT.
- patches.rt/trace-histograms.patch: Linux-RT 2.6.27-RT.
- patches.rt/tracer-add-event-markers.patch: Linux-RT 2.6.27-RT.
-------------------------------------------------------------------
Wed Oct 29 18:18:37 CET 2008 - gregkh@suse.de
- s/rtl2860/rt2860/
- remove driver from the Xen build as it's dying for some reason.
- add obsoletes for ralink-rt2860-kmp
-------------------------------------------------------------------
Wed Oct 29 16:53:51 CET 2008 - olh@suse.de
- update patches.arch/ppc-oprofile-spu.patch
add missing ARRAY_SIZE(pm_signal_local)
-------------------------------------------------------------------
Wed Oct 29 14:26:31 CET 2008 - hare@suse.de
- patches.arch/s390-symmetrix-ioctl.patch: Add ioctl support
for EMC Symmetrix Subsystem Control I/O (bnc#439221)
-------------------------------------------------------------------
Wed Oct 29 12:46:51 CET 2008 - jbeulich@suse.de
- patches.xen/xen-rtl2860-build: fix issue with Windows-style
types used in rtl2680.
-------------------------------------------------------------------
Wed Oct 29 11:49:26 CET 2008 - olh@suse.de
- build af_packet as a module on powerpc (bnc#433540)
-------------------------------------------------------------------
Wed Oct 29 11:13:42 CET 2008 - olh@suse.de
- add patches.arch/ppc-oprofile-spu-mutex-locking.patch
Fix mutex locking for cell spu-oprofile (bnc#422501 - LTC47617)
-------------------------------------------------------------------
Wed Oct 29 09:23:20 CET 2008 - olh@suse.de
- add patches.arch/ppc-oprofile-spu.patch
fix local array size in activate spu profiling function (bnc#439553 - LTC48925)
-------------------------------------------------------------------
Wed Oct 29 09:13:47 CET 2008 - olh@suse.de
- update patches.drivers/ehea.patch
Add hugepage detection (bnc#439599 - LTC48958)
-------------------------------------------------------------------
Wed Oct 29 00:01:46 CET 2008 - gregkh@suse.de
- patches.drivers/staging-add-agnx-wireless-driver.patch: Staging:
add agnx wireless driver.
- patches.drivers/staging-add-otus-atheros-wireless-network-driver.patch:
Staging: add otus Atheros wireless network driver.
- patches.drivers/staging-add-rtl2860-wireless-driver.patch:
Staging: add rtl2860 wireless driver (bnc#437959).
- Update config files.
-------------------------------------------------------------------
Tue Oct 28 22:32:29 CET 2008 - jkosina@suse.de
- patches.suse/silent-stack-overflow-2.patch: disabled, as it
causes kernel hangs triggered by grub (bnc#439448).
-------------------------------------------------------------------
Tue Oct 28 20:42:15 CET 2008 - kkeil@suse.de
- update patches.suse/SoN-17-net-ps_rx.patch
fix i/o corruption on rx in ixgbe (bnc#438929)
-------------------------------------------------------------------
Tue Oct 28 18:43:29 CET 2008 - jdelvare@suse.de
- config/powerpc/*: Fixup configuration files after last change.
-------------------------------------------------------------------
Tue Oct 28 18:19:34 CET 2008 - jdelvare@suse.de
- config/*: Include many multimedia drivers which has been dropped
accidentally. This includes the pwc, ivtv, zr36067 drivers and
many old webcam drivers (bnc#439489).
- supported.conf: Add all these drivers again.
-------------------------------------------------------------------
Tue Oct 28 16:02:47 CET 2008 - tiwai@suse.de
- patches.drivers/alsa-hda-analog-update,
patches.drivers/alsa-hda-atihdmi-update,
patches.drivers/alsa-hda-beep,
patches.drivers/alsa-hda-hp-mobile-fix,
patches.drivers/alsa-hda-nvidia-hdmi,
patches.drivers/alsa-hda-probe-fix,
patches.drivers/alsa-hda-proc-fix,
patches.drivers/alsa-hda-realtek-update,
patches.drivers/alsa-hda-sigmatel-update,
patches.drivers/alsa-hda-spdif-slave,
patches.drivers/alsa-hda-via-rec-fix,
patches.drivers/alsa-hda-via-update:
ALSA updates, mostly taken from 2.6.28-rc1 patches
- Update config files.
-------------------------------------------------------------------
Tue Oct 28 15:47:59 CET 2008 - jdelvare@suse.de
- Actually CONFIG_SND_HDA_HWDEP is a boolean, sorry.
-------------------------------------------------------------------
Tue Oct 28 15:40:09 CET 2008 - jdelvare@suse.de
- config/ia64/vanilla, config/x86_64/vanilla: fix configuration
discrepancy, CONFIG_SND_HDA_HWDEP=m.
-------------------------------------------------------------------
Tue Oct 28 14:45:54 CET 2008 - olh@suse.de
- add patches.arch/ppc-pcibios_allocate_bus_resources.patch
add patches.arch/ppc-powerpc-debug-pci-hotplug.patch
fix DLPAR on pseries (bnc#439491 - LTC48584)
-------------------------------------------------------------------
Tue Oct 28 14:38:42 CET 2008 - olh@suse.de
- add patches.arch/ppc-powerpc-fix-pci-unmap-io.patch
Fix unmapping of IO space on 64-bit (bnc#439491 - LTC48584)
-------------------------------------------------------------------
Tue Oct 28 11:50:35 CET 2008 - olh@suse.de
- update ps3 config, remove unneeded options to reduce vmlinux size
-------------------------------------------------------------------
Tue Oct 28 07:36:40 CET 2008 - neilb@suse.de
- patches.suse/md-notify-when-stopped: md: notify udev when an
md array is stopped. (fate#303894).
-------------------------------------------------------------------
Tue Oct 28 00:05:51 CET 2008 - gregkh@suse.de
- use the panasonic laptop driver that was accepted by upstream, not the
pcc-acpi driver, which was rejected:
- patches.drivers/staging-add-pcc-acpi-driver.patch: Delete.
- patches.drivers/panasonic-laptop-add-panasonic-let-s-note-laptop-extras-driver-v0.94.patch:
panasonic-laptop: add Panasonic Let's Note laptop extras
driver v0.94.
- Update config files.
-------------------------------------------------------------------
Mon Oct 27 23:58:12 CET 2008 - gregkh@suse.de
- patches.drivers/via-framebuffer-driver.patch: Via Framebuffer
driver.
- Update config files.
-------------------------------------------------------------------
Mon Oct 27 22:50:59 CET 2008 - bwalle@suse.de
- patches.fixes/hpwdt-kdump.diff:
Don't change permission of sysfs file (did that accidentally
when changing the default value).
-------------------------------------------------------------------
Mon Oct 27 14:13:04 CET 2008 - trenn@suse.de
- patches.arch/x86_uv_early_detect.patch: Delete hacks that were
necessary while waiting for x2apic code. (bnc#429984).
-------------------------------------------------------------------
Mon Oct 27 11:46:43 CET 2008 - tj@suse.de
Refresh the govault patch.
- patches.drivers/libata-add-waits-for-govault: libata: add
waits for GoVault (bnc#246451).
-------------------------------------------------------------------
Sun Oct 26 18:48:09 CET 2008 - bwalle@suse.de
- patches.fixes/kdb-oops-panic.diff:
Fix NULL pointer dereference when regs == NULL (bnc#439007).
- patches.fixes/hpwdt-kdump.diff:
Fix kdump when using hpwdt (bnc#436786).
-------------------------------------------------------------------
Sun Oct 26 06:58:29 CET 2008 - gregkh@suse.de
- refresh patches to apply cleanly and properly.
-------------------------------------------------------------------
Sun Oct 26 06:44:24 CET 2008 - gregkh@suse.de
- Update to 2.6.27.4
-------------------------------------------------------------------
Sat Oct 25 20:47:27 CEST 2008 - agruen@suse.de
- Fix the dependencies between the split kernel packages and KMPs
(FATE 303631).
- Fix for kernel paclages which are not split.
- rpm/kernel-source.spec.in: Update list of scripts to include.
-------------------------------------------------------------------
Sat Oct 25 18:36:05 CEST 2008 - jjolly@suse.de
- patches.arch/s390-02-02-smp-sysdev.patch: kernel: sysdev class
file creation (bnc#434333)
- patches.arch/s390-02-03-zfcp.patch: Fix zfcp problems that have
been found (bnc#434333)
- patches.arch/s390-02-04-qeth-mac.patch: qeth: use firmware
MAC-address for layer2 hsi-devices (bnc#434333)
- patches.arch/s390-02-05-qeth-recovery.patch: qeth: qeth recovery
fails (bnc#434333)
- patches.arch/s390-02-06-qeth-offset.patch: qeth: fix offset error
in non prealloc header path (bnc#434333,LTC#48840)
- patches.arch/s390-02-07-qeth-ipv6check.patch: qeth: remove
unnecessary support ckeck in sysfs route6 (bnc#434333)
- patches.arch/s390-02-08-qeth-panic.patch: qeth: avoid
skb_under_panic for malformatted inbound data (bnc#434333)
- patches.arch/s390-02-09-tape-lock.patch: tape device driver:
improve locking (bnc#434333)
-------------------------------------------------------------------
Sat Oct 25 11:51:28 CEST 2008 - neilb@suse.de
- patches.kernel.org/touch_mnt_namespace-when-the-mount-flags-change.patch:
touch_mnt_namespace when the mount flags change (FATE#304218).
-------------------------------------------------------------------
Fri Oct 24 23:57:11 CEST 2008 - gregkh@suse.de
- Updated to 2.6.27.4-rc3:
- fixed ath5k suspend/resume regression
- fixed pvrusb2 so it actually works
-------------------------------------------------------------------
Fri Oct 24 17:40:25 CEST 2008 - jack@suse.cz
- patches.suse/ocfs2-Implementation-of-local-and-global-quota-file.patch:
ocfs2: Implementation of local and global quota file handling
(fate#302681). - fixed 64-bit division
-------------------------------------------------------------------
Fri Oct 24 17:14:33 CEST 2008 - jeffm@suse.de
- Update config files for -rt.
-------------------------------------------------------------------
Fri Oct 24 17:09:57 CEST 2008 - hare@suse.de
- patches.suse/cgroup-freezer.patch: Add TIF_FREEZE for s390.
-------------------------------------------------------------------
Fri Oct 24 16:49:53 CEST 2008 - olh@suse.de
- move patches.suse/md-raid-metadata-PAGE_SIZE.patch
to patches.kernel.org/md-raid-metadata-PAGE_SIZE.patch
to allow raid0 with 64k PAGE_SIZE
-------------------------------------------------------------------
Fri Oct 24 16:49:41 CEST 2008 - jack@suse.cz
- Update config files.
- supported.conf: Added new quota module
- patches.suse/xfs-dmapi-enable: Enable XFS DMAPI. - Refreshed
Quotas for OCFS2:
- patches.suse/quota-Add-callbacks-for-allocating-and-destroying-d.patch:
quota: Add callbacks for allocating and destroying dquot
structures (fate#302681).
- patches.suse/quota-Increase-size-of-variables-for-limits-and-ino.patch:
quota: Increase size of variables for limits and inode usage
(fate#302681).
- patches.suse/quota-Remove-bogus-optimization-in-check_idq-an.patch:
quota: Remove bogus 'optimization' in check_idq() and
check_bdq() (fate#302681).
- patches.suse/quota-Make-_SUSPENDED-just-a-flag.patch: quota:
Make _SUSPENDED just a flag (fate#302681).
- patches.suse/quota-Allow-to-separately-enable-quota-accounting-a.patch:
quota: Allow to separately enable quota accounting and enforcing
limits (fate#302681).
- patches.suse/ext3-Use-sb_any_quota_loaded-instead-of-sb_any_qu.patch:
ext3: Use sb_any_quota_loaded() instead of
sb_any_quota_enabled() (fate#302681).
- patches.suse/ext4-Use-sb_any_quota_loaded-instead-of-sb_any_qu.patch:
ext4: Use sb_any_quota_loaded() instead of
sb_any_quota_enabled() (fate#302681).
- patches.suse/reiserfs-Use-sb_any_quota_loaded-instead-of-sb_an.patch:
reiserfs: Use sb_any_quota_loaded() instead of
sb_any_quota_enabled(). (fate#302681).
- patches.suse/quota-Remove-compatibility-function-sb_any_quota_en.patch:
quota: Remove compatibility function sb_any_quota_enabled()
(fate#302681).
- patches.suse/quota-Introduce-DQUOT_QUOTA_SYS_FILE-flag.patch:
quota: Introduce DQUOT_QUOTA_SYS_FILE flag (fate#302681).
- patches.suse/quota-Move-quotaio_v-12-.h-from-include-linux-to-f.patch:
quota: Move quotaio_v[12].h from include/linux/ to fs/
(fate#302681).
- patches.suse/quota-Split-off-quota-tree-handling-into-a-separate.patch:
quota: Split off quota tree handling into a separate file
(fate#302681).
- patches.suse/quota-Convert-union-in-mem_dqinfo-to-a-pointer.patch:
quota: Convert union in mem_dqinfo to a pointer (fate#302681).
- patches.suse/quota-Allow-negative-usage-of-space-and-inodes.patch:
quota: Allow negative usage of space and inodes (fate#302681).
- patches.suse/quota-Keep-which-entries-were-set-by-SETQUOTA-quota.patch:
quota: Keep which entries were set by SETQUOTA quotactl
(fate#302681).
- patches.suse/quota-Add-helpers-to-allow-ocfs2-specific-quota-ini.patch:
quota: Add helpers to allow ocfs2 specific quota initialization,
freeing and recovery (fate#302681).
- patches.suse/quota-Implement-function-for-scanning-active-dquots.patch:
quota: Implement function for scanning active dquots
(fate#302681).
- patches.suse/ocfs2-Fix-check-of-return-value-of-ocfs2_start_tran.patch:
ocfs2: Fix check of return value of ocfs2_start_trans()
(fate#302681).
- patches.suse/ocfs2-Support-nested-transactions.patch: ocfs2:
Support nested transactions (fate#302681).
- patches.suse/ocfs2-Fix-checking-of-return-value-of-new_inode.patch:
ocfs2: Fix checking of return value of new_inode()
(fate#302681).
- patches.suse/ocfs2-Let-inode-be-really-deleted-when-ocfs2_mknod_.patch:
ocfs2: Let inode be really deleted when ocfs2_mknod_locked()
fails (fate#302681).
- patches.suse/ocfs2-Assign-feature-bits-and-system-inodes-to-quot.patch:
ocfs2: Assign feature bits and system inodes to quota feature
and quota files (fate#302681).
- patches.suse/ocfs2-Mark-system-files-as-not-subject-to-quota-acc.patch:
ocfs2: Mark system files as not subject to quota accounting
(fate#302681).
- patches.suse/ocfs2-Implementation-of-local-and-global-quota-file.patch:
ocfs2: Implementation of local and global quota file handling
(fate#302681).
- patches.suse/ocfs2-Add-quota-calls-for-allocation-and-freeing-of.patch:
ocfs2: Add quota calls for allocation and freeing of inodes
and space (fate#302681).
- patches.suse/ocfs2-Enable-quota-accounting-on-mount-disable-on.patch:
ocfs2: Enable quota accounting on mount, disable on umount
(fate#302681).
- patches.suse/ocfs2-Implement-quota-syncing-thread.patch: ocfs2:
Implement quota syncing thread (fate#302681).
- patches.suse/ocfs2-Implement-quota-recovery.patch: ocfs2:
Implement quota recovery (fate#302681).
-------------------------------------------------------------------
Fri Oct 24 15:53:20 CEST 2008 - kkeil@suse.de
- patches.fixes/cxgb3_fix_race_in_EEH: cxgb3: fix race in EEH.
(bnc#430093)
-------------------------------------------------------------------
Fri Oct 24 15:51:12 CEST 2008 - jeffm@suse.de
- Refreshed context for -RT patches so they apply again.
-------------------------------------------------------------------
Fri Oct 24 15:32:06 CEST 2008 - rw@suse.de
- patches.drivers/sgi-ioc4-request-submodules:
Make ioc4 request dependant modules. (bnc#429215)
-------------------------------------------------------------------
Fri Oct 24 15:13:21 CEST 2008 - hare@suse.de
- Update config files: Disable FAIL_MAKE_REQUEST.
-------------------------------------------------------------------
Fri Oct 24 15:11:46 CEST 2008 - tiwai@suse.de
- patches.drivers/alsa-usb-exclude-1st-slot: Delete this old ugly
workaround patch.
-------------------------------------------------------------------
Fri Oct 24 14:18:07 CEST 2008 - hare@suse.de
- Include patches from upstream:
- patches.fixes/block-use-bio_has_data: Implement bio_has_data().
- patches.fixes/block-git-fixes: Block layer fixes for 2.6.28.
- patches.fixes/block-rq-affinity: Implement rq affinity.
- patches.fixes/dm-mpath-remove-is_active: dm mpath: remove
is_active from struct dm_path.
- patches.fixes/block-discard-requests: Implement block discard.
- patches.drivers/dm-abort-queue-on-failed-paths: dm: Call
blk_abort_queue on failed paths (bnc#417544).
- patches.drivers/block-call-sync-on-cleanup: block:
blk_cleanup_queue() should call blk_sync_queue().
- Refaktor and update request-based multipath patches:
- patches.drivers/blk-request-based-multipath-update:
Update request-based multipathing patches to upstream version
(bnc#434105).
- patches.suse/rq-based-multipath: Update to latest version
of request-based multipathing patchset (bnc#434105)
- patches.drivers/block-abort-request-rq-complete-marking:
use rq complete marking in blk_abort_request (bnc#434105).
- patches.fixes/scsi-atomic-blk-timer-deletes: Delete.
- patches.fixes/dm-mpath-abort-queue: Delete.
- patches.suse/rq-based-block-layer: Delete.
- patches.suse/rq-based-dm-interface: Delete.
- patches.suse/rq-based-multipath-functions: Delete.
- patches.suse/rq-based-init-crash: Delete.
- Update patches to upstream version:
- patches.drivers/bdev-resize-check-for-device-resize
- patches.drivers/bdev-resize-added-flush_disk
- patches.drivers/bdev-resize-call-flush_disk
- patches.drivers/bdev-resize-sd-driver-calls
- patches.drivers/block-timeout-handling
- patches.drivers/bdev-resize-adjust-block-device-size
- patches.drivers/bdev-resize-wrapper-for-revalidate_disk
- patches.drivers/block-abort-queue
- patches.fixes/scsi-enhance-error-codes
- Rediff patches:
- patches.fixes/scsi-misc-git-update
- patches.suse/dm-barrier-single-device
- patches.suse/kdb-common
- patches.drivers/lpfc-8.2.8-update
- patches.drivers/lpfc-8.2.8.3-update
- patches.drivers/mpt-fusion-4.00.43.00-update
-------------------------------------------------------------------
Fri Oct 24 14:07:43 CEST 2008 - bwalle@suse.de
- patches.suse/kdump-dump_after_notifier.patch: Add sysctl also
to kernel/sysctl_check.c.
-------------------------------------------------------------------
Fri Oct 24 14:06:20 CEST 2008 - bwalle@suse.de
- Obsolete uvcvideo-kmp.
-------------------------------------------------------------------
Fri Oct 24 12:52:23 CEST 2008 - jbeulich@suse.de
- rpm/kernel-binary.spec.in: Provide 'kernel' also for Xen (bnc#362918).
-------------------------------------------------------------------
Fri Oct 24 12:24:54 CEST 2008 - jbeulich@suse.de
- patches.arch/x86_64-unwind-annotations: fix unwind annotations.
- patches.xen/xen3-x86_64-unwind-annotations: fix unwind
annotations.
-------------------------------------------------------------------
Fri Oct 24 12:20:01 CEST 2008 - jbeulich@suse.de
- Update Xen patches to 2.6.27.3 and c/s 704
- patches.xen/xen3-x2APIC_PATCH_27_of_41_9fa8c481b55e80edd8c637573f87853bb6b600f5:
x64, x2apic/intr-remap: introduce CONFIG_INTR_REMAP (fate
#303948 and fate #303984).
- patches.xen/xen3-x2APIC_PATCH_40_of_41_bbb65d2d365efe9951290e61678dcf81ec60add4:
x86: use cpuid vector 0xb when available for detecting cpu
topology (fate #303948 and fate #303984).
- patches.xen/xen-kconfig-compat-3.2.0: add 3.2.0-compatibility
configure option.
- patches.xen/xen-x86-exit-mmap: be more aggressive about
de-activating mm-s under destruction.
- patches.xen/xen-x86-machphys-prediction: properly predict
phys<->mach translations.
- patches.xen/xen-x86-mmu-batching: utilize
arch_{enter,leave}_lazy_cpu_mode().
- patches.xen/xen-x86-no-lazy-tlb: ensure inadvertent uses of
lazy TLB data are caught during the build.
- Update Xen config files.
-------------------------------------------------------------------
Fri Oct 24 12:08:49 CEST 2008 - mmarek@suse.cz
- rpm/kernel-binary.spec.in:
- do not split kernel-kdump into -base and -extra
- fix case when a build results in no unsupported modules
-------------------------------------------------------------------
Fri Oct 24 11:07:32 CEST 2008 - bwalle@suse.de
- patches.fixes/kdb-kdump.diff:
Fix CONFIG_KDB_KDUMP on xSeries (bnc#436454).
-------------------------------------------------------------------
Fri Oct 24 10:45:08 CEST 2008 - agruen@suse.de
- supported.conf: Mark dmapi as supported (by SGI).
-------------------------------------------------------------------
Fri Oct 24 10:40:29 CEST 2008 - olh@suse.de
- disable all unsupported drivers in kernel-kdump
-------------------------------------------------------------------
Fri Oct 24 08:25:47 CEST 2008 - npiggin@suse.de
- patches.suse/silent-stack-overflow-2.patch: avoid silent stack
overflow over the heap (bnc#44807 bnc#211997).
-------------------------------------------------------------------
Fri Oct 24 07:43:02 CEST 2008 - gregkh@suse.de
- Update to 2.6.27.4-rc2
-------------------------------------------------------------------
Fri Oct 24 07:09:39 CEST 2008 - gregkh@suse.de
- disable CONFIG_SYSFS_DEPRECATED_V2 from powerpc/rt config file
-------------------------------------------------------------------
Fri Oct 24 07:00:11 CEST 2008 - gregkh@suse.de
- Update to 2.6.27.4-rc1
- lots of bugfixes, and obsoletes some current patches:
- patches.fixes/ext3-avoid-printk-flood-with-dir-corruption: Delete.
- patches.fixes/ext2-avoid-printk-flood-with-dir-corruption: Delete.
- patches.fixes/wdm_autoload.diff: Delete.
-------------------------------------------------------------------
Fri Oct 24 06:57:57 CEST 2008 - npiggin@suse.de
- Fix ppc and ps3 configs
-------------------------------------------------------------------
Fri Oct 24 06:53:13 CEST 2008 - npiggin@suse.de
- Update config files.
- patches.suse/cgroup-freezer.patch: cgroup freezer (bnc#417294,
fate#304191, fate#201036).
-------------------------------------------------------------------
Fri Oct 24 06:32:10 CEST 2008 - npiggin@suse.de
- Update config files. Enable cgroups for all archs (bnc#417527)
-------------------------------------------------------------------
Fri Oct 24 05:57:53 CEST 2008 - npiggin@suse.de
- patches.arch/ppc-gigantic-page-fix2.patch: powerpc gigantic
pages fix 2 (bnc#434026).
- patches.arch/ppc-gigantic-page-fix3.patch: powerpc gigantic
pages fix 3 (bnc#434026).
- patches.trace/lttng-instrumentation-hugetlb.patch: de-fuzz
-------------------------------------------------------------------
Fri Oct 24 05:35:49 CEST 2008 - gregkh@suse.de
- disabled CONFIG_CALGARY_IOMMU_ENABLED_BY_DEFAULT on x86-64
vanilla kernel.
-------------------------------------------------------------------
Fri Oct 24 05:34:15 CEST 2008 - gregkh@suse.de
- patches.drivers/cciss-fix-procfs-firmware-regression.patch:
cciss: fix procfs firmware regression (bnc435644).
- patches.drivers/cciss-fix-sysfs-symlink.patch: cciss: fix
sysfs symlink (bnc435644).
-------------------------------------------------------------------
Fri Oct 24 05:07:47 CEST 2008 - gregkh@suse.de
- disable CONFIG_CALGARY_IOMMU_ENABLED_BY_DEFAULT on x86-64. To use
Calgary iommu systems, you now must manually pass "iommu=calgary" to
get this hardware support back enabled. This is the recommended
proceedure right now, from IBM, otherwise the hardware crashes.
bnc#436450.
-------------------------------------------------------------------
Fri Oct 24 01:12:04 CEST 2008 - gregkh@suse.de
- clean up all fuzz in patches to get them to apply cleanly.
-------------------------------------------------------------------
Fri Oct 24 00:57:17 CEST 2008 - gregkh@suse.de
- delete patches.arch/s390-01-03-cmm2-v2.patch as it touched core
kernel code and was rejected from upstream.
- patches.arch/s390-01-03-cmm2-v2.patch: Delete.
- patches.arch/s390-01-03-cmm2-v2a.patch: Delete.
-------------------------------------------------------------------
Fri Oct 24 00:36:54 CEST 2008 - gregkh@suse.de
- Update to version 3.4 of squashfs:
- patches.suse/squashfs3.3-patch: Delete.
- patches.suse/squashfs-patch-2.6.25: Delete.
- patches.suse/squashfs-patch-2.6.27: Delete.
- patches.suse/squashfs-3.4.patch: squashfs v3.4.
-------------------------------------------------------------------
Thu Oct 23 23:13:11 CEST 2008 - jeffm@suse.de
- patches.fixes/block-sanitize-invalid-partition-table-entries:
block: sanitize invalid partition table entries (bnc#371657).
-------------------------------------------------------------------
Thu Oct 23 15:41:19 CEST 2008 - olh@suse.de
- add patches.arch/ppc-pseries_mem-limit-16g.patch
Don't use a 16G page if beyond mem= limits (bnc#438111 - LTC49329)
-------------------------------------------------------------------
Thu Oct 23 15:27:00 CEST 2008 - kkeil@suse.de
- Update x86 64bit config files to include WAN support (bnc#437692)
-------------------------------------------------------------------
Thu Oct 23 15:19:58 CEST 2008 - olh@suse.de
- add patches.drivers/cell_edac.patch
Fix incorrect edac_mode in csrow causing oops (bnc#437757 - LTC49143)
-------------------------------------------------------------------
Thu Oct 23 14:09:23 CEST 2008 - olh@suse.de
- update patches.suse/nameif-track-rename.patch
print also application and pid
-------------------------------------------------------------------
Thu Oct 23 12:16:39 CEST 2008 - jkosina@suse.de
- patches.fixes/hid-rdesc-quirk-for-sony-vaio-VGX-TP1E.patch:
HID: Fix Sony Vaio VGX report descriptor (bnc#437758).
-------------------------------------------------------------------
Thu Oct 23 11:32:43 CEST 2008 - olh@suse.de
- add patches.drivers/ehca-rejecting-dynamic-mem-add-remove.patch
reject dynamic memory add/remove (bnc#434651 - LTC48744)
-------------------------------------------------------------------
Thu Oct 23 10:54:19 CEST 2008 - npiggin@suse.de
- Update config files.
-------------------------------------------------------------------
Thu Oct 23 09:28:14 CEST 2008 - npiggin@suse.de
- patches.suse/x86-gb-linear-map.patch: Enable GB mapped linear
KVA on x86 (bnc#437674).
- patches.xen/xen3-patch-2.6.26: merge
-------------------------------------------------------------------
Thu Oct 23 00:23:55 CEST 2008 - gregkh@suse.de
- update to 2.6.27.3
- lots of bugfixes
- CVE-2008-3831 fix
-------------------------------------------------------------------
Wed Oct 22 21:45:42 CEST 2008 - jeffm@suse.de
- scripts/vc: Handle unset $EDITOR
-------------------------------------------------------------------
Wed Oct 22 21:43:25 CEST 2008 - jeffm@suse.de
- patches.suse/export-release_open_intent: Export
release_open_intent for NFS branches with aufs.
-------------------------------------------------------------------
Wed Oct 22 14:17:15 CEST 2008 - jdelvare@suse.de
- supported.conf: Mark the ibmaem and ibmpex hwmon drivers as
supported by IBM.
- supported.conf: Mark the i5k_amb hwmon driver as supported.
-------------------------------------------------------------------
Wed Oct 22 11:39:01 CEST 2008 - olh@suse.de
- add patches.drivers/ehea.patch
Fix memory hotplug support (436447 - LTC48713)
-------------------------------------------------------------------
Wed Oct 22 11:16:18 CEST 2008 - olh@suse.de
- add patches.arch/ppc-pseries_hugepage_pagetable_allocation.patch
Cleanup hugepage pagetable allocation (bnc# 433503 - LTC48757)
-------------------------------------------------------------------
Wed Oct 22 08:23:43 CEST 2008 - sassmann@suse.de
Add PS3 audio patches:
- patches.arch/ppc-ps3-add-passthrough-support-for-non-audio-streams.patch:
ps3: Add passthrough support for non-audio streams.
- patches.arch/ppc-ps3-add-ps3av-audio-mute-analog.patch:
ps3: Add ps3av_audio_mute_analog().
- patches.arch/ppc-ps3-add-support-for-SPDIF-HDMI-passthrough.patch:
ps3: Add support for SPDIF/HDMI passthrough.
-------------------------------------------------------------------
Tue Oct 21 11:46:22 CEST 2008 - sdietrich@suse.de
- patches.rt/genirq-usb.patch: Make status_cache u32
- patches.rt/genirq-ohci1394.patch: Enable ohci1394 IRQ
threading.
-------------------------------------------------------------------
Tue Oct 21 11:21:00 CEST 2008 - hare@suse.de
- patches.fixes/dm-mpath-abort-queue: rediff.
- patches.fixes/scsi-atomic-blk-timer-deletes: scsi: atomic blk
timer deletes (LTC#48990, bnc#434105).
- patches.suse/rq-based-block-layer: rq-based multipathing:
rediff.
-------------------------------------------------------------------
Tue Oct 21 09:59:51 CEST 2008 - hare@suse.de
- patches.fixes/scsi-inquiry-too-short-ratelimit: INQUIRY result
too short (5) message flood (bnc#432535).
-------------------------------------------------------------------
Tue Oct 21 07:55:12 CEST 2008 - npiggin@suse.de
- patches.suse/filp-slab-rcu: Delete.
-------------------------------------------------------------------
Tue Oct 21 07:00:39 CEST 2008 - sdietrich@suse.de
USB IRQ threading (experimental: guarded by genirq):
- patches.rt/genirq1-make-irqreturn_t-an-enum: genirq: make
irqreturn_t an enum.
- patches.rt/genirq2-add-quick-check-handler.patch: genirq:
add a quick check handler.
- patches.rt/genirq3-add-threaded-irq-handler-support: genirq:
add threaded interrupt handler support.
- patches.rt/genirq4-add-helper-to-check-whether-irq-thread-should-run:
genirq: add a helper to check whether the irqthread should run.
- patches.rt/genirq5-make-irq-threading-robust: genirq: make
irq threading robust.
- patches.rt/genirq-usb.patch: genirq threading for ehci, ohci
and uhci USB hosts..
- patches.rt/genirq-wlan-ng-compat.patch: Remove redundant,
obsolete 2.4 compatibility code in wlan-ng.
Ack:
- patches.rt/genirq-soft-resend.patch: Linux-RT 2.6.27-RT
x86: activate HARDIRQS_SW_RESEND.
-------------------------------------------------------------------
Mon Oct 20 22:02:40 CEST 2008 - gregkh@suse.de
- patches.arch/x2APIC_PATCH_x86-mach_apicdef.h-need-to-include-before-smp.h.patch:
x86: mach_apicdef.h need to include before smp.h.
fixes build error on i386 pae kernels.
-------------------------------------------------------------------
Mon Oct 20 21:33:25 CEST 2008 - bwalle@suse.de
- patches.suse/kdump-dump_after_notifier.patch:
Add dump_after_notifier sysctl (bnc#436678).
-------------------------------------------------------------------
Mon Oct 20 18:21:36 CEST 2008 - gregkh@suse.de
- scripts/wd-functions.sh: reverse git and cvs checks (check for
cvs before git). This solves the problem if you are using a cvs
tree, yet it happens to live in a directory that way up the
directory chain is in a git tree (like for people who keep portions
of their $HOME in git).
-------------------------------------------------------------------
Mon Oct 20 18:16:15 CEST 2008 - gregkh@suse.de
- Update config files: enable CONFIG_GENERICARCH and CONFIG_BIGSMP
on i386-pae kernels. (bnc#428247)
-------------------------------------------------------------------
Mon Oct 20 17:34:11 CEST 2008 - ptesarik@suse.cz
- patches.arch/x86-tracehook: fix the order of arguments in
/proc/$pid/syscall on x86_64.
-------------------------------------------------------------------
Mon Oct 20 16:53:36 CEST 2008 - bwalle@suse.de
- Update config files: Enable CONFIG_KVM_KMP for RT config, run
oldconfig.
-------------------------------------------------------------------
Mon Oct 20 16:42:42 CEST 2008 - bwalle@suse.de
- patches.arch/ppc-vmcoreinfo.diff: Correct to reflect mainline
state.
-------------------------------------------------------------------
Mon Oct 20 12:52:08 CEST 2008 - hare@suse.de
- Update config files.
- patches.suse/kvm-as-kmp: Allow KVM to be built as KMP
(FATE#303679).
-------------------------------------------------------------------
Mon Oct 20 10:54:50 CEST 2008 - jjolly@suse.de
- patches.arch/s390-01-05-kmsg-v2.patch: Instrument the kernel
components such that a kernel message catalog as well as related
man pages can be automatically generated. (bnc#417300)
- patches.arch/s390-01-06-zfcp-cleanup-v2.patch: Update patch to
fit on recent kmsg patch changes. (bnc#417550)
- patches.drivers/driver-core-basic-infrastructure-for-per-module-dynamic-debug-messages.patch:
Update patch to fit on recent kmsg patch changes.
- config/s390/{default,s390}: Added CONFIG_KMSG_IDS=y
-------------------------------------------------------------------
Mon Oct 20 10:15:36 CEST 2008 - bwalle@suse.de
- supported.conf: Mark 'crasher' as supported to avoid installing
the "extra" package only for that module.
-------------------------------------------------------------------
Mon Oct 20 08:46:19 CEST 2008 - olh@suse.de
- add patches.arch/ppc-pseries_16g-numa.patch
fix crash with more 2 numa nodes and 16G hugepage (bnc#436452 - LTC48839)
-------------------------------------------------------------------
Sat Oct 18 21:15:52 CEST 2008 - gregkh@suse.de
- Update to 2.6.27.2.
- lots of bugfixes
-------------------------------------------------------------------
Sat Oct 18 10:11:55 CEST 2008 - tonyj@suse.de
- patches.rt/fix-preempt-none.patch: Fix preempt-none build
errors
-------------------------------------------------------------------
Fri Oct 17 22:24:56 CEST 2008 - jeffm@suse.de
- patches.suse/SoN-20-netvm-reserve-inet.patch-fix: SoN: Fix
initialization of ipv4_route_lock (bnc#435994).
-------------------------------------------------------------------
Fri Oct 17 17:49:05 CEST 2008 - kkeil@suse.de
- patches.drivers/tg3-Add-57780-ASIC-revision.patch: tg3: Add
57780 ASIC revision. (bnc#434147)
- patches.drivers/broadcom-Add-support-for-the-57780-integrated-PHY.patch:
broadcom: Add support for the 57780 integrated PHY. (bnc#434147)
- patches.drivers/bnx2x-eeh.patch: bnx2x: EEH recovery fix.(bnc#433875)
-------------------------------------------------------------------
Fri Oct 17 17:42:48 CEST 2008 - bwalle@suse.de
- rpm/kernel-binary.spec.in: Add the auto-generated (by mkdumprd)
kdump initrd to %ghost so that the file gets removed when
uninstalling the kernel.
-------------------------------------------------------------------
Fri Oct 17 01:22:08 CEST 2008 - mfasheh@suse.com
- ocfs2 ACL / security attribute support
- patches.suse/ocfs2-Remove-unused-function-restore_extent_block.patch
- patches.suse/ocfs2-Merge-transactions-during-xattr-set.patch
- patches.suse/ocfs2-xattr.-ch-definition-cleanups.patch
- patches.suse/ocfs2-Add-security-xattr-support-in-ocfs2.patch
- patches.suse/ocfs2-Add-POSIX-ACL-support-in-ocfs2.patch
-------------------------------------------------------------------
Fri Oct 17 00:57:16 CEST 2008 - mfasheh@suse.com
- ocfs2 fixes / updates from 2.6.28 merge window
- patches.suse/ocfs2-xattr.c-Fix-a-bug-when-inserting-xattr.patch
- patches.suse/ocfs2-Add-empty-bucket-support-in-xattr.patch
- patches.suse/ocfs2-Remove-pointless.patch
- patches.suse/ocfs2-make-la_debug_mutex-static.patch
- patches.suse/ocfs2-Documentation-update-for-user_xattr-nouser_.patch
- patches.suse/ocfs2-use-smaller-counters-in-ocfs2_remove_xattr_cl.patch
- patches.suse/ocfs2-Don-t-check-for-NULL-before-brelse.patch
- patches.suse/ocfs2-Uninline-ocfs2_xattr_name_hash.patch
- patches.suse/ocfs2-Move-trusted-and-user-attribute-support-into.patch
- patches.suse/ocfs2-Calculate-EA-hash-only-by-its-suffix.patch
- patches.suse/ocfs2-Refactor-xattr-list-and-remove-ocfs2_xattr_ha.patch
- patches.suse/ocfs2-Separate-out-sync-reads-from-ocfs2_read_block.patch
- patches.suse/ocfs2-Require-an-inode-for-ocfs2_read_block-s.patch
- patches.suse/ocfs2-Simplify-ocfs2_read_block.patch
- patches.suse/ocfs2-Move-ocfs2_bread-into-dir.c.patch
- patches.suse/ocfs2-Kill-the-last-naked-wait_on_buffer-for-cach.patch
- patches.suse/ocfs2-Make-cached-block-reads-the-common-case.patch
- patches.suse/ocfs2-fix-build-error.patch
-------------------------------------------------------------------
Thu Oct 16 23:49:45 CEST 2008 - mfasheh@suse.com
- ocfs2 dynamic local alloc patches
-------------------------------------------------------------------
Thu Oct 16 22:38:23 CEST 2008 - jslaby@suse.de
- patches.drivers/tpm-bcm0102-workaround.patch: tpm: work around
bug in Broadcom BCM0102 chipset (bnc#425747 FATE304221).
- patches.drivers/tpm-correct-timeouts.patch: tpm: correct tpm
timeouts to jiffies conversion (bnc#425747 FATE304221).
-------------------------------------------------------------------
Thu Oct 16 20:10:40 CEST 2008 - ihno@suse.de
- added patches.arch/s390-01-03-cmm2-v2a.patch
fix the sequence of initilisations.
-------------------------------------------------------------------
Thu Oct 16 12:48:17 CEST 2008 - agruen@suse.de
- rpm/kernel-binary.spec.in: Make the kernel-$flavor-extra packages
supplement kernel-$flavor on openSUSE and SLED so that those
packages will get installed by default, using the product(...)
dependencies provided by the *-release packages.
-------------------------------------------------------------------
Thu Oct 16 05:03:49 CEST 2008 - tonyj@suse.de
- Previous checkin was totally broken wrt rt config files.
Revert to previous versions and incorporate any changes from
run_oldconfig. Sole changes to previous versions is to remove
CONFIG_DYNAMIC_FTRACE from rt_debug configs which is consistent
with change in 2.6.27.1
-------------------------------------------------------------------
Thu Oct 16 01:48:04 CEST 2008 - gregkh@suse.de
- Update to 2.6.27.1
- disables a problem config option, only affected the vanilla,
debug, and rt kernel variants.
-------------------------------------------------------------------
Wed Oct 15 16:29:57 CEST 2008 - olh@suse.de
- add patches.arch/ppc-pseries_pfn-mem-rm.patch
fix hotplug memory remove (bnc#435181 - LTC48640)
-------------------------------------------------------------------
Wed Oct 15 15:59:23 CEST 2008 - mmarek@suse.cz
- rpm/post.sh, rpm/postun.sh: run weak-modules, depmod and mkinitrd
on older distros (bnc#435246)
Fixed fix for bnc#435104 ("/boot/" was missing in some places)
-------------------------------------------------------------------
Wed Oct 15 11:25:19 CEST 2008 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: don't recommend the -extra subpackage
(fate#303631)
- rpm/postun.sh, rpm/post.sh: remove the temporary workaround
-------------------------------------------------------------------
Wed Oct 15 08:58:57 CEST 2008 - olh@suse.de
- drop patches.arch/ppc-iseries-remove-AVAILABLE_VETH.patch
not needed anymore
-------------------------------------------------------------------
Wed Oct 15 07:46:16 CEST 2008 - nfbrown@suse.de
- patches.fixes/nfs-file-cred-context-null: nfs_file_cred should
cope if 'file' hasn't been opened properly (bnc#431785).
-------------------------------------------------------------------
Wed Oct 15 03:36:22 CEST 2008 - tonyj@suse.de
- Update RT patches to latest .27 Windriver version (all patches)
- Disable patches.rt/swap-spinlock-fix.patch
- Guard filp-slab-rcu for RT
-------------------------------------------------------------------
Tue Oct 14 17:29:36 CEST 2008 - jkosina@suse.de
- patches.fixes/input-add-acer-aspire-5710-to-nomux.patch: Input:
Add Acer Aspire 5710 to nomux blacklist (bnc#404881).
-------------------------------------------------------------------
Tue Oct 14 16:33:12 CEST 2008 - oneukum@suse.de
- patches.fixes/ehci_sched.diff: Delete.
in mainline now. done to the right tree.
-------------------------------------------------------------------
Tue Oct 14 15:48:20 CEST 2008 - mmarek@suse.cz
- rpm/postun.sh, rpm/post.sh: temporarily ignore errors from
weak-modules2 --{add,remove}-kernel-modules until
module-init-tools has rebuilt
-------------------------------------------------------------------
Tue Oct 14 15:45:52 CEST 2008 - jkosina@suse.de
- patches.fixes/input-add-amilo-pro-v-to-nomux.patch: Delete.
The patch is already upstream in 2.6.27
-------------------------------------------------------------------
Tue Oct 14 15:16:38 CEST 2008 - jeffm@suse.de
- patches.suse/osync-error: Update position after check for -EIO
(bnc#434910).
-------------------------------------------------------------------
Tue Oct 14 14:04:11 CEST 2008 - npiggin@suse.de
- patches.suse/rlimit-memlock-64k.patch: Increase default
RLIMIT_MEMLOCK to 64k (bnc#329675).
-------------------------------------------------------------------
Tue Oct 14 13:19:29 CEST 2008 - sjayaraman@suse.de
- Update config files: CIFS_EXPERIMENTAL=y and CIFS_DFS_UPCALL=y
(FATE#303758).
-------------------------------------------------------------------
Tue Oct 14 10:54:40 CEST 2008 - jbeulich@novell.com
- patches.xen/xen-irq-probe: restrict IRQ probing (bnc#431572).
-------------------------------------------------------------------
Tue Oct 14 10:27:19 CEST 2008 - ptesarik@suse.cz
- patches.trace/utrace-core: undo overzealous #ifdef's, which
removed too much code in case UTRACE_CONFIG was unset.
-------------------------------------------------------------------
Tue Oct 14 10:09:32 CEST 2008 - jbeulich@novell.com
- patches.fixes/reiserfs-commit-ids-unsigned-ints: Remove L suffix
from constant compared against.
-------------------------------------------------------------------
Tue Oct 14 10:08:27 CEST 2008 - olh@suse.de
- call bootloader_entry without leading /boot/ (bnc#435104)
-------------------------------------------------------------------
Tue Oct 14 09:44:43 CEST 2008 - mmarek@suse.cz
- rpm/kernel-source.spec.in, rpm/source-post.sh, rpm/functions.sh:
kill functions.sh, put the relink() function to source-post.sh
directly
- rpm/postun.sh, rpm/pre.sh, rpm/preun.sh, rpm/post.sh,
rpm/kernel-binary.spec.in: unify generation of the scriptlets,
switch to the new weak-modules2 script
-------------------------------------------------------------------
Tue Oct 14 09:44:42 CEST 2008 - olh@suse.de
- disable unused ide_platform driver
-------------------------------------------------------------------
Tue Oct 14 09:04:59 CEST 2008 - jdelvare@suse.de
- supported.conf: Remove i2c-core and i2c-piix4 from base.
-------------------------------------------------------------------
Tue Oct 14 06:27:23 CEST 2008 - gregkh@suse.de
- supported.conf: remove ide-core and ide-cdrom from base on request
from Tejun.
-------------------------------------------------------------------
Tue Oct 14 00:59:55 CEST 2008 - gregkh@suse.de
- Update config files.
- patches.drivers/staging-add-pcc-acpi-driver.patch: Staging:
add pcc-acpi driver.
- rpm/kernel-binary.spec.in: Obsoletes pcc-acpi-kmp
-------------------------------------------------------------------
Tue Oct 14 00:30:29 CEST 2008 - gregkh@suse.de
- rpm/kernel-binary.spec.in: it's pcc-acpi and it's not obsolete, yet.
-------------------------------------------------------------------
Tue Oct 14 00:22:11 CEST 2008 - gregkh@suse.de
- rpm/kernel-binary.spec.in: obsoletes at76_usb-kmp
-------------------------------------------------------------------
Tue Oct 14 00:13:30 CEST 2008 - gregkh@suse.de
- rpm/kernel-binary.spec.in: obsoletes et131x-kmp, ivtv-kmp, and
ppc-acpi-kmp
-------------------------------------------------------------------
Mon Oct 13 23:44:42 CEST 2008 - gregkh@suse.de
- Update config files.
- patches.drivers/staging-at76_usb-wireless-driver.patch: staging:
at76_usb wireless driver.
-------------------------------------------------------------------
Mon Oct 13 21:33:49 CEST 2008 - gregkh@suse.de
- refresh all patches (except xen and rt) to apply cleanly
-------------------------------------------------------------------
Mon Oct 13 20:47:48 CEST 2008 - gregkh@suse.de
- rpm/kernel-binary.spec.in: add Obsoletes: wlan-ng-kmp as the needed
driver is now included from the staging tree.
-------------------------------------------------------------------
Mon Oct 13 20:46:03 CEST 2008 - gregkh@suse.de
- put proper Patch-mainline: markings on staging patches
-------------------------------------------------------------------
Mon Oct 13 20:44:24 CEST 2008 - gregkh@suse.de
- patches.drivers/staging-workaround-build-system-bug.patch:
Staging: workaround build system bug.
-------------------------------------------------------------------
Mon Oct 13 20:38:36 CEST 2008 - aj@suse.de
- rpm/post.sh: Fix typo that breaks script.
-------------------------------------------------------------------
Mon Oct 13 20:18:48 CEST 2008 - gregkh@suse.de
- disabled staging drivers for -rt trees
-------------------------------------------------------------------
Mon Oct 13 20:14:39 CEST 2008 - gregkh@suse.de
- Add -staging tree:
- added TAINT_CRAP flag
- added eth131x network driver
- added slicoss network driver
- added sgx network driver
- added me4000 data collection driver
- added go7007 video capture driver
- added USB/IP host and client driver
- added w35und wifi network driver
- added prism2 usb wifi network driver
- added echo cancellation driver
- only enabled these on x86 platforms
-------------------------------------------------------------------
Mon Oct 13 19:08:42 CEST 2008 - jjolly@suse.de
- patches.arch/s390-02-01-xpram.patch: xpram: per device block
request queues (bnc#434333,LTC#49030)
-------------------------------------------------------------------
Mon Oct 13 17:16:40 CEST 2008 - jeffm@suse.de
- rpm/kernel-binary.spec.in: Updated supported.conf checker to
eliminate false positives.
-------------------------------------------------------------------
Mon Oct 13 15:44:39 CEST 2008 - sjayaraman@suse.de
- patches.suse/SoN-14-mm-reserve.patch: Fix a compiler warning.
-------------------------------------------------------------------
Mon Oct 13 15:27:02 CEST 2008 - jbenc@suse.cz
- supported.conf: mark drivers/net/wireless/b43* and
driver/net/wireless/rt2x00/* as unsupported because of known
problems we cannot fix.
-------------------------------------------------------------------
Mon Oct 13 14:37:57 CEST 2008 - oneukum@suse.de
- patches.fixes/wdm_autoload.diff: add MODULE_DEVICE_TABLE to
cdc-wdm driver to compute a proper alias (bnc#433817).
-------------------------------------------------------------------
Mon Oct 13 13:53:09 CEST 2008 - ptesarik@suse.cz
- Update config files (CONFIG_UTRACE for rt flavours).
-------------------------------------------------------------------
Mon Oct 13 13:18:50 CEST 2008 - jbeulich@novell.com
- patches.xen/xen3-patch-2.6.27-rc3: Fix uninitialized data issue.
-------------------------------------------------------------------
Mon Oct 13 13:16:56 CEST 2008 - ptesarik@suse.cz
- patches.trace/utrace-core: utrace core (FATE#304321).
CONFIG_UTRACE enabled only for kernel-trace.
- Update config files.
-------------------------------------------------------------------
Mon Oct 13 10:01:30 CEST 2008 - aj@suse.de
- patches.suse/SoN-14-mm-reserve.patch: Add cast to fix compiler warning.
-------------------------------------------------------------------
Mon Oct 13 08:11:29 CEST 2008 - sjayaraman@suse.de
- Remove another stale swap-over-nfs patch.
patches.suse/SoN-29-nfs-alloc-recursions.patch: Delete.
-------------------------------------------------------------------
Fri Oct 10 05:56:00 CEST 2008 - jeffm@suse.de
- Updated to 2.6.27 final.
-------------------------------------------------------------------
Fri Oct 10 05:22:37 CEST 2008 - tonyj@suse.de
- Update config files
- Fix conflicts, remove most -RT guards added in recent RT update
patches.rt/apic-dumpstack.patch: refresh
patches.rt/preempt-irqs-core.patch: refresh
patches.rt/print-might-sleep-hack.patch: refresh
patches.rt/partrevert-lttng-instrumentation-irq.patch: add
patches.rt/partreadd-lttng-instrumentation-irq.patch: add
- Drop unused RT patches
patches.rt/mips-change-raw-spinlock-type.patch
patches.rt/nmi-watchdog-disable.patch
patches.rt/Add-dev-rmem-device-driver-for-real-time-JVM-testing.patch
patches.rt/lockstat-fix-contention-points.patch
patches.rt/sched-cpupri-priocount.patch
patches.rt/nmi-watchdog-fix-1.patch
patches.rt/cache_pci_find_capability.patch
patches.rt/fix-emac-locking-2.6.16.patch
patches.rt/2.6.21-rc6-lockless5-lockless-probe.patch
patches.rt/watchdog_use_timer_and_hpet_on_x86_64.patch
patches.rt/tie-pi-into-task.patch
patches.rt/idle2-fix.patch
patches.rt/2.6.21-rc6-lockless6-speculative-get-page.patch
patches.rt/rtmutex-initialize-waiters.patch
patches.rt/Allocate-RTSJ-memory-for-TCK-conformance-test.patch
patches.rt/add-generalized-pi-interface.patch
patches.rt/warn-on-rt-scatterlist.patch
patches.rt/2.6.21-rc6-lockless7-lockless-pagecache-lookups.patch
patches.rt/trace-eip2ip.patch
patches.rt/sched_rt-fixup.patch
patches.rt/2.6.21-rc6-lockless3-radix-tree-gang-slot-lookups.patch
patches.rt/trace_hist-latediv.patch
patches.rt/sched-cpupri-hotplug-support.patch
patches.rt/idle-fix.patch
patches.rt/ftrace-fix-get-kprobe-wreckage.patch
patches.rt/no-warning-for-irqs-disabled-in-local-bh-enable.patch
patches.rt/ftrace-function-record-nop.patch
patches.rt/ftrace-document-update1.patch
patches.rt/26-rt1-chirag.patch
patches.rt/trace_hist-divzero.patch
patches.rt/latency-tracing-ppc.patch
patches.rt/rtmutex-defer-pi-until-sleepy.patch
patches.rt/pmtmr-override.patch
patches.rt/sched-prioritize-non-migrating-rt-tasks.patch
patches.rt/rtmutex-use-runtime-init.patch
patches.rt/ftrace-fix-elevated-preempt-count-in-wakeup-tracer.patch
patches.rt/2.6.21-rc6-lockless8-spinlock-tree_lock.patch
patches.rt/lockdep-lock_set_subclass.patch
patches.rt/seqlock-make-raw-seqlocks-spin-during-write.patch
patches.rt/rt-mutex-irq-flags-checking.patch
patches.rt/ftrace-upstream.patch
patches.rt/sched-use-a-2d-bitmap-search-prio-cpu.patch
patches.rt/ftrace-preempt-trace-check.patch
patches.rt/rtmutex-add_readers.patch
patches.rt/lockdep-avoid-fork-waring.patch
patches.rt/arm-omap-02.patch
patches.rt/fix-acpi-build-weirdness.patch
patches.rt/cycles-to-ns-trace-fix.patch
patches.rt/ftrace-compile-fixes.patch
patches.rt/ftrace-wakeup-rawspinlock.patch
patches.rt/rtmutex-convert-to-libpi.patch
patches.rt/m68knommu_fixes_ontop_of_v2.6.26.patch
patches.rt/ftrace-trace-sched.patch
-------------------------------------------------------------------
Fri Oct 10 01:40:06 CEST 2008 - mfasheh@suse.com
- supported.conf: mark kernel/fs/gfs2 unsupported
-------------------------------------------------------------------
Fri Oct 10 00:13:28 CEST 2008 - gregkh@suse.de
- supported.conf: mark kernel/sound/pci/snd-cs5530 unsupported due
to sb16 dependancy issues
-------------------------------------------------------------------
Fri Oct 10 00:02:40 CEST 2008 - jeffm@suse.de
- patches.fixes/account-reserved-pages: Delete.
-------------------------------------------------------------------
Thu Oct 9 23:58:14 CEST 2008 - jeffm@suse.de
- patches.suse/supported-flag-sysfs: Updated to build with
CONFIG_MODULES=n.
-------------------------------------------------------------------
Thu Oct 9 23:57:28 CEST 2008 - jeffm@suse.de
- Updated to 2.6.27-rc9, but still disabled:
- patches.suse/silent-stack-overflow
- patches.xen/xen3-silent-stack-overflow
-------------------------------------------------------------------
Thu Oct 9 22:29:39 CEST 2008 - gregkh@suse.de
- supported.conf: thermal_sys, not thermal_sysfs.
-------------------------------------------------------------------
Thu Oct 9 22:25:55 CEST 2008 - jeffm@suse.de
- patches.rt/hrtimers-stuck-in-waitqueue.patch: Updated against
2.6.27-rc9.
-------------------------------------------------------------------
Thu Oct 9 22:24:08 CEST 2008 - jdelvare@suse.de
- supported.conf: Fix the name of the thermal_sys module.
- config/x86_64/rt_timing: THERMAL_HWMON is a boolean.
-------------------------------------------------------------------
Thu Oct 9 22:19:53 CEST 2008 - jeffm@suse.de
- patches.suse/netfilter-ipv4options: Updated to 2.6.27-rc9,
enabled, and marked supported (bnc#131728 - FATE#182).
-------------------------------------------------------------------
Thu Oct 9 21:53:38 CEST 2008 - jeffm@suse.de
- patches.fixes/serial8250_console_write-ier: Delete.
- patches.fixes/fix-serial-8250-UART_BUG_TXEN-test: Delete.
-------------------------------------------------------------------
Thu Oct 9 21:48:49 CEST 2008 - gregkh@suse.de
- supported.conf: mark kernel/sound/pci/snd-als4000 unsupported due
to dependancy on isa sb16 driver.
-------------------------------------------------------------------
Thu Oct 9 21:48:33 CEST 2008 - jeffm@suse.de
- patches.fixes/dont-writeback-fd-bdev-inodes.patch: Delete.
- patches.arch/s390-ccwgroup-attribute-ignore-newline: Delete.
-------------------------------------------------------------------
Thu Oct 9 21:46:26 CEST 2008 - gregkh@suse.de
- supported.conf: mark drivers/net/wan/hdlc_x25 unsupported due to
symbol dependancy tree.
-------------------------------------------------------------------
Thu Oct 9 21:41:02 CEST 2008 - jeffm@suse.de
- patches.suse/osync-error: make sure O_SYNC writes properly
return -EIO (bnc#58622).
-------------------------------------------------------------------
Thu Oct 9 21:12:19 CEST 2008 - bwalle@suse.de
- patches.arch/ppc-vmcoreinfo.diff:
Add additional symbols to /sys/kernel/vmcoreinfo data for
ppc(64).
-------------------------------------------------------------------
Thu Oct 9 21:07:59 CEST 2008 - trenn@suse.de
- patches.xen/xen-x2APIC_build_fix.patch: Adopt Xen to x2APIC
patchset (fate#303984 and fate#303948).
- Update config files.
Disable interrupt remapping for Xen -> this is something for
the hypervisor.
-------------------------------------------------------------------
Thu Oct 9 20:12:49 CEST 2008 - jeffm@suse.de
- Update config files: THERMAL_HWMON=y in vanilla configs.
-------------------------------------------------------------------
Thu Oct 9 20:02:57 CEST 2008 - jeffm@suse.de
- Update config files: THERMAL_HWMON=y; is boolean and enables
functionality in the already modular THERMAL module.
-------------------------------------------------------------------
Thu Oct 9 19:31:31 CEST 2008 - jeffm@suse.de
- patches.suse/supported-flag-sysfs: Export supported status
via sysfs.
-------------------------------------------------------------------
Thu Oct 9 19:07:45 CEST 2008 - carnold@novell.com
- Update config files. Disabled the in kernel KVM modules in
preference to using them as KMPs. See also brogers@novell.com
-------------------------------------------------------------------
Thu Oct 9 19:03:59 CEST 2008 - jeffm@suse.de
- Added a -iu|--ignore-unsupported-deps option to allow builds
with supported.conf problems.
-------------------------------------------------------------------
Thu Oct 9 18:56:02 CEST 2008 - jdelvare@suse.de
- config/i386/*, config/x86_64/*, config/ia64/*, supported.conf:
Build hwmon and thermal as modules.
-------------------------------------------------------------------
Thu Oct 9 18:55:51 CEST 2008 - gregkh@suse.de
- supported.conf: mark hdlc_ppp unsupported as syncppp is unsupported.
-------------------------------------------------------------------
Thu Oct 9 18:53:28 CEST 2008 - gregkh@suse.de
- supported.conf: mark ubifs as unsupported as it relies on mtd/ubi/
-------------------------------------------------------------------
Thu Oct 9 18:28:30 CEST 2008 - bwalle@suse.de
- patches.arch/ppc-dynamic-reconfiguration.diff:
powerpc: Add support for dynamic reconfiguration memory in
kexec/kdump kernels (bnc#431492).
-------------------------------------------------------------------
Thu Oct 9 18:16:01 CEST 2008 - carnold@novell.com
- rpm/kernel-binary.spec.in: package kernel-xen has dependency to
xen (bnc#431215).
-------------------------------------------------------------------
Thu Oct 9 18:12:17 CEST 2008 - gregkh@suse.de
- supported.conf: mark TPM drivers a supported, as IBM and others
rely on them.
-------------------------------------------------------------------
Thu Oct 9 18:11:16 CEST 2008 - gregkh@suse.de
- supported.conf: fix a dependancy link with the isa sb driver with
unsupported symbols
-------------------------------------------------------------------
Thu Oct 9 18:09:32 CEST 2008 - gregkh@suse.de
- supported.conf: mark ieee80122 modules as supported, as other
supported modules need them.
-------------------------------------------------------------------
Thu Oct 9 18:08:10 CEST 2008 - gregkh@suse.de
- supported.conf: mark all of the mtd drivers as unsupported to fix
the build errors (and to reflect our policy here.)
-------------------------------------------------------------------
Thu Oct 09 17:18:13 CEST 2008 - bwalle@suse.de
- Set CONFIG_KDB_CONTINUE_CATASTROPHIC to 0 (bnc#429910).
-------------------------------------------------------------------
Thu Oct 9 17:16:06 CEST 2008 - jdelvare@suse.de
- Don't build the tps65010 driver, it's only used on OMAP at the
moment.
-------------------------------------------------------------------
Thu Oct 9 16:33:31 CEST 2008 - mmarek@suse.cz
- rpm/built-in-where: make it work with a four-column
Modules.symvers (bnc#433533)
-------------------------------------------------------------------
Thu Oct 09 14:06:22 CEST 2008 - bwalle@suse.de
- Update KDB to v4.4-2.6.27-rc8.
-------------------------------------------------------------------
Thu Oct 9 12:09:10 CEST 2008 - olh@suse.de
- disable legacy iseries (bnc#433685 - LTC48946)
-------------------------------------------------------------------
Thu Oct 9 10:49:01 CEST 2008 - olh@suse.de
- enable battery_pmu on ppc32
-------------------------------------------------------------------
Thu Oct 9 10:16:35 CEST 2008 - trenn@suse.de
- supported.conf:
Marked these unsupported:
cpufreq-nforce2
e_powersaver
gx-suspmod
longhaul
longrun
p4-clockmod
powernow-k6
powernow-k7
speedstep-ich
speedstep-smi
Even Via CPUs are exporting cpu freq steps via ACPI and work fine
with acpi-cpufreq. No need to support the old, some are known
broken, drivers.
-------------------------------------------------------------------
Thu Oct 9 09:26:32 CEST 2008 - olh@suse.de
- add patches.suse/md-raid-metadata-PAGE_SIZE.patch
ignore PAGE_SIZE in md metadata, for raid0 (bnc#429490)
-------------------------------------------------------------------
Thu Oct 9 01:10:40 CEST 2008 - teheo@suse.de
- patches.xen/xen3-auto-common.diff: minor patch apply fix after
libata-prefer-over-ide.
-------------------------------------------------------------------
Thu Oct 9 00:54:46 CEST 2008 - teheo@suse.de
- patches.drivers/libata-prefer-over-ide: libata: prefer libata
drivers over ide ones (bnc#433105).
-------------------------------------------------------------------
Wed Oct 8 23:04:13 CEST 2008 - carnold@novell.com
- patches.xen/xen-op-packet: add support for new operation type
BLKIF_OP_PACKET (fate#300964).
- patches.xen/xen-blkfront-cdrom: implement forwarding of CD-ROM
specific commands (fate#300964).
-------------------------------------------------------------------
Wed Oct 8 22:55:05 CEST 2008 - gregkh@suse.de
- supported.conf: major update. Lots of new drivers added as this
file hadn't been updated for a lot of kernel revisions.
This should close out a lot of bugs about "unsupported" modules,
like bnc#433541.
-------------------------------------------------------------------
Wed Oct 8 19:01:44 CEST 2008 - gregkh@suse.de
- supported.conf: sorted the file correctly so we can start determining
what we are not supporting easier.
-------------------------------------------------------------------
Wed Oct 8 18:54:24 CEST 2008 - jeffm@suse.de
- Updated -rt for context against -rc9 and the x2APIC patches:
- patches.rt/new-softirq-code.patch
- patches.rt/preempt-irqs-core.patch
- patches.rt/preempt-irqs-x86-64-ioapic-mask-quirk.patch
- CONFIG_INTR_REMAP=n for now
- patches.rt/preempt-softirqs-core.patch
- patches.rt/rt-slab-new.patch
- patches.rt/slab-irq-nopreempt-fix.patch
- Update config files: NFS_SWAP=n
-------------------------------------------------------------------
Wed Oct 8 17:59:04 CEST 2008 - trenn@suse.de
x2APIC and interrupt remapping enablement.
Xen needs further work to build again.
Commit ids are from x86 tip git tree:
- patches.arch/x2APIC_fix_section_mismatch.patch: Fix several
section mismatches (none).
- patches.arch/x2APIC_PATCH_01_0f4896665a02b465ddca59a560983b24ec28c64b:
dmar: fix dmar_parse_dev() devices_cnt error condition check
(fate #303948 and fate #303984).
- patches.arch/x2APIC_PATCH_01_of_41_e61d98d8dad0048619bb138b0ff996422ffae53b:
x64, x2apic/intr-remap: Intel vt-d, IOMMU code reorganization
(fate #303948 and fate #303984).
- patches.arch/x2APIC_PATCH_02_228324076234ca6a8cd34be89be78022773459f1:
dmar: use list_for_each_entry_safe() in dmar_dev_scope_init()
(fate #303948 and fate #303984).
- patches.arch/x2APIC_PATCH_02_of_41_c42d9f32443397aed2d37d37df161392e6a5862f:
x64, x2apic/intr-remap: fix the need for sequential array
allocation of iommus (fate #303948 and fate #303984).
- patches.arch/x2APIC_PATCH_03_3f1fdb3673bb5638fa94186dc391cbc4879590bc:
dmar: initialize the return value in dmar_parse_dev() (fate
#303948 and fate #303984).
- patches.arch/x2APIC_PATCH_03_of_41_1886e8a90a580f3ad343f2065c84c1b9e1dac9ef:
x64, x2apic/intr-remap: code re-structuring, to be used by both
DMA and Interrupt remapping (fate #303948 and fate #303984).
- patches.arch/x2APIC_PATCH_04_f12c73e7fa7ebf9ad6defee2c4fb2664e743e970:
dmar: fix using early fixmap mapping for DMAR table parsing
(fate #303948 and fate #303984).
- patches.arch/x2APIC_PATCH_04_of_41_aaa9d1dd63bf89b62f4ea9f46de376ab1a3fbc6c:
x64, x2apic/intr-remap: use CONFIG_DMAR for DMA-remapping
specific code (fate #303948 and fate #303984).
- patches.arch/x2APIC_PATCH_05_7be42004065ce4df193aeef5befd26805267d0d9:
x86, lguest: fix apic_ops build on UP (fate #303948 and fate
#303984).
- patches.arch/x2APIC_PATCH_06_caf43bf7c6a55e89b6df5179df434d67e24aa32e:
x86, xen: fix apic_ops build on UP (fate #303948 and fate
#303984).
- patches.arch/x2APIC_PATCH_06_of_41_ad3ad3f6a2caebf56869b83b69e23eb9fa5e0ab6:
x64, x2apic/intr-remap: parse ioapic scope under vt-d structures
(fate #303948 and fate #303984).
- patches.arch/x2APIC_PATCH_07_511d9d34183662aada3890883e860b151d707e22:
x86: apic_ops for lguest (fate #303948 and fate #303984).
- patches.arch/x2APIC_PATCH_07_of_41_cf1337f0447e5be8e66daa944f0ea3bcac2b6179:
x64, x2apic/intr-remap: move IOMMU_WAIT_OP() macro to
intel-iommu.h (fate #303948 and fate #303984).
- patches.arch/x2APIC_PATCH_08_of_41_fe962e90cb17a8426e144dee970e77ed789d98ee:
x64, x2apic/intr-remap: Queued invalidation infrastructure
(part of VT-d) (fate #303948 and fate #303984).
- patches.arch/x2APIC_PATCH_09_94a8c3c2437c8946f1b6c8e0b2c560a7db8ed3c6:
x86: let 32bit use apic_ops too - fix (fate #303948 and fate
#303984).
- patches.arch/x2APIC_PATCH_09_of_41_2ae21010694e56461a63bfc80e960090ce0a5ed9:
x64, x2apic/intr-remap: Interrupt remapping infrastructure
(fate #303948 and fate #303984).
- patches.arch/x2APIC_PATCH_10_of_41_b6fcb33ad6c05f152a672f7c96c1fab006527b80:
x64, x2apic/intr-remap: routines managing Interrupt remapping
table entries. (fate #303948 and fate #303984).
- patches.arch/x2APIC_PATCH_11_of_41_72b1e22dfcad1daca6906148fd956ffe404bb0bc:
x64, x2apic/intr-remap: generic irq migration support from
process context (fate #303948 and fate #303984).
- patches.arch/x2APIC_PATCH_12_of_41_d94d93ca5cc36cd78c532def62772c98fe8ba5d7:
x64, x2apic/intr-remap: 8259 specific mask/unmask routines
(fate #303948 and fate #303984).
- patches.arch/x2APIC_PATCH_13_of_41_4dc2f96cacd1e74c688f94348a3bfd0a980817d5:
x64, x2apic/intr-remap: ioapic routines which deal with initial
io-apic RTE setup (fate #303948 and fate #303984).
- patches.arch/x2APIC_PATCH_14_of_41_0c81c746f9bdbfaafe64322d540c8b7b59c27314:
x64, x2apic/intr-remap: introduce read_apic_id() to genapic
routines (fate #303948 and fate #303984).
- patches.arch/x2APIC_PATCH_15_of_41_2d7a66d02e11af9ab8e16c76d22767e622b4e3d7:
x64, x2apic/intr-remap: Interrupt-remapping and x2apic support,
fix (fate #303948 and fate #303984).
- patches.arch/x2APIC_PATCH_16_of_41_1b374e4d6f8b3eb2fcd034fcc24ea8ba1dfde7aa:
x64, x2apic/intr-remap: basic apic ops support (fate #303948
and fate #303984).
- patches.arch/x2APIC_PATCH_17_of_41_32e1d0a0651004f5fe47f85a2a5c725ad579a90c:
x64, x2apic/intr-remap: cpuid bits for x2apic feature (fate
#303948 and fate #303984).
- patches.arch/x2APIC_PATCH_18_of_41_1cb11583a6c4ceda7426eb36f7bf0419da8dfbc2:
x64, x2apic/intr-remap: disable DMA-remapping if
Interrupt-remapping is detected (temporary quirk) (fate #303948
and fate #303984).
- patches.arch/x2APIC_PATCH_19_of_41_13c88fb58d0112d47f7839f24a755715c6218822:
x64, x2apic/intr-remap: x2apic ops for x2apic mode support
(fate #303948 and fate #303984).
- patches.arch/x2APIC_PATCH_20_of_41_cff73a6ffaed726780b001937d2a42efde553922:
x64, x2apic/intr-remap: introcude self IPI to genapic routines
(fate #303948 and fate #303984).
- patches.arch/x2APIC_PATCH_21_of_41_12a67cf6851871ca8df42025c94f140c303d0f7f:
x64, x2apic/intr-remap: x2apic cluster mode support (fate
#303948 and fate #303984).
- patches.arch/x2APIC_PATCH_22_of_41_5c520a6724e912a7e6153b7597192edad6752750:
x64, x2apic/intr-remap: setup init_apic_ldr for UV (fate
#303948 and fate #303984).
- patches.arch/x2APIC_PATCH_23_of_41_89027d35aa5b8f45ce0f7fa0911db85b46563da0:
x64, x2apic/intr-remap: IO-APIC support for interrupt-remapping
(fate #303948 and fate #303984).
- patches.arch/x2APIC_PATCH_24_of_41_75c46fa61bc5b4ccd20a168ff325c58771248fcd:
x64, x2apic/intr-remap: MSI and MSI-X support for interrupt
remapping infrastructure (fate #303948 and fate #303984).
- patches.arch/x2APIC_PATCH_25_1_of_41_4c9961d56ec20c27ec5d02e49fd7427748312741:
x86: make read_apic_id return final apicid (fate #303948 and
fate #303984).
- patches.arch/x2APIC_PATCH_25_2_of_41_c535b6a1a685eb23f96e2c221777d6c1e05080d5:
x86: let 32bit use apic_ops too (fate #303948 and fate #303984).
- patches.arch/x2APIC_PATCH_25_of_41_6e1cb38a2aef7680975e71f23de187859ee8b158:
x64, x2apic/intr-remap: add x2apic support, including enabling
interrupt-remapping (fate #303948 and fate #303984).
- patches.arch/x2APIC_PATCH_26_of_41_2d9579a124d746a3e0e0ba45e57d80800ee80807:
x64, x2apic/intr-remap: support for x2apic physical mode support
(fate #303948 and fate #303984).
- patches.arch/x2APIC_PATCH_27_of_41_9fa8c481b55e80edd8c637573f87853bb6b600f5:
x64, x2apic/intr-remap: introduce CONFIG_INTR_REMAP (fate
#303948 and fate #303984).
- patches.arch/x2APIC_PATCH_28_1_of_41_f910a9dc7c865896815e2a95fe33363e9522f277:
x86: make 64bit have get_apic_id (fate #303948 and fate
#303984).
- patches.arch/x2APIC_PATCH_29_of_41_277d1f5846d84e16760131a93b7a67ebfa8eded4:
x2apic: uninline uv_init_apic_ldr() (fate #303948 and fate
#303984).
- patches.arch/x2APIC_PATCH_30_of_41_ad66dd340f561bdde2285992314d9e4fd9b6191e:
x2apic: xen64 paravirt basic apic ops (fate #303948 and fate
#303984).
- patches.arch/x2APIC_PATCH_34_of_41_1b9b89e7f163336ad84200b66a17284dbf26aced:
x86: add apic probe for genapic 64bit, v2 (fate #303948 and
fate #303984).
- patches.arch/x2APIC_PATCH_35_of_41_d25ae38b7e005af03843833bbd811ffe8c5f8cb4:
x86: add apic probe for genapic 64bit - fix (fate #303948 and
fate #303984).
- patches.arch/x2APIC_PATCH_36_of_41_276605dddb74cbf1b77696e32c4a947e42cec52d:
x2apic: use x2apic id reported by cpuid during topology
discovery (fate #303948 and fate #303984).
- patches.arch/x2APIC_PATCH_40_of_41_bbb65d2d365efe9951290e61678dcf81ec60add4:
x86: use cpuid vector 0xb when available for detecting cpu
topology (fate #303948 and fate #303984).
- patches.arch/x2APIC_PATCH_41_of_41_11c231a962c740b3216eb6565149ae5a7944cba7:
x86: use x2apic id reported by cpuid during topology discovery,
fix (fate #303948 and fate #303984).
- patches.arch/x2APIC_PATCH_42_of_41_77322deb4bc676a5ee645444e7ed1a89f854473d:
x86: io-apic - interrupt remapping fix (fate #303948 and
fate #303984).
- patches.arch/x2APIC_PATCH_43_of_41_2c72d93f6593f386f5760ca8e7ac7026948c31d7:
x2apic: fix reserved APIC register accesses in
print_local_APIC() (fate #303948 and fate #303984).
- patches.drivers/sgi-uv-led: SGI UV: Provide a LED driver and
some System Activity Indicators (FATE#304268).
- patches.suse/kdb-x86: kdb-v4.4-2.6.27-rc5-x86-1 (FATE#303971).
- patches.xen/xen-x86-no-lapic: Disallow all accesses to the
local APIC page (191115).
- patches.xen/xen3-auto-arch-x86.diff: xen3 arch-x86.
- patches.xen/xen3-patch-2.6.19: Linux 2.6.19.
- patches.xen/xen3-patch-2.6.26: 2.6.26.
- Update config files:
Added CONFIG_INTR_REMAP to all x86_64 flavors
-------------------------------------------------------------------
Wed Oct 8 17:46:08 CEST 2008 - gregkh@suse.de
- rpm/kernel-binary.spec.in: added Obsoletes: atl2-kmp as this
driver is now included in the kernel package.
-------------------------------------------------------------------
Wed Oct 8 17:07:32 CEST 2008 - jjolly@suse.de
- patches.arch/s390-01-04-fcpperf-4-v2.patch: Update for fcpperf-4
patch to bring in sync with upstream version. (bnc#417243)
- patches.arch/s390-01-04-fcpperf-4.patch: Removed, replaced by
updated patch
-------------------------------------------------------------------
Wed Oct 8 17:07:31 CEST 2008 - sjayaraman@suse.de
- Remove stale swap-over-nfs patches.
- patches.suse/SoN-05-page_alloc-reserve.patch: Delete.
- patches.suse/SoN-06-reserve-slub.patch: Delete.
-------------------------------------------------------------------
Wed Oct 8 16:30:24 CEST 2008 - agruen@suse.de
- No uml patches left, so remove the code referring to
patches.uml.tar.bz2.
-------------------------------------------------------------------
Wed Oct 8 16:25:54 CEST 2008 - ghaskins@suse.de
Guarded by +RT
- patches.rt/fix-up-comment.patch: RT: Remove comment that is
no longer true.
- patches.rt/check-for-migration-during-push.patch: RT: fix
push_rt_task() to handle dequeue_pushable properly.
-------------------------------------------------------------------
Wed Oct 8 16:05:45 CEST 2008 - agruen@suse.de
- Add DMAPI patches (supported by SGI).
- Update config files: enable DMAPI.
-------------------------------------------------------------------
Wed Oct 8 16:02:06 CEST 2008 - sjayaraman@suse.de
- Doh, forgot to remove stale files from cvs
- Update config files NFS_SWAP=y.
-------------------------------------------------------------------
Wed Oct 8 15:34:19 CEST 2008 - sjayaraman@suse.de
- Refreshed to -v19 of swap over nfs patchset.
o netns, ipv6 fixes
o patch ordering changes due to additional patches
- Adjust patches.xen/xen3-auto-common.diff to avoid conflict.
-------------------------------------------------------------------
Wed Oct 08 15:12:57 CEST 2008 - bwalle@suse.de
- Enable CONFIG_MFD_SM501_GPIO also for RT.
-------------------------------------------------------------------
Wed Oct 08 14:54:54 CEST 2008 - bwalle@suse.de
- Refresh patches.rt/mips-remove-duplicate-kconfig.patch.
-------------------------------------------------------------------
Wed Oct 08 14:14:24 CEST 2008 - bwalle@suse.de
- Update to 2.6.27-rc9.
- Enable new configuration option CONFIG_MFD_SM501_GPIO.
- Drop following patches (mainline):
o patches.suse/e1000e_debug_contention_on_NVM_SWFLAG
o patches.suse/e1000e_do_not_ever_sleep_in_interrupt_context
o patches.suse/e1000e_drop_stats_lock, e1000e_fix_lockdep_issues
o patches.suse/e1000e_mmap_range_chk,
o patches.suse/e1000e_reset_swflag_after_resetting_hardware
o patches.suse/e1000e_update_versione1000e_write_protect_ichx_nvm
- Adjust/refresh following patches:
o patches.arch/s390-01-03-cmm2-v2.patch
o patches.drivers/e1000e_add_82574L.patch
o patches.drivers/e1000e_add_ICH9_BM.patch
o patches.drivers/e1000e_add_LOM_devices.patch
o patches.suse/e1000e_allow_bad_checksum
o patches.suse/e1000e_call_dump_eeprom
o patches.suse/e1000e_ioremap_sanity_check
o patches.suse/e1000e_use_set_memory_ro-rw_to_protect_flash_memory
-------------------------------------------------------------------
Wed Oct 8 14:07:55 CEST 2008 - mmarek@suse.cz
- rpm/kernel-module-subpackage: switch KMPs to the new
weak-modules2 script, which handles running depmod and mkinitrd
-------------------------------------------------------------------
Wed Oct 8 12:08:27 CEST 2008 - hare@suse.de
- supported.conf: mark igb as supported.
-------------------------------------------------------------------
Wed Oct 8 10:30:12 CEST 2008 - agruen@suse.de
- kernel-source-rt must not provide a kernel-source symbol: this
would break dependencies.
-------------------------------------------------------------------
Wed Oct 8 08:57:54 CEST 2008 - olh@suse.de
- update patches.suse/ppc-no-LDFLAGS_MODULE.patch
use suggested patch from kernel.org bugzilla
-------------------------------------------------------------------
Wed Oct 8 08:51:19 CEST 2008 - olh@suse.de
- add patches.arch/ppc-pseries_remove_lmb-PAGE_SHIFTT.patch
fix oops in pseries_remove_lmb with 64k PAGE_SIZE (bnc#431380)
-------------------------------------------------------------------
Wed Oct 8 06:34:05 CEST 2008 - gregkh@suse.de
- supported.conf: mark efivars.ko as supported
-------------------------------------------------------------------
Wed Oct 8 06:32:42 CEST 2008 - gregkh@suse.de
- enable yealink driver (bnc#432841)
-------------------------------------------------------------------
Tue Oct 7 16:58:20 CEST 2008 - oneukum@suse.de
- patches.fixes/ehci_sched.diff: fix hang in disable_periodic
(bnc#403346).
-------------------------------------------------------------------
Tue Oct 7 16:45:09 CEST 2008 - trenn@suse.de
- patches.fixes/fujisu_laptop_fix_section_mismatch.patch: Fix
section mismatch in fujitsu_laptop driver (none).
-------------------------------------------------------------------
Tue Oct 7 16:20:12 CEST 2008 - hare@suse.de
- patches.fixes/sd-needs-updating: Driver 'sd' needs updating
(bnc#406656).
-------------------------------------------------------------------
Tue Oct 7 15:29:23 CEST 2008 - agruen@suse.de
- rpm/post.sh: Catch mkinitrd error code indicating missing
required modules. Only add a bootloader entry if an initrd
could be created, but re-add the bootloader entry even if
the initrd already existed before (bnc#431703).
-------------------------------------------------------------------
Tue Oct 7 14:02:57 CEST 2008 - hare@suse.de
- patches.drivers/lpfc-8.2.8.4-update: Update lpfc to 8.2.8.4
(bnc#420767).
- patches.drivers/qla4xxx-sles11-update: qla4xxx driver fixes
for SLES11 (bnc#432976).
-------------------------------------------------------------------
Tue Oct 7 11:55:56 CEST 2008 - olh@suse.de
- mark legacy iseries storage as supported
-------------------------------------------------------------------
Mon Oct 6 21:58:37 CEST 2008 - jdelvare@suse.de
- supported.conf: Add the ad7414, adcxx and ibmaem hwmon drivers as
unsupported.
-------------------------------------------------------------------
Mon Oct 6 21:30:39 CEST 2008 - jdelvare@suse.de
- config/ia64/*: Don't built i2c-amd756-s4882 and i2c-nforce2-s4985
on ia64, these are motherboard-specific drivers for x86_64
motherboards.
- config/powerpc/*: Don't built i2c-isch on powerpc, it is a driver
for x86 hardware.
- config/*: Don't built i2c-simtec on i386, x86_64 and ia64, there
is no Simtec hardware based on these architectures.
-------------------------------------------------------------------
Sun Oct 5 11:15:44 CEST 2008 - agruen@suse.de
- rpm/kernel-binary.spec.in: Fix the logic splitting up the symbols
defined in vmlinux by subsystem. (Actually, per directory, under
the assumption that there is enough of a correlation).
-------------------------------------------------------------------
Sun Oct 5 05:41:47 CEST 2008 - greg@suse.de
- supported.conf: add ohci-hcd to base. Fix up other USB drivers
(USB network drivers had moved, others were missing)
-------------------------------------------------------------------
Sun Oct 5 05:24:17 CEST 2008 - gregkh@suse.de
- patches.drivers/atl2-add-atl2-network-driver.patch: atl2:
add atl2 network driver.
- Update config files (even the rt ones).
-------------------------------------------------------------------
Fri Oct 3 21:22:32 CEST 2008 - jkosina@suse.de
- patches.suse/e1000e_debug_contention_on_NVM_SWFLAG: fix bogus
WARN_ON() condition, as per upstream commit 95b866d5a
-------------------------------------------------------------------
Fri Oct 3 20:19:33 CEST 2008 - olh@suse.de
- mark ibmvfc as supported (bnc#417555 - fate#304178 - LTC46935)
-------------------------------------------------------------------
Fri Oct 3 20:15:34 CEST 2008 - olh@suse.de
- compile windfarm_pm121 into the kernel
-------------------------------------------------------------------
Fri Oct 3 20:13:35 CEST 2008 - jeffm@suse.de
- doc/README.SUSE, scripts/tar-up_and_run_mbuild.sh,
scripts/run_oldconfig.sh,
scripts/sequence-patch.sh: Eliminated defconfig.$flavor
-------------------------------------------------------------------
Fri Oct 3 19:58:32 CEST 2008 - olh@suse.de
- mark Cell drivers as supported
-------------------------------------------------------------------
Fri Oct 3 06:15:51 CEST 2008 - tonyj@suse.de
- Update config files
rt configs not updated for per-module-dynamic-debug-messages.patch
-------------------------------------------------------------------
Fri Oct 3 06:09:25 CEST 2008 - gregkh@suse.de
- change patches.suse/supported-flag to show which modules are
unsupported and externally supported in oops messages. Also change
the taint values as the documentation was totally wrong.
-------------------------------------------------------------------
Fri Oct 3 05:29:47 CEST 2008 - tonyj@suse.de
- Reenable RT debug builds, compile tested only
- Update config files
- Fix tracer issues
patches.rt/event-trace-hrtimer-trace.patch
patches.rt/trace-events-handle-syscalls.patch
patches.rt/trace-ktime-scalar.patch
patches.rt/tracer-event-trace.patch
- patches.rt/kdb-disable-ist.patch: Disable stackfault and debug stacks for kdb
- patches.rt/kdb-rtmisc.patch: Misc KDB fixes for RT
- patches.rt/novfs-rtmisc.patch: Misc NOVFS fixes for RT
-------------------------------------------------------------------
Fri Oct 3 05:20:45 CEST 2008 - gregkh@suse.de
- patches.drivers/driver-core-basic-infrastructure-for-per-module-dynamic-debug-messages.patch:
driver core: basic infrastructure for per-module dynamic
debug messages.
- refresh patches.trace/tracepoints.patch: Kernel Tracepoints.
- Update config files.
-------------------------------------------------------------------
Thu Oct 2 20:05:27 CEST 2008 - agruen@suse.de
- Introduce kernel-source-rt and kernel-syms-rt packages, needed
for building real-time KMPs.
-------------------------------------------------------------------
Thu Oct 2 18:07:38 CEST 2008 - schwab@suse.de
- Don't clean <asm/nr-irqs.h>.
-------------------------------------------------------------------
Thu Oct 2 15:59:26 CEST 2008 - jblunck@suse.de
- supported.conf: add qeth_l2 and qeth_l3 as supported modules
-------------------------------------------------------------------
Thu Oct 2 12:31:31 CEST 2008 - jkosina@suse.de
- patches.suse/e1000e_write_protect_ichx_nvm: e1000e: update to
the latest version of the patch as provided by Intel
-------------------------------------------------------------------
Thu Oct 2 09:56:40 CEST 2008 - jbeulich@novell.com
- Update Xen patches to 2.6.27-rc8 and c/s 684.
- patches.xen/xen-e1000e_Export_set_memory_ro-rw: Replace by ...
- patches.xen/xen3-e1000e_Export_set_memory_ro-rw: this, and put
in proper place in series.conf.
- patches.xen/xen-rwlocks-enable-interrupts: Replace by ...
- patches.xen/xen3-rwlocks-enable-interrupts: this, and put in
proper place in series.conf.
-------------------------------------------------------------------
Wed Oct 1 16:20:17 CEST 2008 - jeffm@suse.de
- scripts/sequence-patch.sh
- scripts/run_oldconfig.sh: x86 defconfigs go under arch/x86.
-------------------------------------------------------------------
Wed Oct 1 14:33:28 CEST 2008 - olh@suse.de
- enable msi on ppc64 (bnc#430937)
-------------------------------------------------------------------
Wed Oct 1 09:44:11 CEST 2008 - tiwai@suse.de
- rpm/kernel-source.spec.in: Remove /lib/modules from file list
as it's already in filesystem
-------------------------------------------------------------------
Wed Oct 1 09:08:44 CEST 2008 - tiwai@suse.de
- rpm/kernel-source.spec.in: Fixed missing endif
-------------------------------------------------------------------
Wed Oct 1 08:36:07 CEST 2008 - tiwai@suse.de
- Update config files: make floppy module on i386, too
-------------------------------------------------------------------
Wed Oct 1 08:31:34 CEST 2008 - tiwai@suse.de
- supported.conf: update sound drivers
-------------------------------------------------------------------
Wed Oct 1 05:08:35 CEST 2008 - tonyj@suse.de
- update RT to 2.6.27-rc8
- patches.rt/preempt-realtime-fs-block.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-timer.patch: Linux-RT 2.6.27-RT.
-------------------------------------------------------------------
Wed Oct 1 03:00:37 CEST 2008 - agruen@suse.de
- Update -rt config files.
-------------------------------------------------------------------
Tue Sep 30 19:46:13 CEST 2008 - gregkh@suse.de
- update to 2.6.27-rc8
- obsoletes these patches:
- patches.arch/ia64-kdump_proc_iomem.diff
- patches.drivers/qla2xxx-defer-risc-interrupt-enablement
- patches.fixes/usb-hcd-interrupt-shared.patch
-------------------------------------------------------------------
Tue Sep 30 17:17:15 CEST 2008 - jkosina@suse.de
- additional patches to track down and fix e1000e NVM corruption
- patches.suse/e1000e_ioremap_sanity_check: ioremap sanity check
to catch mapping requests exceeding the BAR sizes (bnc#425480).
- patches.suse/e1000e_write_protect_ichx_nvm: e1000e: write
protect ICHx NVM to prevent malicious write/erase (bnc#425480).
-------------------------------------------------------------------
Tue Sep 30 14:07:40 CEST 2008 - hare@suse.de
- Update config files.
-------------------------------------------------------------------
Tue Sep 30 13:57:30 CEST 2008 - hare@suse.de
- patches.drivers/mpt-fusion-4.00.43.00-update: Update MPT Fusion
driver to v4.00.43.00 (bnc#425660).
- patches.kernel.org/gdth-section-conflict: Add missing annotations.
-------------------------------------------------------------------
Tue Sep 30 13:42:06 CEST 2008 - sassmann@suse.de
- Update config files: Disabled everything unnecessary in
ps3 config file
-------------------------------------------------------------------
Tue Sep 30 12:19:39 CEST 2008 - olh@suse.de
- disable ninja32 and ns87415 pata drivers on ppc64
-------------------------------------------------------------------
Tue Sep 30 12:16:52 CEST 2008 - sdietrich@suse.de
- config.conf: enable rt for i386/x86_64
- Update config files: Preliminary sync with default and debug configs.
- config/x86_64/rt_timing: Delete.
- patches.rt/2.6.21-rc6-lockless3-radix-tree-gang-slot-lookups.patch:
Linux-RT 2.6.27-RT
Linux-RT 2.6.26-RT radix-tree: gang slot lookups.
- patches.rt/2.6.21-rc6-lockless5-lockless-probe.patch: Linux-RT
2.6.27-RT
Linux-RT 2.6.26-RT mm: lockless probe.
- patches.rt/2.6.21-rc6-lockless6-speculative-get-page.patch:
Linux-RT 2.6.27-RT
Linux-RT 2.6.26-RT mm: speculative get page.
- patches.rt/2.6.21-rc6-lockless7-lockless-pagecache-lookups.patch:
Linux-RT 2.6.27-RT
Linux-RT 2.6.26-RT mm: lockless pagecache lookups.
- patches.rt/2.6.21-rc6-lockless8-spinlock-tree_lock.patch:
Linux-RT 2.6.27-RT
Linux-RT 2.6.26-RT mm: spinlock tree_lock.
- patches.rt/Add-dev-rmem-device-driver-for-real-time-JVM-testing.patch:
Linux-RT 2.6.27-RT
Linux-RT 2.6.26-RT.
- patches.rt/Allocate-RTSJ-memory-for-TCK-conformance-test.patch:
Linux-RT 2.6.27-RT
Linux-RT 2.6.26-RT.
- patches.rt/RT_utsname.patch: Linux-RT 2.6.27-RT.
- patches.rt/aacraid-compat-sem.patch: Linux-RT 2.6.27-RT.
- patches.rt/adapt-remove-extra-try-to-lock.patch: Linux-RT
2.6.27-RT.
- patches.rt/adaptive-adjust-pi-wakeup.patch: Linux-RT 2.6.27-RT.
- patches.rt/adaptive-earlybreak-on-steal.patch: Linux-RT
2.6.27-RT
rtmutex: break out early on first run.
- patches.rt/adaptive-optimize-rt-lock-wakeup.patch: Linux-RT
2.6.27-RT.
- patches.rt/adaptive-spinlock-lite-v2.patch: Linux-RT 2.6.27-RT
adaptive spinlocks lite.
- patches.rt/adaptive-task-oncpu.patch: Linux-RT 2.6.27-RT.
- patches.rt/apic-dumpstack.patch: Linux-RT 2.6.27-RT.
- patches.rt/apic-level-smp-affinity.patch: Linux-RT 2.6.27-RT.
- patches.rt/arm-compile-fix.patch: Linux-RT 2.6.27-RT
ARM: compile fix for event tracing.
- patches.rt/arm-fix-compile-error-trace-exit-idle.patch:
Linux-RT 2.6.27-RT.
- patches.rt/arm-futex-atomic-cmpxchg.patch: Linux-RT 2.6.27-RT.
- patches.rt/arm-latency-tracer-support.patch: Linux-RT 2.6.27-RT.
- patches.rt/arm-omap-02.patch: Linux-RT 2.6.27-RT
Linux-RT 2.6.26-RT.
- patches.rt/arm-omap-05.patch: Linux-RT 2.6.27-RT.
- patches.rt/arm-preempt-config.patch: Linux-RT 2.6.27-RT.
- patches.rt/arm-trace-preempt-idle.patch: Linux-RT 2.6.27-RT.
- patches.rt/bh-state-lock.patch: Linux-RT 2.6.27-RT.
- patches.rt/bh-uptodate-lock.patch: Linux-RT 2.6.27-RT.
- patches.rt/bz235099-idle-load-fix.patch: Linux-RT 2.6.27-RT.
- patches.rt/cache_pci_find_capability.patch: Linux-RT 2.6.27-RT
Linux-RT 2.6.26-RT Cache calls to pci_find_capability.
- patches.rt/call_rcu_bh-rename-of-call_rcu.patch: Linux-RT
2.6.27-RT
just rename call_rcu_bh instead of making it a macro.
- patches.rt/cond_resched_softirq-WARN-fix.patch: Linux-RT
2.6.27-RT
WARNING: at kernel/sched.c:5071 2.6.23-rc1-rt7.
- patches.rt/cputimer-thread-rt-fix.patch: Linux-RT 2.6.27-RT.
- patches.rt/cputimer-thread-rt_A0.patch: Linux-RT 2.6.27-RT.
- patches.rt/cycles-to-ns-trace-fix.patch: Linux-RT 2.6.27-RT
Linux-RT 2.6.26-RT.
- patches.rt/dev-queue-xmit-preempt-fix.patch: Linux-RT 2.6.27-RT.
- patches.rt/disable-irqpoll.patch: Linux-RT 2.6.27-RT.
- patches.rt/disable-ist-x86_64.patch: Linux-RT 2.6.27-RT.
- patches.rt/disable-lpptest-on-nonlinux.patch: Linux-RT
2.6.27-RT.
- patches.rt/disable-run-softirq-from-hardirq-completely.patch:
Linux-RT 2.6.27-RT
Disable running softirqs from hardirqs completely!.
- patches.rt/dont-disable-preemption-without-IST.patch: Linux-RT
2.6.27-RT.
- patches.rt/dont-let-rt-rw_semaphores-do-non_owner-locks.patch:
Linux-RT 2.6.27-RT.
- patches.rt/dont-unmask-io_apic.patch: Linux-RT 2.6.27-RT.
- patches.rt/drain-all-local-pages-via-sched.patch: Linux-RT
2.6.27-RT.
- patches.rt/event-trace-hrtimer-trace.patch: Linux-RT 2.6.27-RT
event-tracer: add clockevent trace.
- patches.rt/export-schedule-on-each-cpu.patch: Linux-RT
2.6.27-RT.
- patches.rt/filemap-dont-bug-non-atomic.patch: Linux-RT
2.6.27-RT.
- patches.rt/fix-a-previously-reverted-fix.patch: Linux-RT
2.6.27-RT
Fix a previously reverted "fix".
- patches.rt/fix-adaptive-hack.patch: Linux-RT 2.6.27-RT
fix-adaptive-hack.patch.
- patches.rt/fix-bug-on-in-filemap.patch: Linux-RT 2.6.27-RT
Change bug_on for atomic to pagefault_disabled..
- patches.rt/fix-circular-locking-deadlock.patch: Linux-RT
2.6.27-RT.
- patches.rt/fix-compilation-for-non-RT-in-timer.patch: Linux-RT
2.6.27-RT.
- patches.rt/fix-config-debug-rt-mutex-lock-underflow-warnings.patch:
Linux-RT 2.6.27-RT
Fix CONFIG_DEBUG_RT_MUTEX lock underflow warnings.
- patches.rt/fix-emac-locking-2.6.16.patch: Linux-RT 2.6.27-RT
Linux-RT 2.6.26-RT.
- patches.rt/fix-emergency-reboot.patch: Linux-RT 2.6.27-RT
call reboot notifier list when doing an emergency reboot.
- patches.rt/fix-migrating-softirq.patch: Linux-RT 2.6.27-RT.
- patches.rt/fix-softirq-checks-for-non-rt-preempt-hardirq.patch:
Linux-RT 2.6.27-RT.
- patches.rt/fix_vdso_gtod_vsyscall64_2.patch: Linux-RT 2.6.27-RT.
- patches.rt/floppy-resume-fix.patch: Linux-RT 2.6.27-RT
floppy: suspend/resume fix.
- patches.rt/frace-use-tsc.patch: Linux-RT 2.6.27-RT.
- patches.rt/ftrace-compile-fixes.patch: Linux-RT 2.6.27-RT
Linux-RT 2.6.26-RT rt: remove call to stop tracer.
- patches.rt/ftrace-document-event-tracer.patch: Linux-RT
2.6.27-RT.
- patches.rt/ftrace-document-update1.patch: Linux-RT 2.6.27-RT
Linux-RT 2.6.26-RT ftrace: document updates.
- patches.rt/ftrace-dont-trace-markers.patch: Linux-RT 2.6.27-RT
ftrace: dont trace markers.
- patches.rt/ftrace-fix-get-kprobe-wreckage.patch: Linux-RT
2.6.27-RT
Linux-RT 2.6.26-RT ftrace-fix-missing-kprobe-include.pathc.
- patches.rt/ftrace-fix-header.patch: Linux-RT 2.6.27-RT.
- patches.rt/ftrace-function-record-nop.patch: Linux-RT 2.6.27-RT
Linux-RT 2.6.26-RT ftrace: define function trace nop.
- patches.rt/ftrace-hotplug-fix.patch: Linux-RT 2.6.27-RT
ftrace: cpu hotplug fix.
- patches.rt/ftrace-m68knommu-add-FTRACE-support.patch: Linux-RT
2.6.27-RT.
- patches.rt/ftrace-m68knommu-generic-stacktrace-function.patch:
Linux-RT 2.6.27-RT.
- patches.rt/ftrace-preempt-trace-check.patch: Linux-RT 2.6.27-RT
Linux-RT 2.6.26-RT ftrace: only trace preempt off with
preempt tracer.
- patches.rt/ftrace-print-missing-cmdline.patch: Linux-RT
2.6.27-RT
ftrace: fix the command line printing.
- patches.rt/ftrace-record-comm-on-ctrl.patch: Linux-RT 2.6.27-RT
ftrace: record comm on function ctrl change.
- patches.rt/ftrace-stop-trace-on-crash.patch: Linux-RT 2.6.27-RT
fix-tracer-wreckage-wtf-is-this-code-all-features.patch.
- patches.rt/ftrace-trace-sched.patch: Linux-RT 2.6.27-RT
Linux-RT 2.6.26-RT ftrace: trace sched.c.
- patches.rt/ftrace-use-preempt-disable-not-irq-disable.patch:
Linux-RT 2.6.27-RT
ftrace: avoid lockdep recursion.
- patches.rt/ftrace-wakeup-rawspinlock.patch: Linux-RT 2.6.27-RT
Linux-RT 2.6.26-RT ftrace: user raw spin lock for wakeup
function trace.
- patches.rt/generic-cmpxchg-use-raw-local-irq-variant.patch:
Linux-RT 2.6.27-RT.
- patches.rt/genhd-protect-percpu-var.patch: Linux-RT 2.6.27-RT.
- patches.rt/genirq-soft-resend.patch: Linux-RT 2.6.27-RT
x86: activate HARDIRQS_SW_RESEND.
- patches.rt/git-ignore-script-lpp.patch: Linux-RT 2.6.27-RT.
- patches.rt/gtod-optimize.patch: Linux-RT 2.6.27-RT.
- patches.rt/hack-convert-i_alloc_sem-for-direct_io-craziness.patch:
Linux-RT 2.6.27-RT.
- patches.rt/hack-fix-rt-migration.patch: Linux-RT 2.6.27-RT.
- patches.rt/handle-pending-in-simple-irq.patch: Linux-RT
2.6.27-RT
handle IRQ_PENDING for simple irq handler.
- patches.rt/highmem-redo-mainline.patch: Linux-RT 2.6.27-RT.
- patches.rt/highmem-revert-mainline.patch: Linux-RT 2.6.27-RT.
- patches.rt/highmem_rewrite.patch: Linux-RT 2.6.27-RT
mm: remove kmap_lock.
- patches.rt/hrtimer-no-printk.patch: Linux-RT 2.6.27-RT.
- patches.rt/hrtimers-overrun-api.patch: Linux-RT 2.6.27-RT.
- patches.rt/i386-mark-atomic-irq-ops-raw.patch: Linux-RT
2.6.27-RT.
- patches.rt/i386-nmi-watchdog-show-regs.patch: Linux-RT
2.6.27-RT.
- patches.rt/idle-fix.patch: Linux-RT 2.6.27-RT
Linux-RT 2.6.26-RT.
- patches.rt/idle2-fix.patch: Linux-RT 2.6.27-RT
Linux-RT 2.6.26-RT.
- patches.rt/ioapic-fix-too-fast-clocks.patch: Linux-RT 2.6.27-RT.
- patches.rt/irda-fix.patch: Linux-RT 2.6.27-RT.
- patches.rt/irq-mask-fix.patch: Linux-RT 2.6.27-RT
genirq: fix simple and fasteoi irq handlers.
- patches.rt/jbd_assertions_smp_only.patch: Linux-RT 2.6.27-RT.
- patches.rt/kmap-atomic-i386-fix.patch: Linux-RT 2.6.27-RT.
- patches.rt/kmap-atomic-prepare.patch: Linux-RT 2.6.27-RT.
- patches.rt/kprobes-preempt-fix.patch: Linux-RT 2.6.27-RT.
- patches.rt/kstat-add-rt-stats.patch: Linux-RT 2.6.27-RT
add rt stats to /proc/stat.
- patches.rt/kstat-fix-spurious-system-load-spikes-in-proc-loadavgrt.patch:
Linux-RT 2.6.27-RT.
- patches.rt/latency-measurement-drivers.patch: Linux-RT
2.6.27-RT.
- patches.rt/latency-tracing-arm.patch: Linux-RT 2.6.27-RT.
- patches.rt/latency-tracing-ppc.patch: Linux-RT 2.6.27-RT
Linux-RT 2.6.26-RT.
- patches.rt/loadavg_fixes_weird_loads.patch: Linux-RT 2.6.27-RT.
- patches.rt/local_irq_save_nort-in-swap.patch: Linux-RT
2.6.27-RT.
- patches.rt/lock-init-plist-fix.patch: Linux-RT 2.6.27-RT.
- patches.rt/lock_list.patch: Linux-RT 2.6.27-RT
lock_list - a fine grain locked double linked list.
- patches.rt/lock_page_ref.patch: Linux-RT 2.6.27-RT
mm: lock_page_ref.
- patches.rt/lockdep-avoid-fork-waring.patch: Linux-RT 2.6.27-RT
Linux-RT 2.6.26-RT ftrace: fix if define to prove locking.
- patches.rt/lockdep-lock_set_subclass.patch: Linux-RT 2.6.27-RT
Linux-RT 2.6.26-RT lockdep: lock_set_subclass - reset a held
lock's subclass.
- patches.rt/lockdep-more-entries.patch: Linux-RT 2.6.27-RT.
- patches.rt/lockdep-prettify.patch: Linux-RT 2.6.27-RT
lockdep: prettify output.
- patches.rt/lockdep-rt-mutex.patch: Linux-RT 2.6.27-RT
lockdep-rt: annotate PREEMPT_RT DEFINE_MUTEX.
- patches.rt/lockdep-rt-recursion-limit-fix.patch: Linux-RT
2.6.27-RT.
- patches.rt/lockdep-show-held-locks.patch: Linux-RT 2.6.27-RT
lockdep: show held locks when showing a stackdump.
- patches.rt/lockdep_lock_set_subclass_fix.patch: Linux-RT
2.6.27-RT.
- patches.rt/lockstat-fix-contention-points.patch: Linux-RT
2.6.27-RT
Linux-RT 2.6.26-RT lockstat: fix contention points.
- patches.rt/lockstat-output.patch: Linux-RT 2.6.27-RT
lockstat: warn about disabled lock debugging.
- patches.rt/lockstat-rt-hooks.patch: Linux-RT 2.6.27-RT.
- patches.rt/lockstat_bounce_rt.patch: Linux-RT 2.6.27-RT.
- patches.rt/loopback-revert.patch: Linux-RT 2.6.27-RT.
- patches.rt/m68knommu-make-cmpxchg-RT-safe.patch: Linux-RT
2.6.27-RT.
- patches.rt/m68knommu_fixes_ontop_of_v2.6.26.patch: Linux-RT
2.6.27-RT
Linux-RT 2.6.26-RT.
- patches.rt/mapping_nrpages-fix.patch: Linux-RT 2.6.27-RT
mapping_nrpages-fix.patch.
- patches.rt/mapping_nrpages.patch: Linux-RT 2.6.27-RT
mm/fs: abstract address_space::nrpages.
- patches.rt/mips-change-raw-spinlock-type.patch: Linux-RT
2.6.27-RT
Linux-RT 2.6.26-RT RT: change from raw_spinlock_t to
__raw_spinlock_t.
- patches.rt/mips-remove-conlicting-rtc-lock-declaration.patch:
Linux-RT 2.6.27-RT
RT: remove conflicting rtc_lock declaration.
- patches.rt/mips-remove-duplicate-kconfig.patch: Linux-RT
2.6.27-RT.
- patches.rt/mips-remove-finish-arch-switch.patch: Linux-RT
2.6.27-RT
RT: remove finish_arch_switch.
- patches.rt/mitigate-resched-flood.patch: Linux-RT 2.6.27-RT.
- patches.rt/mm-concurrent-pagecache-rt.patch: Linux-RT 2.6.27-RT
mm: -rt bits for concurrent pagecache.
- patches.rt/mm-concurrent-pagecache.patch: Linux-RT 2.6.27-RT
mm: concurrent pagecache write side.
- patches.rt/mm-fix-latency.patch: Linux-RT 2.6.27-RT
reduce pagetable-freeing latencies.
- patches.rt/move-native-irq.patch: Linux-RT 2.6.27-RT.
- patches.rt/msi-suspend-resume-workaround.patch: Linux-RT
2.6.27-RT.
- patches.rt/multi-reader-account.patch: Linux-RT 2.6.27-RT
map tasks to reader locks held.
- patches.rt/multi-reader-limit.patch: Linux-RT 2.6.27-RT
implement reader limit on read write locks.
- patches.rt/multi-reader-lock-account.patch: Linux-RT 2.6.27-RT
map read/write locks back to their readers.
- patches.rt/multi-reader-pi.patch: Linux-RT 2.6.27-RT
read lock Priority Inheritance implementation.
- patches.rt/native-sched-clock-booboo.patch: Linux-RT 2.6.27-RT
Linux-RT 2.6.26-RT.
- patches.rt/neptune-no-at-keyboard.patch: Linux-RT 2.6.27-RT.
- patches.rt/net-core-preempt-fix.patch: Linux-RT 2.6.27-RT.
- patches.rt/netpoll-8139too-fix.patch: Linux-RT 2.6.27-RT.
- patches.rt/new-softirq-code.patch: Linux-RT 2.6.27-RT
softirq preemption: optimization.
- patches.rt/nf_conntrack-fix-smp-processor-id.patch: Linux-RT
2.6.27-RT.
- patches.rt/nf_conntrack-weird-crash-fix.patch: Linux-RT
2.6.27-RT.
- patches.rt/nfs-stats-miss-preemption.patch: Linux-RT 2.6.27-RT
nfs: fix missing preemption check.
- patches.rt/nmi-profiling.patch: Linux-RT 2.6.27-RT.
- patches.rt/nmi-show-regs-fix.patch: Linux-RT 2.6.27-RT.
- patches.rt/nmi-watchdog-disable.patch: Linux-RT 2.6.27-RT
Linux-RT 2.6.26-RT x86_64: do not enable the NMI watchdog
by default.
- patches.rt/nmi-watchdog-fix-1.patch: Linux-RT 2.6.27-RT
Linux-RT 2.6.26-RT.
- patches.rt/nmi-watchdog-fix-2.patch: Linux-RT 2.6.27-RT.
- patches.rt/nmi-watchdog-fix-3.patch: Linux-RT 2.6.27-RT.
- patches.rt/nmi-watchdog-fix-4.patch: Linux-RT 2.6.27-RT.
- patches.rt/no-warning-for-irqs-disabled-in-local-bh-enable.patch:
Linux-RT 2.6.27-RT
Linux-RT 2.6.26-RT local_bh_enable() is safe for
irqs_disabled().
- patches.rt/ntfs-local-irq-save-nort.patch: Linux-RT 2.6.27-RT.
- patches.rt/numa-slab-freeing.patch: Linux-RT 2.6.27-RT.
- patches.rt/only-run-softirqs-from-irq-thread-when-irq-affinity-is-set.patch:
Linux-RT 2.6.27-RT.
- patches.rt/pagefault-disable-cleanup.patch: Linux-RT 2.6.27-RT
clean up the page fault disabling logic.
- patches.rt/panic-dont-stop-box.patch: Linux-RT 2.6.27-RT.
- patches.rt/paravirt-function-pointer-fix.patch: Linux-RT
2.6.27-RT.
- patches.rt/pause-on-oops-head-tail.patch: Linux-RT 2.6.27-RT
introduce pause_on_oops_head/tail boot options.
- patches.rt/percpu-locked-mm.patch: Linux-RT 2.6.27-RT.
- patches.rt/percpu-locked-netfilter.patch: Linux-RT 2.6.27-RT.
- patches.rt/percpu-locked-netfilter2.patch: Linux-RT 2.6.27-RT.
- patches.rt/percpu-locked-powerpc-fixups.patch: Linux-RT
2.6.27-RT.
- patches.rt/percpu_list.patch: Linux-RT 2.6.27-RT
percpu_list.
- patches.rt/plist-debug.patch: Linux-RT 2.6.27-RT.
- patches.rt/pmtmr-override.patch: Linux-RT 2.6.27-RT
Linux-RT 2.6.26-RT pmtmr: allow command line override of
ioport.
- patches.rt/posix-cpu-timers-fix.patch: Linux-RT 2.6.27-RT.
- patches.rt/powerpc-count_active_rt_tasks-is-undefined-for-non-preempt-rt.patch:
Linux-RT 2.6.27-RT.
- patches.rt/powerpc-flush_tlb_pending-is-no-more.patch:
Linux-RT 2.6.27-RT.
- patches.rt/powerpc-ftrace-stop-on-oops.patch: Linux-RT 2.6.27-RT
powerpc: ftrace stop on crash.
- patches.rt/powerpc-match-__rw_yield-function-declaration-to-prototype.patch:
Linux-RT 2.6.27-RT.
- patches.rt/ppc-chpr-set-rtc-lock.patch: Linux-RT 2.6.27-RT.
- patches.rt/ppc-gtod-notrace-fix.patch: Linux-RT 2.6.27-RT.
- patches.rt/ppc-hacks-to-allow-rt-to-run-kernbench.patch:
Linux-RT 2.6.27-RT.
- patches.rt/ppc-make-tlb-batch-64-only.patch: Linux-RT 2.6.27-RT.
- patches.rt/ppc-tlbflush-preempt.patch: Linux-RT 2.6.27-RT.
- patches.rt/ppc32-latency-compile-hack-fixes.patch: Linux-RT
2.6.27-RT.
- patches.rt/ppc32_notrace_init_functions.patch: Linux-RT
2.6.27-RT
don't trace early init functions for ppc32.
- patches.rt/ppc64-fix-preempt-unsafe-paths-accessing-per_cpu-variables.patch:
Linux-RT 2.6.27-RT.
- patches.rt/preempt-irqs-Kconfig.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-irqs-arm-fix-oprofile.patch: Linux-RT
2.6.27-RT.
- patches.rt/preempt-irqs-arm.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-irqs-direct-debug-keyboard.patch: Linux-RT
2.6.27-RT.
- patches.rt/preempt-irqs-hrtimer.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-irqs-i386-idle-poll-loop-fix.patch:
Linux-RT 2.6.27-RT.
- patches.rt/preempt-irqs-i386-ioapic-mask-quirk.patch: Linux-RT
2.6.27-RT.
- patches.rt/preempt-irqs-i386.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-irqs-m68knommu-make-timer-interrupt-non-threaded.patch:
Linux-RT 2.6.27-RT.
- patches.rt/preempt-irqs-mips.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-irqs-ppc-ack-irq-fixups.patch: Linux-RT
2.6.27-RT.
- patches.rt/preempt-irqs-ppc-fix-b5.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-irqs-ppc-fix-b6.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-irqs-ppc-fix-more-fasteoi.patch: Linux-RT
2.6.27-RT.
- patches.rt/preempt-irqs-ppc.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-irqs-x86-64-ioapic-mask-quirk.patch:
Linux-RT 2.6.27-RT.
- patches.rt/preempt-irqs-x86-64.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-acpi.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-arm-bagde4.patch: Linux-RT
2.6.27-RT.
- patches.rt/preempt-realtime-arm-footbridge.patch: Linux-RT
2.6.27-RT.
- patches.rt/preempt-realtime-arm-integrator.patch: Linux-RT
2.6.27-RT.
- patches.rt/preempt-realtime-arm-ixp4xx.patch: Linux-RT
2.6.27-RT.
- patches.rt/preempt-realtime-arm-pxa.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-arm-rawlock-in-mmu_context-h.patch:
Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-arm-shark.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-arm.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-compile-fixes.patch: Linux-RT
2.6.27-RT.
- patches.rt/preempt-realtime-console.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-core.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-debug-sysctl.patch: Linux-RT
2.6.27-RT.
- patches.rt/preempt-realtime-fs-block.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-ftrace-disable-ftraced.patch:
Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-ftrace.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-i386.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-ia64.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-ide.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-init-show-enabled-debugs.patch:
Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-input.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-ipc.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-irqs.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-loopback.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-mellanox-driver-fix.patch:
Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-mips.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-mm.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-mmdrop-delayed.patch: Linux-RT
2.6.27-RT.
- patches.rt/preempt-realtime-net-drivers.patch: Linux-RT
2.6.27-RT.
- patches.rt/preempt-realtime-net-softirq-fixups.patch: Linux-RT
2.6.27-RT
NOHZ: local_softirq_pending with tickless.
- patches.rt/preempt-realtime-net.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-powerpc-add-raw-relax-macros.patch:
Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-powerpc-b2.patch: Linux-RT
2.6.27-RT.
- patches.rt/preempt-realtime-powerpc-b3.patch: Linux-RT
2.6.27-RT.
- patches.rt/preempt-realtime-powerpc-b4.patch: Linux-RT
2.6.27-RT.
- patches.rt/preempt-realtime-powerpc-celleb-raw-spinlocks.patch:
Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-powerpc-missing-raw-spinlocks.patch:
Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-powerpc-tlb-batching.patch:
Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-powerpc-update.patch: Linux-RT
2.6.27-RT.
- patches.rt/preempt-realtime-powerpc.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-prevent-idle-boosting.patch:
Linux-RT 2.6.27-RT
Premmpt-RT: Preevent boosting of idle task.
- patches.rt/preempt-realtime-printk.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-profiling.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-rawlocks.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-rcu.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-sched-cpupri.patch: Linux-RT
2.6.27-RT.
- patches.rt/preempt-realtime-sched-i386.patch: Linux-RT
2.6.27-RT.
- patches.rt/preempt-realtime-sched.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-sound.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-supress-nohz-softirq-warning.patch:
Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-supress-rtc-printk.patch: Linux-RT
2.6.27-RT.
- patches.rt/preempt-realtime-timer.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-usb.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-warn-and-bug-on-fix.patch:
Linux-RT 2.6.27-RT.
- patches.rt/preempt-realtime-warn-and-bug-on.patch: Linux-RT
2.6.27-RT.
- patches.rt/preempt-realtime-x86_64.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-rt-no-slub.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-trace.patch: Linux-RT 2.6.27-RT.
- patches.rt/print-might-sleep-hack.patch: Linux-RT 2.6.27-RT.
- patches.rt/printk-dont-bug-on-sched.patch: Linux-RT 2.6.27-RT.
- patches.rt/printk-in-atomic-hack-fix.patch: Linux-RT 2.6.27-RT
fix printk in atomic hack.
- patches.rt/printk-in-atomic.patch: Linux-RT 2.6.27-RT.
- patches.rt/proportions-raw-locks.patch: Linux-RT 2.6.27-RT.
- patches.rt/qrcu.patch: Linux-RT 2.6.27-RT
QRCU with lockless fastpath.
- patches.rt/quicklist-release-before-free-page-fix.patch:
Linux-RT 2.6.27-RT.
- patches.rt/quicklist-release-before-free-page.patch: Linux-RT
2.6.27-RT.
- patches.rt/radix-concurrent-lockdep.patch: Linux-RT 2.6.27-RT.
- patches.rt/radix-percpu-hack-fix.patch: Linux-RT 2.6.27-RT.
- patches.rt/radix-tree-concurrent.patch: Linux-RT 2.6.27-RT
radix-tree: concurrent write side support.
- patches.rt/radix-tree-lockdep-plus1.patch: Linux-RT 2.6.27-RT
lockdep: add +1 to radix tree array.
- patches.rt/radix-tree-optimistic-hist.patch: Linux-RT 2.6.27-RT
debug: optimistic lock histogram.
- patches.rt/radix-tree-optimistic.patch: Linux-RT 2.6.27-RT
radix-tree: optimistic locking.
- patches.rt/raw-spinlocks-for-nmi-print.patch: Linux-RT
2.6.27-RT.
- patches.rt/rcu-hrt-fixups.patch: Linux-RT 2.6.27-RT.
- patches.rt/rcu-new-7.patch: Linux-RT 2.6.27-RT.
- patches.rt/rcu-preempt-boost-default.patch: Linux-RT 2.6.27-RT.
- patches.rt/rcu-preempt-boost-fix.patch: Linux-RT 2.6.27-RT.
- patches.rt/rcu-preempt-boost-sdr.patch: Linux-RT 2.6.27-RT.
- patches.rt/rcu-preempt-fix-bad-dyntick-accounting.patch:
Linux-RT 2.6.27-RT.
- patches.rt/rcu-preempt-hotplug-hackaround.patch: Linux-RT
2.6.27-RT.
- patches.rt/rcu-torture-preempt-update.patch: Linux-RT 2.6.27-RT.
- patches.rt/rcu-trace-fix-free.patch: Linux-RT 2.6.27-RT.
- patches.rt/rcupreempt-boost-early-init.patch: Linux-RT
2.6.27-RT.
- patches.rt/realtime-preempt-warn-about-tracing.patch: Linux-RT
2.6.27-RT.
- patches.rt/relay-fix.patch: Linux-RT 2.6.27-RT
relay: fix timer madness.
- patches.rt/remove-check-pgt-cache-calls.patch: Linux-RT
2.6.27-RT.
- patches.rt/replace-bugon-by-warn-on.patch: Linux-RT 2.6.27-RT.
- patches.rt/revert-preempt-bkl-revert.patch: Linux-RT 2.6.27-RT.
- patches.rt/root-domain-kfree-in-atomic.patch: Linux-RT
2.6.27-RT.
- patches.rt/rt-apis.patch: Linux-RT 2.6.27-RT.
- patches.rt/rt-avoid-deadlock-in-swap.patch: Linux-RT 2.6.27-RT.
- patches.rt/rt-delayed-prio.patch: Linux-RT 2.6.27-RT
rt: PI-workqueue: propagate prio for delayed work.
- patches.rt/rt-kmap-scale-fix.patch: Linux-RT 2.6.27-RT.
- patches.rt/rt-list-mods.patch: Linux-RT 2.6.27-RT
rt: list_splice2.
- patches.rt/rt-move-update-wall-time-back-to-do-timer.patch:
Linux-RT 2.6.27-RT
rt: move update_wall_time back to do timer.
- patches.rt/rt-mutex-arm.patch: Linux-RT 2.6.27-RT.
- patches.rt/rt-mutex-compat-semaphores.patch: Linux-RT 2.6.27-RT.
- patches.rt/rt-mutex-core.patch: Linux-RT 2.6.27-RT.
- patches.rt/rt-mutex-i386.patch: Linux-RT 2.6.27-RT.
- patches.rt/rt-mutex-irq-flags-checking.patch: Linux-RT 2.6.27-RT
Linux-RT 2.6.26-RT.
- patches.rt/rt-mutex-mips.patch: Linux-RT 2.6.27-RT.
- patches.rt/rt-mutex-namespace.patch: Linux-RT 2.6.27-RT
rt-mutex-namespace.patch.
- patches.rt/rt-mutex-ppc-fix-a5.patch: Linux-RT 2.6.27-RT.
- patches.rt/rt-mutex-ppc.patch: Linux-RT 2.6.27-RT.
- patches.rt/rt-mutex-preempt-debugging.patch: Linux-RT 2.6.27-RT.
- patches.rt/rt-mutex-trivial-route-cast-fix.patch: Linux-RT
2.6.27-RT.
- patches.rt/rt-mutex-trivial-tcp-preempt-fix.patch: Linux-RT
2.6.27-RT.
- patches.rt/rt-mutex-use-inline.patch: Linux-RT 2.6.27-RT
rt-mutex-cleanup.patch.
- patches.rt/rt-mutex-x86-64.patch: Linux-RT 2.6.27-RT.
- patches.rt/rt-plist-mods.patch: Linux-RT 2.6.27-RT
rt: plist_head_splice.
- patches.rt/rt-rwlock-conservative-locking.patch: Linux-RT
2.6.27-RT
rwlock: be more conservative in locking reader_lock_count.
- patches.rt/rt-s_files-kill-a-union.patch: Linux-RT 2.6.27-RT.
- patches.rt/rt-sched-groups.patch: Linux-RT 2.6.27-RT.
- patches.rt/rt-shorten-softirq-thread-names.patch: Linux-RT
2.6.27-RT.
- patches.rt/rt-workqeue-prio.patch: Linux-RT 2.6.27-RT
rt: PI-workqueue support.
- patches.rt/rt-workqueue-barrier.patch: Linux-RT 2.6.27-RT
rt: PI-workqueue: fix barriers.
- patches.rt/rt-wq-barrier-fix.patch: Linux-RT 2.6.27-RT
rt: PI-workqueue: wait_on_work() fixup.
- patches.rt/rt_mutex_setprio.patch: Linux-RT 2.6.27-RT
rt: rename rt_mutex_setprio to task_setprio.
- patches.rt/rtmutex-debug-fix.patch: Linux-RT 2.6.27-RT
rtmutex-debug-fix.patch.
- patches.rt/rtmutex-debug.h-cleanup.patch: Linux-RT 2.6.27-RT
lock debugging: clean up rtmutex-debug.h.
- patches.rt/rtmutex-lateral-steal.patch: Linux-RT 2.6.27-RT.
- patches.rt/rtmutex-rearrange.patch: Linux-RT 2.6.27-RT.
- patches.rt/rtmutex-remove-xchg.patch: Linux-RT 2.6.27-RT
rtmutex - remove double xchg.
- patches.rt/rtmutex-rwlock-cmpxchg-typecast.patch: Linux-RT
2.6.27-RT.
- patches.rt/rwlock-fixes.patch: Linux-RT 2.6.27-RT
rwlock: fix pi_list race conditions.
- patches.rt/rwlock-implement-downgrade-write.patch: Linux-RT
2.6.27-RT
rwlocks multi downgrade write.
- patches.rt/rwlock-pi-lock-reader.patch: Linux-RT 2.6.27-RT.
- patches.rt/rwlock-prio-fix.patch: Linux-RT 2.6.27-RT
rwlock: reset prio on unlocks and wakeups.
- patches.rt/rwlock-protect-reader_lock_count.patch: Linux-RT
2.6.27-RT.
- patches.rt/rwlock-slowunlock-mutex-fix.patch: Linux-RT
2.6.27-RT.
- patches.rt/rwlock-slowunlock-mutex-fix2.patch: Linux-RT
2.6.27-RT.
- patches.rt/rwlock-torture-no-rt.patch: Linux-RT 2.6.27-RT
rwlock: fix torture test to handle non-rt.
- patches.rt/rwlock-torture.patch: Linux-RT 2.6.27-RT
rwlock: rwlock torture test.
- patches.rt/rwlocks-default-nr-readers-nr-cpus.patch: Linux-RT
2.6.27-RT.
- patches.rt/rwlocks-fix-no-preempt-rt.patch: Linux-RT 2.6.27-RT
rwlock: fix non PREEMPT_RT case.
- patches.rt/rwlocks-multiple-readers.patch: Linux-RT 2.6.27-RT
implement rwlocks management.
- patches.rt/rwsems-multiple-readers.patch: Linux-RT 2.6.27-RT
add framework for multi readers on rwsems.
- patches.rt/s_files-pipe-fix.patch: Linux-RT 2.6.27-RT
s_files: free_write_pipe() fix.
- patches.rt/s_files-schedule_on_each_cpu_wq.patch: Linux-RT
2.6.27-RT.
- patches.rt/s_files.patch: Linux-RT 2.6.27-RT
remove global files_lock.
- patches.rt/sched-clock-nmi.patch: Linux-RT 2.6.27-RT.
- patches.rt/sched-cpupri-hotplug-support.patch: Linux-RT
2.6.27-RT
Linux-RT 2.6.26-RT.
- patches.rt/sched-cpupri-priocount.patch: Linux-RT 2.6.27-RT
Linux-RT 2.6.26-RT.
- patches.rt/sched-enable-irqs-in-preempt-in-notifier-call.patch:
Linux-RT 2.6.27-RT
CFS: enable irqs in fire_sched_in_preempt_notifier.
- patches.rt/sched-fix-dequeued-race.patch: Linux-RT 2.6.27-RT
sched-fix-dequeued-race.patch.
- patches.rt/sched-nr-migrate-lower-default-preempt-rt.patch:
Linux-RT 2.6.27-RT.
- patches.rt/sched-prioritize-non-migrating-rt-tasks.patch:
Linux-RT 2.6.27-RT
Linux-RT 2.6.26-RT.
- patches.rt/sched-rt-stats.patch: Linux-RT 2.6.27-RT.
- patches.rt/sched-use-a-2d-bitmap-search-prio-cpu.patch:
Linux-RT 2.6.27-RT
Linux-RT 2.6.26-RT.
- patches.rt/sched-wake_up_idle_cpu-rt.patch: Linux-RT 2.6.27-RT.
- patches.rt/sched_prio.patch: Linux-RT 2.6.27-RT.
- patches.rt/sched_rt-fixup.patch: Linux-RT 2.6.27-RT
Linux-RT 2.6.26-RT.
- patches.rt/schedule-tail-balance-disable-irqs.patch: Linux-RT
2.6.27-RT.
- patches.rt/schedule_on_each_cpu-enhance.patch: Linux-RT
2.6.27-RT.
- patches.rt/select-error-leak-fix.patch: Linux-RT 2.6.27-RT.
- patches.rt/send-nmi-all-preempt-disable.patch: Linux-RT
2.6.27-RT.
- patches.rt/seq-irqsave.patch: Linux-RT 2.6.27-RT.
- patches.rt/serial-locking-rt-cleanup.patch: Linux-RT 2.6.27-RT.
- patches.rt/serial-slow-machines.patch: Linux-RT 2.6.27-RT.
- patches.rt/slab-irq-nopreempt-fix.patch: Linux-RT 2.6.27-RT.
- patches.rt/smp-processor-id-fixups.patch: Linux-RT 2.6.27-RT.
- patches.rt/softirq-per-cpu-assumptions-fixes.patch: Linux-RT
2.6.27-RT.
- patches.rt/softlockup-add-irq-regs-h.patch: Linux-RT 2.6.27-RT
core: make asm/irq_regs.h available on every platform.
- patches.rt/spinlock-trylock-cleanup-sungem.patch: Linux-RT
2.6.27-RT.
- patches.rt/sub-dont-disable-irqs.patch: Linux-RT 2.6.27-RT
rt: dont disable irqs in usb.
- patches.rt/swap-spinlock-fix.patch: Linux-RT 2.6.27-RT.
- patches.rt/tasklet-busy-loop-hack.patch: Linux-RT 2.6.27-RT.
- patches.rt/tasklet-redesign.patch: Linux-RT 2.6.27-RT.
- patches.rt/timer-freq-tweaks.patch: Linux-RT 2.6.27-RT.
- patches.rt/timer-warning-fix.patch: Linux-RT 2.6.27-RT.
- patches.rt/trace-add-event-markers-arm.patch: Linux-RT
2.6.27-RT.
- patches.rt/trace-do-not-wakeup-when-irqs-disabled.patch:
Linux-RT 2.6.27-RT
trace-do-not-wakeup-when-irqs-disabled.patch.
- patches.rt/trace-eip2ip.patch: Linux-RT 2.6.27-RT
Linux-RT 2.6.26-RT Re: 2.6.25.4-rt4.
- patches.rt/trace-events-handle-syscalls.patch: Linux-RT
2.6.27-RT.
- patches.rt/trace-histograms.patch: Linux-RT 2.6.27-RT.
- patches.rt/trace-ktime-scalar.patch: Linux-RT 2.6.27-RT
ftrace: print ktime values in readable form.
- patches.rt/trace_hist-divzero.patch: Linux-RT 2.6.27-RT
Linux-RT 2.6.26-RT trace_hist.c: divide-by-zero problem (2).
- patches.rt/trace_hist-latediv.patch: Linux-RT 2.6.27-RT
Linux-RT 2.6.26-RT.
- patches.rt/tracer-add-event-markers.patch: Linux-RT 2.6.27-RT.
- patches.rt/tracer-event-trace.patch: Linux-RT 2.6.27-RT.
- patches.rt/use-edge-triggered-irq-handler-instead-of-simple-irq.patch:
Linux-RT 2.6.27-RT
[AT91: PATCH]: Use edge triggered interrupt handling for
AT91-GPIO instead of simple_irq-handler.
- patches.rt/user-no-irq-disable.patch: Linux-RT 2.6.27-RT.
- patches.rt/vortex-fix.patch: Linux-RT 2.6.27-RT.
- patches.rt/warn-on-rt-scatterlist.patch: Linux-RT 2.6.27-RT
Linux-RT 2.6.26-RT remove warn on for scatterlist in preempt
rt.
- patches.rt/watchdog_use_timer_and_hpet_on_x86_64.patch:
Linux-RT 2.6.27-RT
Linux-RT 2.6.26-RT.
- patches.rt/x86-64-tscless-vgettimeofday.patch: Linux-RT
2.6.27-RT
x86_64 GTOD: offer scalable vgettimeofday.
- patches.rt/x86_64-tsc-sync-irqflags-fix.patch: Linux-RT
2.6.27-RT.
- patches.rt/26-rt1-chirag.patch: Linux-RT 2.6.27-RT
This patch should solve some of the bug messages..
- patches.rt/add-generalized-pi-interface.patch: Linux-RT
2.6.27-RT
add generalized priority-inheritance interface.
- patches.rt/rtmutex-add_readers.patch: Linux-RT 2.6.27-RT
RT: wrap the rt_rwlock "add reader" logic.
- patches.rt/rtmutex-defer-pi-until-sleepy.patch: Linux-RT
2.6.27-RT
rtmutex: pi-boost locks as late as possible.
- patches.rt/rtmutex-initialize-waiters.patch: Linux-RT 2.6.27-RT
rtmutex: formally initialize the rt_mutex_waiters.
- patches.rt/rtmutex-use-runtime-init.patch: Linux-RT 2.6.27-RT
rtmutex: use runtime init for rtmutexes.
- patches.rt/tie-pi-into-task.patch: Linux-RT 2.6.27-RT
sched: add the basic PI infrastructure to the task_struct.
- patches.rt/rtmutex-convert-to-libpi.patch: Linux-RT 2.6.27-RT
rtmutex: convert rtmutexes to fully use the PI library.
- patches.rt/ftrace-fix-elevated-preempt-count-in-wakeup-tracer.patch:
Linux-RT 2.6.27-RT
ftrace: fix elevated preempt_count in wakeup-tracer.
- patches.rt/seqlock-make-raw-seqlocks-spin-during-write.patch:
Linux-RT 2.6.27-RT
seqlock: make sure that raw_seqlock_t retries readers while
writes are pending.
- patches.rt/event-tracer-syscall-i386.patch: Linux-RT 2.6.27-RT.
- patches.rt/event-tracer-syscall-x86_64.patch: Linux-RT
2.6.27-RT.
- patches.rt/fix-acpi-build-weirdness.patch: Linux-RT 2.6.27-RT
Linux-RT 2.6.26-RT.
- patches.rt/ftrace-upstream.patch: Linux-RT 2.6.27-RT
Linux-RT 2.6.26-RT.
- patches.rt/gcc-warnings-shut-up.patch: Linux-RT 2.6.27-RT.
- patches.rt/nmi-profiling-base.patch: Linux-RT 2.6.27-RT
nmi-driven profiling for /proc/profile.
- patches.rt/preempt-irqs-core.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-irqs-ppc-preempt-schedule-irq-entry-fix.patch:
Linux-RT 2.6.27-RT.
- patches.rt/preempt-irqs-timer.patch: Linux-RT 2.6.27-RT.
- patches.rt/preempt-softirqs-core.patch: Linux-RT 2.6.27-RT.
- patches.rt/random-driver-latency-fix.patch: Linux-RT 2.6.27-RT.
- patches.rt/rt-page_alloc.patch: Linux-RT 2.6.27-RT
rt-friendly per-cpu pages.
- patches.rt/rt-slab-new.patch: Linux-RT 2.6.27-RT.
- patches.rt/bit-spinlocks-fix-compile.patch: Linux-RT 2.6.27-RT.
- patches.rt/compat_rwsem-fix-compile.patch: Linux-RT 2.6.27-RT.
- patches.rt/drivers_base_mutex.patch: Linux-RT 2.6.27-RT.
- patches.rt/fix-net-bug-fixes.patch: Linux-RT 2.6.27-RT.
- patches.rt/ftrace-ppc-define-mcount.patch: Linux-RT 2.6.27-RT.
- patches.rt/ftrace-report-failure.patch: Linux-RT 2.6.27-RT
ftrace: warn on failure to disable mcount callers.
- patches.rt/ftrace-upstream-temp.patch: Linux-RT 2.6.27-RT.
- patches.rt/futex-fifo-warn-sysctl.patch: Linux-RT 2.6.27-RT.
- patches.rt/futex-trivial-fix.patch: Linux-RT 2.6.27-RT.
- patches.rt/hrtimers-stuck-in-waitqueue.patch: Linux-RT
2.6.27-RT.
- patches.rt/lockdep-atomic-fixup.patch: Linux-RT 2.6.27-RT.
- patches.rt/namespace-lock-fixes.patch: Linux-RT 2.6.27-RT.
- patches.rt/nmi-prof-compile.patch: Linux-RT 2.6.27-RT.
- patches.rt/plist-fix-static-node-init.patch: Linux-RT 2.6.27-RT.
- patches.rt/powerpc-01-separate-the-irq-radix-tree-insertion.patch:
Linux-RT 2.6.27-RT.
- patches.rt/powerpc-02-make-the-irq-reverse-mapping-radix-tree-lockless.patch:
Linux-RT 2.6.27-RT.
- patches.rt/ppc-fix-prev-revert-fix-again.patch: Linux-RT
2.6.27-RT.
- patches.rt/prof-sysctl-compile.patch: Linux-RT 2.6.27-RT.
- patches.rt/rcu-apply-rcu_process_callbacks-from-mainline.patch:
Linux-RT 2.6.27-RT.
- patches.rt/rcu-preempt-tracing-preempt-disable-fix.patch:
Linux-RT 2.6.27-RT.
- patches.rt/rt-wq-flush_work.patch: Linux-RT 2.6.27-RT.
- patches.rt/rtmutex-debug-magic.patch: Linux-RT 2.6.27-RT
rtmutex: check integrity.
- patches.rt/sched-add-needs_post_schedule.patch: Linux-RT
2.6.27-RT.
- patches.rt/sched-generic-hide-smp-warning.patch: Linux-RT
2.6.27-RT
suppress warning of smp_processor_id use..
- patches.rt/sched-make-double-lock-balance-fair.patch: Linux-RT
2.6.27-RT.
- patches.rt/sched-only-push-if-pushable.patch: Linux-RT
2.6.27-RT.
- patches.rt/sched-only-push-once-per-queue.patch: Linux-RT
2.6.27-RT.
- patches.rt/sched-properly-account-irq-and-rt-load.patch:
Linux-RT 2.6.27-RT
sched: properly account IRQ and RT load in .
- patches.rt/sched-rt-runtime-lock-raw.patch: Linux-RT 2.6.27-RT.
- patches.rt/seqlock-01-make-sure-that-raw_seqlock-retries.patch:
Linux-RT 2.6.27-RT.
- patches.rt/seqlock-02-fix-elevated-preempt-count.patch:
Linux-RT 2.6.27-RT.
- patches.rt/seqlock-serialize-against-writers.patch: Linux-RT
2.6.27-RT.
- patches.rt/seqlocks-handle-rwlock-and-spin.patch: Linux-RT
2.6.27-RT
seqlock - fix for both PREEMPT_RT and non PREEMPT_RT.
- patches.rt/shorten-posix-cpu-timers-name.patch: Linux-RT
2.6.27-RT.
- patches.rt/sysctl-compile-fix.patch: Linux-RT 2.6.27-RT.
- patches.rt/tracepoint-backport.patch: Linux-RT 2.6.27-RT.
- patches.rt/x86-tlbstate-lock-raw.patch: Linux-RT 2.6.27-RT.
-------------------------------------------------------------------
Tue Sep 30 12:15:08 CEST 2008 - olh@suse.de
- enable ipmi message handler on ppc64 (bnc#430705)
-------------------------------------------------------------------
Tue Sep 30 10:53:25 CEST 2008 - olh@suse.de
- create flavor symlinks unconditionally
they do not depend on presence of modules
-------------------------------------------------------------------
Mon Sep 29 23:39:20 CEST 2008 - agruen@suse.de
- Update config files after Swap-over-NFS backout.
-------------------------------------------------------------------
Mon Sep 29 23:06:02 CEST 2008 - agruen@suse.de
- kernel-vanilla and kernel-p3 are not split into main, -base, and
-extra packages. Fix the bogus dependencies on those packages.
-------------------------------------------------------------------
Mon Sep 29 22:21:00 CEST 2008 - jkosina@suse.de
- disabled the following Swap-over-NFS patches, as they cause panic
in IPv6 code:
- patches.suse/SoN-01-mm-gfp-to-alloc_flags.patch: mm:
gfp_to_alloc_flags() (FATE#303834).
- patches.suse/SoN-02-mm-setup_per_zone_pages_min.patch: mm:
serialize access to min_free_kbytes (FATE#303834).
- patches.suse/SoN-03-doc.patch: swap over network documentation
(FATE#303834).
- patches.suse/SoN-04-mm-gfp-to-alloc_flags-expose.patch: mm:
expose gfp_to_alloc_flags() (FATE#303834).
- patches.suse/SoN-05-page_alloc-reserve.patch: mm: tag reseve
pages (FATE#303834).
- patches.suse/SoN-06-reserve-slub.patch: mm: slb: add knowledge
of reserve pages (FATE#303834).
- patches.suse/SoN-07-mm-kmem_estimate_pages.patch: mm:
kmem_alloc_estimate() (FATE#303834).
- patches.suse/SoN-08-mm-PF_MEMALLOC-softirq.patch: mm: allow
PF_MEMALLOC from softirq context (FATE#303834).
- patches.suse/SoN-09-mm-page_alloc-emerg.patch: mm: emergency
pool (FATE#303834).
- patches.suse/SoN-10-global-ALLOC_NO_WATERMARKS.patch: mm:
system wide ALLOC_NO_WATERMARK (FATE#303834).
- patches.suse/SoN-11-mm-page_alloc-GFP_EMERGENCY.patch: mm:
__GFP_MEMALLOC (FATE#303834).
- patches.suse/SoN-12-mm-reserve.patch: mm: memory reserve
management (FATE#303834).
- patches.suse/SoN-13-mm-selinux-emergency.patch: selinux:
tag avc cache alloc as non-critical (FATE#303834).
- patches.suse/SoN-14-net-backlog.patch: net: wrap
sk->sk_backlog_rcv() (FATE#303834).
- patches.suse/SoN-15-net-ps_rx.patch: net: packet split receive
api (FATE#303834).
- patches.suse/SoN-16-net-sk_allocation.patch: net:
sk_allocation() - concentrate socket related allocations
(FATE#303834).
- patches.suse/SoN-17-netvm-reserve.patch: netvm: network reserve
infrastructure (FATE#303834).
- patches.suse/SoN-18-netvm-reserve-inet.patch: netvm: INET
reserves. (FATE#303834).
- patches.suse/SoN-19-netvm-skbuff-reserve.patch: netvm: hook
skb allocation to reserves (FATE#303834).
- patches.suse/SoN-20-netvm-sk_filter.patch: netvm: filter
emergency skbs. (FATE#303834).
- patches.suse/SoN-21-netvm-tcp-deadlock.patch: netvm: prevent
a stream specific deadlock (FATE#303834).
- patches.suse/SoN-22-emergency-nf_queue.patch: netfilter:
NF_QUEUE vs emergency skbs (FATE#303834).
- patches.suse/SoN-23-netvm.patch: netvm: skb processing
(FATE#303834).
- patches.suse/SoN-24-mm-swapfile.patch: mm: add support for
non block device backed swap files (FATE#303834).
- patches.suse/SoN-25-mm-page_file_methods.patch: mm: methods
for teaching filesystems about PG_swapcache pages (FATE#303834).
- patches.suse/SoN-26-nfs-swapcache.patch: nfs: teach the NFS
client how to treat PG_swapcache pages (FATE#303834).
- patches.suse/SoN-27-nfs-swapper.patch: nfs: disable data cache
revalidation for swapfiles (FATE#303834).
- patches.suse/SoN-28-nfs-swap_ops.patch: nfs: enable swap on NFS
(FATE#303834).
- patches.suse/SoN-29-nfs-alloc-recursions.patch: nfs: fix various
memory recursions possible with swap over NFS. (FATE#303834).
- patches.xen/xen3-auto-common.diff: xen3 common.
-------------------------------------------------------------------
Mon Sep 29 17:34:11 CEST 2008 - ptesarik@suse.cz
- patches.arch/x86-tracehook: x86 tracehook (FATE#304321).
Provide the base infrastructure for utrace on x86.
-------------------------------------------------------------------
Mon Sep 29 17:15:46 CEST 2008 - schwab@suse.de
- config/powerpc/vanilla: configure to 64bit.
-------------------------------------------------------------------
Mon Sep 29 17:00:43 CEST 2008 - schwab@suse.de
- rpm/functions.sh: remove readlink emulation.
-------------------------------------------------------------------
Mon Sep 29 16:55:45 CEST 2008 - hare@suse.de
- patches.drivers/lpfc-8.2.8.3-update: Update lpfc to 8.2.8.3
(bnc#420767).
-------------------------------------------------------------------
Mon Sep 29 16:28:18 CEST 2008 - rw@suse.de
- Update ia64 config files. (bnc#429881)
-------------------------------------------------------------------
Mon Sep 29 16:06:06 CEST 2008 - jjolly@suse.de
- patches.drivers/ehca-flush-cqe.patch: adds software flush CQE
generation (bnc#430344)
-------------------------------------------------------------------
Mon Sep 29 15:49:00 CEST 2008 - jkosina@suse.de
- patches.suse/e1000e_allow_bad_checksum: fix infinite loop bug in
e1000_probe() in case the card has invalid EEPROM checksum
-------------------------------------------------------------------
Mon Sep 29 15:29:06 CEST 2008 - agruen@suse.de
- Do not split kernels which have modules disabled (kernel-ps3)
or which do not differentiate between supported and unsupported
modules (kernel-vanilla).
-------------------------------------------------------------------
Mon Sep 29 13:28:01 CEST 2008 - hare@suse.de
- patches.drivers/open-fcoe-dcb-support: Fix section annotation
for ixgbe.
- patches.fixes/scsi-enhance-error-codes: Add missing hunk.
- patches.fixes/open-iscsi-git-update: Open-iSCSI updates
(FATE#304283).
- patches.kernel.org/gdth-section-conflict: Fixup gdth
section annotations.
-------------------------------------------------------------------
Mon Sep 29 09:42:32 CEST 2008 - aj@suse.de
- Silence /etc/rpm/macros.kernel-source via rpmlintrc.
-------------------------------------------------------------------
Mon Sep 29 09:37:19 CEST 2008 - jbeulich@novell.com
- Enable Solarflare driver link and resource driver patches.
- Update x86 config files.
-------------------------------------------------------------------
Mon Sep 29 05:13:31 CEST 2008 - agruen@suse.de
- rpm/kernel-source.spc.in: Try to get rid of a hack that makes
uname -r pretend in build environments that the kernel from
the installed kernel-source package is the running kernel:
packages assuming this are completely broken ans should really
be fixed.
-------------------------------------------------------------------
Mon Sep 29 04:08:34 CEST 2008 - agruen@suse.de
- rpm/kernel-source.spec.in: Prepare for the upcoming introduction
of kernel-source-rt (and kernel-syms-rt) for producing KMPs for
real-time kernels.
- rpm/install-configs: Remove; this script didn't improve things.
-------------------------------------------------------------------
Sun Sep 28 21:00:13 CEST 2008 - aj@suse.de
- rpm/kernel-source.rpmlintrc: New file to silence warnings about
zero size files
- rpm/kernel-source.spec.in: Install it.
- rpm/kernel-source.spec.in: Make /etc/rpm/macros.kernel-source
a config file to silence rpmlint.
-------------------------------------------------------------------
Sun Sep 28 13:50:06 CEST 2008 - jdelvare@suse.de
- supported.conf: Update the list of i2c bus drivers.
- i2c-i810, i2c-prosavage and i2c-savage4 are gone.
- i2c-isch and i2c-nforce2-s4985 are new, mark as supported.
-------------------------------------------------------------------
Sun Sep 28 10:17:15 CEST 2008 - aj@suse.de
- rpm/kernel-source.spec.in: Do not package .gitignore files.
-------------------------------------------------------------------
Sun Sep 28 06:03:34 CEST 2008 - agruen@suse.de
- Fix CONFIG_MODULES=n case.
- config.conf: Some cleanups.
-------------------------------------------------------------------
Sat Sep 27 19:25:06 CEST 2008 - agruen@suse.de
- Split the binary kernel packages into three parts:
+ kernel-$flavor-base: very reduced hardware support, intended
to be used in virtual machine images
+ kernel-$flavor: extends the base package; contains all kernel
modules we can support
+ kernel-$flavor-extra: all other kernel modules which may be
useful, but which we cannot support.
-------------------------------------------------------------------
Sat Sep 27 17:47:33 CEST 2008 - agruen@suse.de
- Hardlink duplicate files automatically: It doesn't save much,
but it keeps rpmlint from breaking the package build.
-------------------------------------------------------------------
Sat Sep 27 17:24:30 CEST 2008 - agruen@suse.de
- Add consistency check: supported modules must not depend on
unsupported ones ... and guess what, there was a large number of
such modules. Fix this by adding all the dependent modules to
supported.conf.
-------------------------------------------------------------------
Sat Sep 27 06:36:40 CEST 2008 - knikanth@suse.de
- patches.suse/dm-barrier-single-device: Implement barrier
support for single device DM devices (FATE#304489).
-------------------------------------------------------------------
Fri Sep 26 23:05:13 CEST 2008 - jeffm@suse.de
- scripts/tar-up_and_run_mbuild.sh: Added -xen flavor to default
mbuild spec list.
-------------------------------------------------------------------
Fri Sep 26 23:04:33 CEST 2008 - jeffm@suse.de
- patches.xen/xen-e1000e_Export_set_memory_ro-rw: Export
set_memory_ro() and set_memory_rw() calls for xen. (bnc#425480).
-------------------------------------------------------------------
Fri Sep 26 22:08:24 CEST 2008 - kkeil@suse.de
- patches.suse/e1000e_mmap_range_chk:check ranges in pci_mmap
* updated version with better reporting (bnc#425480)
-------------------------------------------------------------------
Fri Sep 26 21:28:13 CEST 2008 - kkeil@suse.de
- patches to track down and fix the e1000e NVM corruption
(bnc#425480)
- patches.suse/e1000e_allow_bad_checksum: e1000e: allow bad
checksum
- patches.suse/e1000e_call_dump_eeprom: e1000e: dump eeprom to
dmesg for ich8/9
- patches.suse/e1000e_debug_contention_on_NVM_SWFLAG: e1000e:
debug contention on NVM SWFLAG
- patches.suse/e1000e_do_not_ever_sleep_in_interrupt_context:
e1000e: do not ever sleep in interrupt context
- patches.suse/e1000e_drop_stats_lock: e1000e: drop stats lock
- patches.suse/e1000e_Export_set_memory_ro-rw: Export
set_memory_ro() and set_memory_rw() calls
- patches.suse/e1000e_fix_lockdep_issues: e1000e: fix lockdep
issues
- patches.suse/e1000e_mmap_range_chk: check ranges in pci_mmap
- patches.suse/e1000e_reset_swflag_after_resetting_hardware:
e1000e: reset swflag after resetting hardware
- patches.suse/e1000e_update_version: update version
- patches.suse/e1000e_use_set_memory_ro-rw_to_protect_flash_memory:
e1000e: Use set_memory_ro()/set_memory_rw() to protect flash
memory
- cleanup old not longer used patches
* patches.drivers/e1000-7.6.5-napi-tail.patch
* patches.drivers/e1000-7.6.9.2
* patches.drivers/e1000-7.6.9.2-napi
-------------------------------------------------------------------
Fri Sep 26 21:26:35 CEST 2008 - kkeil@suse.de
- Enable CONFIG_SGI_IOC4=m in x86_64 (bnc#430275)
-------------------------------------------------------------------
Fri Sep 26 20:54:17 CEST 2008 - jeffm@suse.de
- patches.arch/acpi-export-hotplug_execute: acpi: export
acpi_os_hotplug_execute.
-------------------------------------------------------------------
Fri Sep 26 20:43:49 CEST 2008 - jeffm@suse.de
- patches.xen/xen-rwlocks-enable-interrupts: add missing
__raw_{read,write}_lock_flags to xen's asm/spinlock.h.
-------------------------------------------------------------------
Fri Sep 26 20:33:25 CEST 2008 - jeffm@suse.de
- patches.arch/acpi-bay-remove-from-makefile: acpi: remove bay.c
from makefile.
-------------------------------------------------------------------
Fri Sep 26 19:56:25 CEST 2008 - jeffm@suse.de
- rpm/kernel-binary.spec.in: Disabled sparse checking. Too many
false positives.
-------------------------------------------------------------------
Fri Sep 26 18:07:30 CEST 2008 - trenn@suse.de
No functional change:
- patches.arch/acpi_thermal_passive_blacklist.patch: Avoid
critical temp shutdowns on specific ThinkPad T4x(p) and R40
(https://bugzilla.novell.com/show_bug.cgi?id=333043).
Dock bug fixes (kacpid runs amok on Dells after suspend):
- patches.arch/acpi-dock-avoid-check-_STA-method.patch: avoid
check _STA method (fate#304731,bnc#401740).
- patches.arch/acpi-dock-fix-eject-request-process.patch: fix
eject request process (fate#304731,bnc#401740).
More Dock improvements, unrelated to above fixes:
- patches.arch/acpi-bay-remove-useless-code.patch: remove useless
code (fate#304731,bnc#401740).
- patches.arch/acpi-dock-Fix-duplicate-notification-handler-register.patch:
Fix duplicate notification handler register
(fate#304731,bnc#401740).
- patches.arch/acpi-dock-_LCK-support-for-dock.patch: add _LCK
support for dock (fate#304731,bnc#401740).
- patches.arch/acpi-dock-fix-for-bay-in-a-dock-station.patch:
fix for bay in a dock station (fate#304731,bnc#401740).
- patches.arch/acpi-dock-fix-hotplug-race.patch: fix hotplug race
(fate#304731,bnc#401740).
- patches.arch/acpi-dock-introduce-.uevent-for-devices-in-dock.patch:
introduce .uevent for devices in dock (fate#304731,bnc#401740).
- patches.arch/acpi-libata-hotplug-to-align-with-dock-driver.patch:
libata hotplug to align with dock driver
(fate#304731,bnc#401740).
- patches.arch/acpi-dock-makeing-dock-driver-supports-bay-and-battery-hotplug.patch:
makeing dock driver supports bay and battery hotplug
(fate#304731,bnc#401740).
- patches.arch/acpi-dock-add-type-sysfs-file-for-dock.patch:
add 'type' sysfs file for dock (fate#304731,bnc#401740).
- patches.fixes/acpi_use_acpi_exception.patch: ACPI dock/bay:
Use ACPI_EXCEPTION instead of printk(KERN_ERR.
-------------------------------------------------------------------
Fri Sep 26 16:15:28 CEST 2008 - jeffm@suse.de
- Update config files: NFS_SWAP=y
-------------------------------------------------------------------
Fri Sep 26 15:57:20 CEST 2008 - ptesarik@suse.cz
- patches.suse/rwlocks-enable-interrupts: Allow rwlocks to
re-enable interrupts (bnc#387784).
- patches.arch/ia64-rwlocks-enable-interrupts: ia64: re-enable
interrupts when waiting for a rwlock (bnc#387784).
-------------------------------------------------------------------
Fri Sep 26 14:52:13 CEST 2008 - sjayaraman@suse.de
- Add Swap over NFS patchset.
- Adjust patches.xen/xen3-auto-common.diff to avoid conflict on skbuff.h
as both xen and swap-over-nfs want to add some bits to the skbuff structure.
- patches.suse/SoN-01-mm-gfp-to-alloc_flags.patch: mm:
gfp_to_alloc_flags() (FATE#303834).
- patches.suse/SoN-02-mm-setup_per_zone_pages_min.patch: mm:
serialize access to min_free_kbytes (FATE#303834).
- patches.suse/SoN-03-doc.patch: swap over network documentation
(FATE#303834).
- patches.suse/SoN-04-mm-gfp-to-alloc_flags-expose.patch: mm:
expose gfp_to_alloc_flags() (FATE#303834).
- patches.suse/SoN-05-page_alloc-reserve.patch: mm: tag reseve
pages (FATE#303834).
- patches.suse/SoN-06-reserve-slub.patch: mm: slb: add knowledge
of reserve pages (FATE#303834).
- patches.suse/SoN-07-mm-kmem_estimate_pages.patch: mm:
kmem_alloc_estimate() (FATE#303834).
- patches.suse/SoN-08-mm-PF_MEMALLOC-softirq.patch: mm: allow
PF_MEMALLOC from softirq context (FATE#303834).
- patches.suse/SoN-09-mm-page_alloc-emerg.patch: mm: emergency
pool (FATE#303834).
- patches.suse/SoN-10-global-ALLOC_NO_WATERMARKS.patch: mm:
system wide ALLOC_NO_WATERMARK (FATE#303834).
- patches.suse/SoN-11-mm-page_alloc-GFP_EMERGENCY.patch: mm:
__GFP_MEMALLOC (FATE#303834).
- patches.suse/SoN-12-mm-reserve.patch: mm: memory reserve
management (FATE#303834).
- patches.suse/SoN-13-mm-selinux-emergency.patch: selinux:
tag avc cache alloc as non-critical (FATE#303834).
- patches.suse/SoN-14-net-backlog.patch: net: wrap
sk->sk_backlog_rcv() (FATE#303834).
- patches.suse/SoN-15-net-ps_rx.patch: net: packet split receive
api (FATE#303834).
- patches.suse/SoN-16-net-sk_allocation.patch: net:
sk_allocation() - concentrate socket related allocations
(FATE#303834).
- patches.suse/SoN-17-netvm-reserve.patch: netvm: network reserve
infrastructure (FATE#303834).
- patches.suse/SoN-18-netvm-reserve-inet.patch: netvm: INET
reserves. (FATE#303834).
- patches.suse/SoN-19-netvm-skbuff-reserve.patch: netvm: hook
skb allocation to reserves (FATE#303834).
- patches.suse/SoN-20-netvm-sk_filter.patch: netvm: filter
emergency skbs. (FATE#303834).
- patches.suse/SoN-21-netvm-tcp-deadlock.patch: netvm: prevent
a stream specific deadlock (FATE#303834).
- patches.suse/SoN-22-emergency-nf_queue.patch: netfilter:
NF_QUEUE vs emergency skbs (FATE#303834).
- patches.suse/SoN-23-netvm.patch: netvm: skb processing
(FATE#303834).
- patches.suse/SoN-24-mm-swapfile.patch: mm: add support for
non block device backed swap files (FATE#303834).
- patches.suse/SoN-25-mm-page_file_methods.patch: mm: methods
for teaching filesystems about PG_swapcache pages (FATE#303834).
- patches.suse/SoN-26-nfs-swapcache.patch: nfs: teach the NFS
client how to treat PG_swapcache pages (FATE#303834).
- patches.suse/SoN-27-nfs-swapper.patch: nfs: disable data cache
revalidation for swapfiles (FATE#303834).
- patches.suse/SoN-28-nfs-swap_ops.patch: nfs: enable swap on NFS
(FATE#303834).
- patches.suse/SoN-29-nfs-alloc-recursions.patch: nfs: fix various
memory recursions possible with swap over NFS. (FATE#303834).
- patches.xen/xen3-auto-common.diff: xen3 common.
-------------------------------------------------------------------
Fri Sep 26 11:43:49 CEST 2008 - ptesarik@suse.cz
- split patches.arch/s390-01-01-self-ptrace-v3.patch to make it
more obvious that the patch is not constrained to s390.
The new series is:
patches.suse/self-ptrace.patch: the generic pieces
patches.arch/x86-self-ptrace.patch: implementation for x86
patches.arch/s390-01-01-self-ptrace-v3.patch: dtto for s390
-------------------------------------------------------------------
Thu Sep 25 16:27:38 CEST 2008 - jbeulich@novell.com
- Update Xen patches to 2.6.27-rc7 and c/s 676.
-------------------------------------------------------------------
Thu Sep 25 13:53:36 CEST 2008 - olh@suse.de
- add patches.arch/ppc64-rpanote-relocate-firmware.patch
update RPA note for firmware relocation (bnc#427960 - LTC48297)
-------------------------------------------------------------------
Wed Sep 24 16:20:40 CEST 2008 - jblunck@suse.de
This adds some tracepoint instrumentation taken from the LTTng patch
series. Tracepoints are enabled for kernel-debug and kernel-trace only. I
disabled ftrace for all flavors except kernel-debug and kernel-trace as well.
- Update config files.
- rpm/kernel-source.spec.in,kernel-binary.spec.in, config.conf: Add trace
flavor
- patches.fixes/ia64-sparse-fixes.diff: ia64-kvm: fix sparse
warnings.
- patches.xen/xen3-fixup-common: rediff
- patches.xen/xen3-auto-common.diff: rediff
- patches.xen/xen3-patch-2.6.21: rediff
- patches.xen/xen3-patch-2.6.26: rediff
- patches.trace/rcu-read-sched.patch
- patches.trace/markers-use-rcu-read-lock-sched.patch
- patches.trace/tracepoints.patch
- patches.trace/tracepoints-use-table-size-macro.patch
- patches.trace/tracepoints-documentation.patch
- patches.trace/tracepoints-tracepoint-synchronize-unregister.patch
- patches.trace/tracepoints-documentation-fix-teardown.patch
- patches.trace/tracepoints-samples.patch
- patches.trace/tracepoints-samples-fix-teardown.patch
- patches.trace/lttng-instrumentation-irq.patch
- patches.trace/lttng-instrumentation-scheduler.patch
- patches.trace/lttng-instrumentation-timer.patch
- patches.trace/lttng-instrumentation-kernel.patch
- patches.trace/lttng-instrumentation-filemap.patch
- patches.trace/lttng-instrumentation-swap.patch
- patches.trace/lttng-instrumentation-memory.patch
- patches.trace/lttng-instrumentation-page_alloc.patch
- patches.trace/lttng-instrumentation-hugetlb.patch
- patches.trace/lttng-instrumentation-net.patch
- patches.trace/lttng-instrumentation-ipv4.patch
- patches.trace/lttng-instrumentation-ipv6.patch
- patches.trace/lttng-instrumentation-socket.patch
- patches.trace/lttng-instrumentation-fs.patch
- patches.trace/lttng-instrumentation-ipc.patch
- patches.trace/ftrace-port-to-tracepoints.patch
- patches.trace/ftrace-framepointer.diff
-------------------------------------------------------------------
Tue Sep 23 16:20:18 CEST 2008 - jjolly@suse.de
- patches.arch/s390-01-03-cmm2-v2.patch: kernel (new function):
Collaborative Memory Management Stage II (bnc#417244)
- patches.xen/xen3-auto-common.diff: Modified patch to allow for
the new patch
-------------------------------------------------------------------
Tue Sep 23 16:18:22 CEST 2008 - jeffm@suse.de
- rpm/kernel-binary.spec.in: Enable sparse checking and section
mismatch checking.
-------------------------------------------------------------------
Tue Sep 23 16:17:41 CEST 2008 - jeffm@suse.de
- patches.kernel.org/arch-include-asm-fixes: kbuild: Properly
handle arch/$arch/include/asm (bnc#427473).
-------------------------------------------------------------------
Mon Sep 22 17:50:04 CEST 2008 - jeffm@suse.de
- patches.fixes/ext2-avoid-printk-flood-with-dir-corruption:
ext2: Avoid printk floods in the face of directory corruption
(bnc#427244 CVE-2008-3528).
- patches.fixes/ext3-avoid-printk-flood-with-dir-corruption:
ext3: Avoid printk floods in the face of directory corruption
(bnc#427244 CVE-2008-3528).
-------------------------------------------------------------------
Mon Sep 22 17:12:29 CEST 2008 - jeffm@suse.de
- Update to 2.6.27-rc7.
- Eliminated 2 patches.
- patches.arch/s390-01-04-fcpperf-4.patch: Fixed up context.
-------------------------------------------------------------------
Mon Sep 22 16:43:43 CEST 2008 - trenn@suse.de
Workaround for ThinkPad brightness switching:
- patches.arch/acpi_video_thinkpad_exclude_IGD_devices.patch:
Do not use video backlight switching for Lenovo ThinkPads.
Commented out for now, possibly to be included later
- patches.drivers/cpufreq_add_cpu_number_paramater_1.patch:
cpufreq: Add a cpu parameter to __cpufreq_driver_getavg()..
- patches.drivers/cpufreq_add_idle_microaccounting_6.patch:
cpufreq,ondemand: Use get_cpu_idle_time_us() to get
micro-accounted idle information.
- patches.drivers/cpufreq_change_load_calculation_2.patch:
cpufreq, ondemand: Change the load calculation, optimizing
for dependent cpus.
- patches.drivers/cpufreq_changes_to_get_cpu_idle_us_5.patch:
export get_cpu_idle_time_us() .
- patches.drivers/cpufreq_get_cpu_idle_time_changes_3.patch:
cpufreq,ondemand: Prepare changes for doing micro-accounting.
- patches.drivers/cpufreq_parameterize_down_differential_4.patch:
cpufreq, ondemand: Use a parameter for down differential.
Added CONFIG_PCIEASPM for rt, xen, powerpc and IA64 to be more
consistent across different kernel flavors as suggested on the
kernel list:
- Update config files.
-------------------------------------------------------------------
Mon Sep 22 09:48:51 CEST 2008 - hare@suse.de
- patches.drivers/open-fcoe-libfc: Fix build error on IA64.
- patches.fixes/scsi-terminate-target-reset: Target reset hangs
(bnc#427267).
- supported.conf: Update to include supported SCSI adapters.
-------------------------------------------------------------------
Fri Sep 19 16:46:36 CEST 2008 - jbeulich@novell.com
- patches.suse/no-frame-pointer-select: Re-enable after removing FTRACE
related change.
- Update x86 non-debug config files: Turn off FRAME_POINTER and FTRACE.
-------------------------------------------------------------------
Fri Sep 19 06:06:12 CEST 2008 - nfbrown@suse.de
- patches.fixes/md-Allow-metadata_version-to-be-updated-for-externa.patch:
md: Allow metadata_version to be updated for externally managed
metadata. (FATE#304218).
- patches.fixes/md-Don-t-try-to-set-an-array-to-read-auto-if-it-i.patch:
md: Don't try to set an array to 'read-auto' if it is already
in that state. (FATE#304218).
-------------------------------------------------------------------
Thu Sep 18 10:42:25 CEST 2008 - jbeulich@novell.com
- patches.suse/no-frame-pointer-select: Disable.
- Re-enable CONFIG_FRAME_POINTER in x86 config files.
-------------------------------------------------------------------
Thu Sep 18 10:10:01 CEST 2008 - jbeulich@novell.com
- Update i386 and x86-64 config files (disable CONFIG_FRAME_POINTER in
non-debug configs).
- patches.suse/stack-unwind: Add missing put_cpu()-s for x86-64.
- patches.suse/no-frame-pointer-select: Fix stack unwinder Kconfig
(bnc#402518).
-------------------------------------------------------------------
Thu Sep 18 09:34:38 CEST 2008 - hare@suse.de
- Update config files.
- patches.drivers/open-fcoe-driver: fcoe: Fibre Channel over
Ethernet driver (FATE#303913).
- patches.drivers/open-fcoe-libfc: libfc: a modular software
Fibre Channel implementation (FATE#303913).
- patches.drivers/open-fcoe-header-files: FC protocol definition
header files (FATE#303913).
- patches.drivers/open-fcoe-dcb-support: FCoE: Add DCB support
(FATE#303913).
- patches.drivers/ixgbe-fcoe-bugfixes: ixgbe: Bugfixes for FCoE.
- patches.fixes/vlan-gso-size-fix: vlan: device not reading gso
max size of parent. (FATE#303913).
- patches.fixes/pkt_action-skbedit: pkt_action: add new action
skbedit.
- patches.fixes/pkt_sched_multiq_support: pkt_sched: Add
multiqueue scheduler support (FATE#303913).
- supported.conf: Update to include FCoE and device_handler
modules
-------------------------------------------------------------------
Wed Sep 17 16:09:26 CEST 2008 - jbeulich@novell.com
- supported.conf: adjust name of ide-cd (is now ide-cd_mod).
-------------------------------------------------------------------
Wed Sep 17 09:00:30 CEST 2008 - hare@suse.de
- patches.drivers/qla2xxx-defer-risc-interrupt-enablement:
qla2xxx: Defer enablement of RISC interrupts until ISP
initialization completes (FATE#304113).
- patches.drivers/qla2xxx-8.02.01-k8-update: Update qla2xxx to
8.02.01-k8 (FATE#304113).
-------------------------------------------------------------------
Wed Sep 17 08:35:22 CEST 2008 - hare@suse.de
- patches.fixes/scsi-retry-hardware-error: make scsi_check_sense
HARDWARE_ERROR return ADD_TO_MLQUEUE on retry (FATE#304042)
-------------------------------------------------------------------
Tue Sep 16 17:33:16 CEST 2008 - olh@suse.de
- disable CONFIG_SPARSEMEM_VMEMMAP on ppc64 to allow memory remove
(bnc#417537)
-------------------------------------------------------------------
Mon Sep 15 18:05:24 CEST 2008 - jkosina@suse.de
- Update config files (build elousb driver as module)
- patches.drivers/elousb.patch: Elo USB touchscreen driver
(FATE#304972).
-------------------------------------------------------------------
Mon Sep 15 15:24:53 CEST 2008 - hare@suse.de
- patches.fixes/dm-mpath-abort-queue: Abort queued requests for
multipath (FATE#304151).
-------------------------------------------------------------------
Mon Sep 15 10:40:01 CEST 2008 - hare@suse.de
- Update config files: Disable CONFIG_OCFS2_COMPAT_JBD
-------------------------------------------------------------------
Mon Sep 15 10:28:26 CEST 2008 - hare@suse.de
- patches.xen/xen-scsifront-block-timeout-update: Update XEN
scsifront driver to request timeouts.
-------------------------------------------------------------------
Mon Sep 15 09:45:55 CEST 2008 - sdietrich@suse.de
- Update config files: Enable GROUP_SCHED, FAIR_GROUP_SCHED,
RT_GROUP_SCHED, CGROUP_SCHED
-------------------------------------------------------------------
Mon Sep 15 09:03:13 CEST 2008 - hare@suse.de
- patches.drivers/block-timeout-handling: Fix typo.
-------------------------------------------------------------------
Fri Sep 12 19:16:39 CEST 2008 - duwe@suse.de
- Add LED driver for SGI "UV" systems (FATE#304268)
-------------------------------------------------------------------
Fri Sep 12 16:32:46 CEST 2008 - hare@suse.de
- patches.drivers/bdev-resize-added-flush_disk: Added
flush_disk to factor out common buffer cache flushing code
(FATE#302348,FATE#303786).
- patches.drivers/bdev-resize-adjust-block-device-size:
Adjust block device size after an online resize of a
disk. (FATE#302348,FATE#303786).
- patches.drivers/bdev-resize-call-flush_disk: Call flush_disk()
after detecting an online resize. (FATE#302348,FATE#303786).
- patches.drivers/bdev-resize-check-for-device-resize:
Check for device resize when rescanning partitions
(FATE#302348,FATE#303786).
- patches.drivers/bdev-resize-sd-driver-calls: SCSI sd driver
calls revalidate_disk wrapper (FATE#302348,FATE#303786).
- patches.drivers/bdev-resize-wrapper-for-revalidate_disk:
Wrapper for lower-level revalidate_disk
routines. (FATE#302348,FATE#303786).
- patches.drivers/block-timeout-handling: block: unify request
timeout handling (FATE#304151,bnc#417544).
- patches.fixes/scsi-misc-git-update: SCSI misc fixes
(FATE#303485,FATE#303484).
- patches.fixes/scsi-enhance-error-codes: Separate failfast into
multiple bits (FATE#303485,FATE#303484).
- patches.suse/rq-based-block-layer: rediff.
- patches.suse/rq-based-multipath-functions: rediff.
- patches.suse/no-partition-scan: rediff.
-------------------------------------------------------------------
Fri Sep 12 13:33:21 CEST 2008 - hare@suse.de
- patches.fixes/scsi-misc-git-update: SCSI misc fixes,
required by the driver updates (FATE#303485,FATE#303484)
-------------------------------------------------------------------
Fri Sep 12 12:22:23 CEST 2008 - hare@suse.de
- patches.drivers/lpfc-8.2.8.1-update: Update lpfc to 8.2.8.1
(bnc#420767).
- patches.drivers/lpfc-8.2.8-update: Emulex lpfc driver update
to 8.2.8 (FATE#303485,bnc#420767).
-------------------------------------------------------------------
Fri Sep 12 09:56:49 CEST 2008 - bwalle@suse.de
- patches.arch/ia64-kdump_proc_iomem.diff:
IA64: assign a distinguishable label to uncached memory in
/proc/iomem (to fix MCA on kdump boot).
-------------------------------------------------------------------
Thu Sep 11 22:45:21 CEST 2008 - jack@suse.cz
Latest ext4 fixes from ext4 patch queue:
- patches.fixes/ext4-Add-inode-to-journal-handle-after-block-alloca.patch:
ext4: Don't add the inode to journal handle until after the
block is allocated (fate#303783).
- patches.fixes/ext4_add-missing-unlock-to-ext4-check-descriptors:
ext4: add missing unlock in ext4_check_descriptors() on error
path (fate#303783).
- patches.fixes/ext4-Add-percpu-dirty-block-accounting.patch:
ext4: Add percpu dirty block accounting. (fate#303783).
- patches.fixes/ext4_create-proc-ext4-stats-file-more-carefully:
ext4: fix #11321: create /proc/ext4/*/stats more carefully
(fate#303783).
- patches.fixes/ext4_fix_longlong_checkpatch_issues: ext4:
Fix long long checkpatch warnings (fate#303783).
- patches.fixes/ext4_fix_printk_checkpatch_issues: ext4:
Add printk priority levels to clean up checkpatch warnings
(fate#303783).
- patches.fixes/ext4_fix_whitespace_checkpatch_issues: ext4:
Fix whitespace checkpatch warnings/errors (fate#303783).
- patches.fixes/ext4_i_disksize_lock_race_fix.patch: ext4:
Properly update i_disksize. (fate#303783).
- patches.fixes/ext4_invalidate_pages_when_delalloc_alloc_fail.patch:
ext4: invalidate pages if delalloc block allocation
fails. (fate#303783).
- patches.fixes/ext4-Make-sure-all-the-block-allocation-paths-reser.patch:
ext4: Make sure all the block allocation paths reserve blocks
(fate#303783).
- patches.fixes/ext4_nonmballoc_reservation_ENOSPC_fix.patch:
ext4: Fix ext4 nomballoc allocator for ENOSPC (fate#303783).
- patches.fixes/ext4-Retry-block-allocation-if-we-have-free-blocks.patch:
ext4: Retry block allocation if we have free blocks left
(fate#303783).
- patches.fixes/ext4-Retry-block-reservation.patch: ext4: Retry
block reservation (fate#303783).
- patches.fixes/ext4-Signed-arithematic-fix.patch: ext4: Signed
arithematic fix (fate#303783).
- patches.fixes/ext4-Switch-to-non-delalloc-mode-when-we-are-low-on.patch:
ext4: Switch to non delalloc mode when we are low on free
blocks count. (fate#303783).
- patches.fixes/ext4_truncate_block_allocated_on_a_failed_ext4_write_begin.patch:
ext4: truncate block allocated on a failed ext4_write_begin
(fate#303783).
- patches.fixes/ext4_update-flex-bg-counters-when-resizing:
Update flex_bg free blocks and free inodes counters when
resizing. (fate#303783).
- patches.fixes/percpu_counter_sum_cleanup.patch: percpu counter:
clean up percpu_counter_sum_and_set() (fate#303783).
-------------------------------------------------------------------
Thu Sep 11 21:45:05 CEST 2008 - bwalle@suse.de
- Enable KDB for i386 and x86_64 in "default" and "pae"
configuration with CONFIG_KDB_OFF set to "y" (FATE#303971).
- Set CONFIG_KDB_CONTINUE_CATASTROPHIC=2 in all configurations
that have KDB enabled.
-------------------------------------------------------------------
Thu Sep 11 15:36:51 CEST 2008 - jslaby@suse.de
- Update config files.
enable PID_NS and USER_NS
(FATE#303785, FATE#304371)
-------------------------------------------------------------------
Thu Sep 11 15:03:32 CEST 2008 - jeffm@suse.de
- Update config files.
- Enabled CONFIG_XFRM_SUB_POLICY (FATE#303781)
-------------------------------------------------------------------
Thu Sep 11 14:33:26 CEST 2008 - mfasheh@suse.com
- Added POSIX File Locks support for Ocfs2 (FATE#110294)
- patches.suse/ocfs2-POSIX-file-locks-support.patch
-------------------------------------------------------------------
Thu Sep 11 14:30:15 CEST 2008 - mfasheh@suse.com
- Added Ocfs2 JBD2 Support (FATE#302877)
- patches.suse/ocfs2-Limit-inode-allocation-to-32bits.patch
- patches.suse/ocfs2-Add-the-inode64-mount-option.patch
- patches.suse/ocfs2-Switch-over-to-JBD2.patch
-------------------------------------------------------------------
Thu Sep 11 13:24:18 CEST 2008 - mfasheh@suse.com
- Added Ocfs2 Extended Attributes Support (FATE#302067)
- patches.suse/ocfs2-Modify-ocfs2_num_free_extents-f.patch
- patches.suse/ocfs2-Use-ocfs2_extent_list-instead-o.patch
- patches.suse/ocfs2-Abstract-ocfs2_extent_tree-in-b.patch
- patches.suse/ocfs2-Make-high-level-btree-extend-co.patch
- patches.suse/ocfs2-Add-the-basic-xattr-disk-layout-in-ocf.patch
- patches.suse/ocfs2-Add-helper-function-in-uptodate.patch
- patches.suse/ocfs2-Add-extent-tree-operation-for-x.patch
- patches.suse/ocfs2-reserve-inline-space-for-extend.patch
- patches.suse/ocfs2-Add-extended-attribute-support.patch
- patches.suse/ocfs2-Add-xattr-index-tree-operations.patch
- patches.suse/ocfs2-Add-xattr-bucket-iteration-for.patch
- patches.suse/ocfs2-Add-xattr-lookup-code-xattr-btr.patch
- patches.suse/ocfs2-Optionally-limit-extent-size-in.patch
- patches.suse/ocfs2-Enable-xattr-set-in-index-btree.patch
- patches.suse/ocfs2-Delete-all-xattr-buckets-during.patch
- patches.suse/ocfs2-Add-incompatible-flag-for-exten.patch
- patches.suse/ocfs2-fix-printk-format-warnings.patch
- patches.suse/ocfs2-Prefix-the-extent-tree-operations-structure.patch
- patches.suse/ocfs2-Prefix-the-ocfs2_extent_tree-structure.patch
- patches.suse/ocfs2-Make-ocfs2_extent_tree-get-put-instead-of-all.patch
- patches.suse/ocfs2-Make-private-into-object-on-ocfs2_extent_.patch
- patches.suse/ocfs2-Provide-the-get_root_el-method-to-ocfs2_ext.patch
- patches.suse/ocfs2-Use-struct-ocfs2_extent_tree-in-ocfs2_num_fre.patch
- patches.suse/ocfs2-Determine-an-extent-tree-s-max_leaf_clusters.patch
- patches.suse/ocfs2-Create-specific-get_extent_tree-functions.patch
- patches.suse/ocfs2-Add-an-insertion-check-to-ocfs2_extent_tree_o.patch
- patches.suse/ocfs2-Make-ocfs2_extent_tree-the-first-class-repres.patch
- patches.suse/ocfs2-Comment-struct-ocfs2_extent_tree_operations.patch
- patches.suse/ocfs2-Change-ocfs2_get_-_extent_tree-to-ocfs2_ini.patch
- patches.suse/ocfs2-bug-fix-for-journal-extend-in-xattr.patch
- patches.suse/ocfs2-Resolve-deadlock-in-ocfs2_xattr_free_.patch
- patches.suse/ocfs2-Add-xattr-mount-option-in-ocfs2_show_options.patch
-------------------------------------------------------------------
Thu Sep 11 13:19:27 CEST 2008 - mfasheh@suse.com
- Add patches.fixes/jbd2-create-proc-entry-fix.patch
jbd2: Create proc entry with bdevname+i_ino.
(FATE#302877)
-------------------------------------------------------------------
Thu Sep 11 13:11:12 CEST 2008 - mfasheh@suse.com
- Add patches.fixes/dlm-allow-multiple-lockspaces.patch
dlm: allow multiple lockspace creates
(FATE#110294)
-------------------------------------------------------------------
Thu Sep 11 12:48:36 CEST 2008 - schwab@suse.de
- Update kdb patches.
-------------------------------------------------------------------
Thu Sep 11 11:41:27 CEST 2008 - jslaby@suse.de
- Update config files.
change CONFIG_NODES_SHIFT from 6 to 9
(FATE#304261)
-------------------------------------------------------------------
Wed Sep 10 19:03:33 CEST 2008 - trenn@suse.de
- Update config files.
Added: CONFIG_PCIEASPM
-------------------------------------------------------------------
Wed Sep 10 17:18:30 CEST 2008 - kkeil@suse.de
- patches.drivers/e1000e_add_82574L.patch: e1000e: add support
for new 82574L part.
- patches.drivers/e1000e_add_ICH9_BM.patch: e1000e: add support
for the 82567LM-4 device.
- patches.drivers/e1000e_add_LOM_devices.patch: e1000e: add
support for 82567LM-3 and 82567LF-3 (ICH10D).
(FATE#303916)
-------------------------------------------------------------------
Wed Sep 10 16:22:17 CEST 2008 - hare@suse.de
- patches.suse/no-partition-scan: Implement 'no_partition_scan'
commandline option (FATE#303697)
-------------------------------------------------------------------
Wed Sep 10 14:47:37 CEST 2008 - jeffm@suse.de
- patches.kernel.org/ipmi-section-conflict.diff: ipmi: Fix
section type conflicts.
- patches.kernel.org/psmouse-section-conflict.diff: psmouse:
fix section type conflict.
- patches.kernel.org/carmine-section-mismatch: video: Fix section
mismatch in carminefb.
- patches.kernel.org/md-section-conflict: md: Fix section
conflicts.
- patches.kernel.org/setup_APIC_timer-section-mismatch: x86:
Fix section conflict with kvm_setup_secondary_clock.
-------------------------------------------------------------------
Wed Sep 10 14:15:44 CEST 2008 - trenn@suse.de
- patches.arch/thinkpad_fingers_off_backlight_igd.patch:
Serve ThinkPad IGD devices backlight functionality through
thinkpad_acpi (fate #302883).
- supported.conf: Add most important laptop drivers as supported:
kernel/drivers/misc/asus_laptop
kernel/drivers/misc/eeepc-laptop
kernel/drivers/misc/msi-laptop
kernel/drivers/misc/fujitsu-laptop
kernel/drivers/acpi/wmi
kernel/drivers/misc/hp-wmi
-------------------------------------------------------------------
Wed Sep 10 14:14:01 CEST 2008 - jeffm@suse.de
- patches.suse/acpi-dsdt-initrd-v0.9a-2.6.25.patch: Fixed up
some section conflicts.
-------------------------------------------------------------------
Wed Sep 10 14:08:09 CEST 2008 - jeffm@suse.de
- Update to 2.6.27-rc6.
-------------------------------------------------------------------
Wed Sep 10 11:50:03 CEST 2008 - jeffm@suse.de
- supported.conf: Added missing netfilter modules.
-------------------------------------------------------------------
Wed Sep 10 11:40:34 CEST 2008 - jeffm@suse.de
- supported.conf: Updated netfilter module names.
-------------------------------------------------------------------
Wed Sep 10 11:40:16 CEST 2008 - jeffm@suse.de
- patches.kernel.org/firmware-path: Updated header.
-------------------------------------------------------------------
Wed Sep 10 11:33:37 CEST 2008 - jkosina@suse.de
- Update config files: support more than 4 serial ports
(FATE#303314)
-------------------------------------------------------------------
Wed Sep 10 10:47:23 CEST 2008 - olh@suse.de
- set CONFIG_CMM=y instead of =m to simplify virtual partition memory
(bnc#417554)
-------------------------------------------------------------------
Tue Sep 9 14:20:39 CEST 2008 - bphilips@suse.de
- rpm/kernel-binary.spec.in: add Recommends: kerneloops
-------------------------------------------------------------------
Tue Sep 9 09:55:33 CEST 2008 - olh@suse.de
- Updated to 2.6.27-rc5-git10
-------------------------------------------------------------------
Mon Sep 8 09:50:29 CEST 2008 - olh@suse.de
- Updated to 2.6.27-rc5-git9
-------------------------------------------------------------------
Fri Sep 5 13:44:09 CEST 2008 - jjolly@suse.de
- patches.arch/s390-01-01-self-ptrace-v3.patch: system call
notification with self_ptrace (bnc#417299)
- patches.arch/s390-01-02-dcss-64-v2.patch: dcssblk (new function):
Add support for >2G DCSS and stacked contiguous DCSS support.
(bnc#417246)
- patches.arch/s390-01-04-fcpperf-{1-4}.patch: (kernel):FCP -
Performance Data colletion & analysis (bnc#417243)
-------------------------------------------------------------------
Fri Sep 5 12:32:06 CEST 2008 - olh@suse.de
- add patches.fixes/usb-hcd-interrupt-shared.patch
fix interrupt handling for shared irqs, for PS3 (bnc#409961)
-------------------------------------------------------------------
Fri Sep 5 12:23:06 CEST 2008 - olh@suse.de
- Updated to 2.6.27-rc5-git7
-------------------------------------------------------------------
Thu Sep 4 13:04:50 CEST 2008 - olh@suse.de
- build with CONFIG_POWER4_ONLY (bnc#417566)
this disables support for POWER3 and RS64 cpus
-------------------------------------------------------------------
Thu Sep 4 12:15:06 CEST 2008 - jkosina@suse.de
- switch from Reno to Cubic as default TCP congestion algorithm
(bnc#422825)
-------------------------------------------------------------------
Thu Sep 4 09:58:46 CEST 2008 - bwalle@suse.de
- Update KDB patches. Fix build on x86_64-debug.
-------------------------------------------------------------------
Wed Sep 3 23:52:01 CEST 2008 - jeffm@suse.de
- mark crc-t10dif as supported
-------------------------------------------------------------------
Wed Sep 3 17:05:59 CEST 2008 - olh@suse.de
- mark pata_pdc2027x as supported
-------------------------------------------------------------------
Wed Sep 3 16:30:50 CEST 2008 - olh@suse.de
- mark spidernet as supported
-------------------------------------------------------------------
Wed Sep 3 16:18:23 CEST 2008 - olh@suse.de
- mark ehea as supported
-------------------------------------------------------------------
Wed Sep 3 10:44:38 CEST 2008 - trenn@suse.de
- supported.conf:
Mark dock (libata depends on it), bay, acpi_memhotplug, hpilo
as supported
Remove or adjust supported laptop drivers that went from
drivers/acpi to drivers/misc
-------------------------------------------------------------------
Wed Sep 3 10:24:26 CEST 2008 - hare@suse.de
- supported.conf: Mark virtio modules as supported.
-------------------------------------------------------------------
Tue Sep 2 13:26:58 CEST 2008 - jbeulich@novell.com
- Update Xen patches to 2.6.27-rc5 and c/s 651.
-------------------------------------------------------------------
Tue Sep 2 04:53:12 CEST 2008 - jjolly@suse.de
- patches.arch/s390-01-01-self-ptrace-v2.patch: kernel
(new function): System call notification with self_ptrace
(bnc#417299,FATE#304021)
-------------------------------------------------------------------
Mon Sep 1 14:03:09 CEST 2008 - agruen@suse.de
- File capabilities: replace our no_file_caps patch with what is
supposed to end up in 2.6.28.
-------------------------------------------------------------------
Mon Sep 1 11:58:24 CEST 2008 - bwalle@suse.de
- patches.arch/ia64-node_mem_map-node_start_pfn.diff:
Fix memory map for ia64/discontmem for kdump.
-------------------------------------------------------------------
Mon Sep 1 11:19:24 CEST 2008 - olh@suse.de
- Updated to 2.6.27-rc5-git2
-------------------------------------------------------------------
Fri Aug 29 22:46:43 CEST 2008 - jeffm@suse.de
- Updated to 2.6.27-rc5.
- Eliminated 2 patches.
- KDB has an incompatible change on x86_64, so kernel-debug
will fail there.
-------------------------------------------------------------------
Fri Aug 29 16:20:49 CEST 2008 - olh@suse.de
- update message in post.sh to display also the rpm FLAVOR
-------------------------------------------------------------------
Thu Aug 28 16:04:48 CEST 2008 - olh@suse.de
- update patches.suse/dm-raid45-2.6.25-rc2_20080221.patch
rename rh_init to region_hash_init to avoid conflict
with existing powerpc symbol on powerpc
-------------------------------------------------------------------
Thu Aug 28 15:55:28 CEST 2008 - olh@suse.de
- disable musb, not useful, does not compile
-------------------------------------------------------------------
Tue Aug 26 18:54:43 CEST 2008 - trenn@suse.de
Vendor specific drivers vs generic video driver.
Distinguish which ACPI driver should do backlight switching.
This patch series is queued up for 2.6.28 in the ACPI branch:
- patches.arch/0000-ACPI-video-Ignore-devices-not-present.patch:
ACPI: video: Ignore devices that aren't present in hardware.
- patches.arch/0001-Check-for-ACPI-backlight-support.patch: Check
for ACPI backlight support otherwise use vendor ACPI drivers.
- patches.arch/0002-Acer-WMI-fingers-off-backlight-video.ko.patch:
Acer-WMI: fingers off backlight if video.ko is serving this
functionality.
- patches.arch/0003-Asus-acpi-fingers-off-backlight.patch:
asus-acpi: fingers off backlight if video.ko is serving this
functionality.
- patches.arch/0004-Compal-fingers-off-backlight.patch: compal:
fingers off backlight if video.ko is serving this functionality.
- patches.arch/0005-eeepc-laptop-fingers-off.patch: eeepc-laptop:
fingers off backlight if video.ko is serving this functionality.
- patches.arch/0006-fujitsu-laptop-fingers-off-backlight.patch:
fujitsu-laptop: fingers off backlight if video.ko is serving
this functionality.
- patches.arch/0007-msi-laptop-fingers-off-backlight.patch:
msi-laptop: fingers off backlight if video.ko is serving this
functionality.
- patches.arch/0008-sony-laptop-fingers-off-backlight.patch:
sony-laptop: fingers off backlight if video.ko is serving
this functionality.
- patches.arch/0009-thinkpad_acpi-fingers-off-backlight.patch:
thinkpad_acpi: fingers off backlight if video.ko is serving
this functionality.
I had to refresh these. While one patch was broken,
two lines were missing, "patch" from 11.0 still worked, while
"patch" from 10.3 did not:
- patches.xen/add-console-use-vt: add console_use_vt.
- patches.xen/linux-2.6.19-rc1-kexec-move_segment_code-i386.patch:
kexec: Move asm segment handling code to the assembly file
(i386).
-------------------------------------------------------------------
Mon Aug 25 23:21:01 CEST 2008 - jeffm@suse.de
- Disabled patches.kernel.org/ia64-asm-nr-irqs
-------------------------------------------------------------------
Mon Aug 25 22:53:52 CEST 2008 - jeffm@suse.de
- patches.fixes/pseries-compile-fix: pseries: compile fix.
-------------------------------------------------------------------
Mon Aug 25 22:49:01 CEST 2008 - jeffm@suse.de
- patches.kernel.org/musb-powerpc-conflict: musb: compile fix
for powerpc.
-------------------------------------------------------------------
Mon Aug 25 21:58:39 CEST 2008 - jeffm@suse.de
- patches.kernel.org/ia64-asm-nr-irqs: ia64: nr-irqs.h generation
should place it in arch/../asm.
-------------------------------------------------------------------
Mon Aug 25 21:56:47 CEST 2008 - jeffm@suse.de
- Update config files.
-------------------------------------------------------------------
Mon Aug 25 21:22:08 CEST 2008 - jeffm@suse.de
- Updated to 2.6.27-rc4.
- Refreshed context.
-------------------------------------------------------------------
Mon Aug 25 14:30:43 CEST 2008 - trenn@suse.de
- patches.arch/x86-introduce-pci-noioapicquirk-kernel-cmdline.patch:
x86, pci: introduce pci=noioapicquirk kernel cmdline option.
Mysterious patch problem in include/asm-x86/pci.h
- patches.fixes/acpi-clear-wake-status.patch: Clear wak_sts
register on resume.
-------------------------------------------------------------------
Mon Aug 25 13:19:49 CEST 2008 - trenn@suse.de
- patches.suse/acpi_provide_non_windows_osi_boot_param.patch:
Delete.
-------------------------------------------------------------------
Mon Aug 25 12:33:32 CEST 2008 - jbeulich@novell.com
- Update Xen patches to 2.6.27-rc4.
- patches.xen/xen-x86_64-dump-user-pgt: dump the correct page
tables for user mode faults.
- patches.xen/xen-x86_64-pgd-alloc-order: don't require order-1
allocations for pgd-s.
- patches.xen/xen-x86_64-pgd-pin: make pinning of pgd pairs
transparent to callers.
- patches.xen/xen-blktap-write-barriers: blktap: Write Barriers.
- patches.xen/xen-x86-pmd-handling: consolidate pmd/pud/pgd entry
handling.
- patches.xen/xen-x86-bigmem: fix issues with the assignment of
huge amounts of memory.
- patches.xen/xen-msix-restore: print at least a message if MSI-X
restore failed.
- config.conf: Re-enable Xen.
- patches.xen/sfc-i2c: Delete.
- Update config files.
-------------------------------------------------------------------
Fri Aug 22 17:01:43 CEST 2008 - jbeulich@novell.com
- patches.suse/stack-unwind: Adjust and re-enable.
- Update config files.
-------------------------------------------------------------------
Tue Aug 19 20:36:51 CEST 2008 - jeffm@suse.de
- patches.kernel.org/ps3-lpm-include: Delete.
-------------------------------------------------------------------
Tue Aug 19 16:54:07 CEST 2008 - jeffm@suse.de
- Removed unused patches.
-------------------------------------------------------------------
Tue Aug 19 15:04:16 CEST 2008 - tiwai@suse.de
- Update config files: Use CONFIG_INPUT_PCSPKR=m (bnc#225221)
-------------------------------------------------------------------
Mon Aug 18 19:47:24 CEST 2008 - schwab@suse.de
- Update config files.
-------------------------------------------------------------------
Mon Aug 18 19:45:51 CEST 2008 - schwab@suse.de
- Update kdb patches.
-------------------------------------------------------------------
Fri Aug 15 20:40:18 CEST 2008 - tiwai@suse.de
- patches.arch/ppc-ipic-suspend-without-83xx-fix: Fix build_error
without CONFIG_PPC_83xx.
- Update config files.
-------------------------------------------------------------------
Fri Aug 15 18:49:18 CEST 2008 - tiwai@suse.de
- Update config files: disable CONFIG_IPIC for ppc/default and
vanilla again to fix build
-------------------------------------------------------------------
Fri Aug 15 18:35:48 CEST 2008 - tiwai@suse.de
- rpm/kernel-binary.spec.in: fix build without firmware files
-------------------------------------------------------------------
Fri Aug 15 15:35:05 CEST 2008 - jeffm@suse.de
- patches.kernel.org/no-include-asm: kbuild: correctly link
include/asm in external builds.
-------------------------------------------------------------------
Fri Aug 15 14:28:13 CEST 2008 - jeffm@suse.de
- patches.kernel.org/ath9k-workaround-gcc-ICE-again-on-powerpc:
ath9k: work around gcc ICE again.
-------------------------------------------------------------------
Thu Aug 14 22:00:36 CEST 2008 - jeffm@suse.de
- patches.suse/novfs-gregorian-day-fix: novfs: Fix GregorianDay
conflict.
-------------------------------------------------------------------
Thu Aug 14 21:54:02 CEST 2008 - jeffm@suse.de
- Enabled patches.kernel.org/firmware-path
-------------------------------------------------------------------
Thu Aug 14 21:25:29 CEST 2008 - jeffm@suse.de
- patches.apparmor/add-security_path_permission: Fixed duplicate
export of security_inode_permission.
-------------------------------------------------------------------
Thu Aug 14 21:16:20 CEST 2008 - jeffm@suse.de
- patches.apparmor/add-security_path_permission: Add missing
stub for security_path_permission when CONFIG_SECURITY_APPARMOR=n
-------------------------------------------------------------------
Thu Aug 14 21:15:52 CEST 2008 - jeffm@suse.de
- patches.kernel.org/firmware-path: firmware: Allow
release-specific firmware dir.
- rpm/kernel-binary.spec.in: Add firmware files.
-------------------------------------------------------------------
Thu Aug 14 21:09:53 CEST 2008 - jeffm@suse.de
- patches.kernel.org/ia64-export-cpu_core_map: ia64: Export
cpu_core_map for topology_core_siblings.
-------------------------------------------------------------------
Thu Aug 14 20:00:23 CEST 2008 - jeffm@suse.de
- Updated to 2.6.27-rc3.
- AppArmor mostly merged. There may be some hiccups.
- Xen and RT temporarily disabled for merging.
- 17 patches eliminated.
-------------------------------------------------------------------
Thu Aug 14 16:43:59 CEST 2008 - ghaskins@suse.de
Guarded by +RT
- patches.rt/seqlock-make-raw-seqlocks-spin-during-write.patch:
seqlock: make sure that raw_seqlock_t retries readers while
writes are pending.
- patches.rt/ftrace-fix-elevated-preempt-count-in-wakeup-tracer.patch:
ftrace: fix elevated preempt_count in wakeup-tracer.
-------------------------------------------------------------------
Fri Aug 8 16:15:51 CEST 2008 - hare@suse.de
- Update config files for RT kernel to activate SCSI
device handler.
-------------------------------------------------------------------
Fri Aug 8 15:14:18 CEST 2008 - jbeulich@novell.com
- patches.xen/xen3-fixup-common, patches.xen/xen3-fixup-kconfig,
patches.xen/xen3-patch-2.6.24, patches.xen/xen3-patch-2.6.25: Fix
a couple of bugs and inconsistencies.
-------------------------------------------------------------------
Fri Aug 8 13:25:34 CEST 2008 - schwab@suse.de
- Fix reference to $RPM_BUILD_ROOT in makefiles.
-------------------------------------------------------------------
Fri Aug 8 12:15:44 CEST 2008 - hare@suse.de
- patches.fixes/dm-mpath-hp-sw.patch: Delete.
- Update config files.
- patches.fixes/dm-2.6.27-update: Upstream device-mapper patches
(FATE#302108).
- patches.fixes/scsi_dh-2.6.27-update: SCSI device handler update
(FATE#302269,FATE#303696,FATE#303754,FATE#304125).
- patches.suse/rq-based-block-layer: rq-based multipathing:
block layer changes (FATE#302108).
- patches.suse/rq-based-dm-interface: rq-based multipathing:
device-mapper interface (FATE#302108).
- patches.suse/rq-based-multipath-functions: rq-based
multipathing: request-based functions to multipath
(FATE#302108).
-------------------------------------------------------------------
Thu Aug 7 15:53:59 CEST 2008 - jbeulich@novell.com
- Update Xen patches to c/s 623.
- patches.xen/sfc-network-driver: Delete.
- patches.xen/xen-balloon-hvm-min: Delete.
- patches.xen/xen-netfront-flip-prod: Delete.
- patches.xen/xen-x86_64-init-cleanup: Delete.
- patches.xen/sfc-i2c: sfc: Use kernel I2C system and i2c-algo-bit
driver (disabled).
- patches.xen/sfc-driverlink: Solarflare: Resource driver (disabled).
- Update Xen config files.
-------------------------------------------------------------------
Wed Aug 6 12:59:59 CEST 2008 - olh@suse.de
- add patches.suse/ppc-no-LDFLAGS_MODULE.patch
do not link external modules against arch/powerpc/lib/crtsavres.o
-------------------------------------------------------------------
Tue Aug 5 21:41:43 CEST 2008 - jeffm@suse.de
- patches.fixes/reiserfs-commit-ids-unsigned-ints: reiserfs:
audit transaction ids to always be unsigned ints (bnc#410847).
-------------------------------------------------------------------
Tue Aug 5 21:35:11 CEST 2008 - jeffm@suse.de
- README.BRANCH: Took ownership of 11.1 tree.
-------------------------------------------------------------------
Tue Aug 5 21:34:11 CEST 2008 - jeffm@suse.de
- README: Changed w3d links to wiki links.
-------------------------------------------------------------------
Tue Aug 5 17:03:22 CEST 2008 - ghaskins@suse.de
Fixed misnamed rt_trace to rt_timing
- config/x86_64/rt_trace: Delete.
- Update config files.
- config.conf:
-------------------------------------------------------------------
Tue Aug 5 16:10:50 CEST 2008 - ghaskins@suse.de
Added x86_64/rt_trace kernel flavor (based on ftrace)
- Update config files.
- config.conf:
-------------------------------------------------------------------
Tue Aug 5 15:27:26 CEST 2008 - ghaskins@suse.de
Guarded by +RT
- Fix rtmutex-tester build problem with rt_debug
- patches.rt/rtmutex-convert-to-libpi.patch: rtmutex: convert
rtmutexes to fully use the PI library.
-------------------------------------------------------------------
Tue Aug 5 14:20:38 CEST 2008 - ghaskins@suse.de
Guarded by +RT
- Remove version.patch to stop build breakage
-------------------------------------------------------------------
Tue Aug 5 11:43:31 CEST 2008 - jjohansen@suse.de
- update apparmor patches with fixes for
- broken getcwd (bnc#413915)
- ref counting bug in getcwd and d_namespace_path when used
on disconnected paths (bnc#414607)
- typeo in patches that keep selinux from compiling (bnc#414609)
- incorporate smack patch into base apparmor patches, so
that smack can be built (bnc#414610)
-------------------------------------------------------------------
Tue Aug 5 11:33:17 CEST 2008 - jslaby@suse.de
- patches.fixes/ath5k-fix-memory-corruption.patch: Ath5k: fix
memory corruption (bnc#414635).
- patches.fixes/ath5k-kill-tasklets-on-shutdown.patch: Ath5k:
kill tasklets on shutdown (bnc#414638).
-------------------------------------------------------------------
Mon Aug 4 20:56:15 CEST 2008 - gregkh@suse.de
- README.BRANCH: added file.
-------------------------------------------------------------------
Mon Aug 4 13:37:56 CEST 2008 - olh@suse.de
- disable CONFIG_SECURITY_ROOTPLUG because /init in initrd will
not run if USB is compiled in and a specific USB device is not
present
-------------------------------------------------------------------
Mon Aug 4 12:42:38 CEST 2008 - jslaby@suse.de
- patches.fixes/tpm-write-data-types.patch: tpm: Use correct
data types for sizes in tpm_write() and tpm_read() (bnc#400211).
-------------------------------------------------------------------
Fri Aug 1 21:45:24 CEST 2008 - ghaskins@suse.de
PI rework v0.5 and a fix from upstream for 26-rt1
- patches.rt/26-rt1-chirag.patch: This patch should solve some
of the bug messages..
- patches.rt/add-generalized-pi-interface.patch: add generalized
priority-inheritance interface.
- patches.rt/tie-pi-into-task.patch: sched: add the basic PI
infrastructure to the task_struct.
- patches.rt/rtmutex-initialize-waiters.patch: rtmutex: formally
initialize the rt_mutex_waiters.
- patches.rt/rtmutex-add_readers.patch: RT: wrap the rt_rwlock
"add reader" logic.
- patches.rt/rtmutex-use-runtime-init.patch: rtmutex: use runtime
init for rtmutexes.
- patches.rt/rtmutex-convert-to-libpi.patch: rtmutex: convert
rtmutexes to fully use the PI library.
- patches.rt/rtmutex-defer-pi-until-sleepy.patch: rtmutex:
pi-boost locks as late as possible.
-------------------------------------------------------------------
Fri Aug 1 16:16:29 CEST 2008 - schwab@suse.de
- Reenable EFI_RTC.
-------------------------------------------------------------------
Fri Aug 1 14:12:30 CEST 2008 - trenn@suse.de
- Update config files.
Unset on forgotten archs:
CONFIG_X86_REROUTE_FOR_BROKEN_BOOT_IRQS
-------------------------------------------------------------------
Fri Aug 1 13:49:18 CEST 2008 - trenn@suse.de
- patches.fixes/x86_hpet_amd_quirk.patch: Workaround a hpet BIOS
bug which is common on latest AMD driven boards (bnc#387053).
-------------------------------------------------------------------
Fri Aug 1 13:42:53 CEST 2008 - sassmann@suse.de
Add boot interrupt patches from linux-2.6-tip
- patches.arch/x86-acpi-reroute-PCI-interrupt-to-legacy-boot-interrupt.patch
- patches.arch/x86-add-PCI-IDs-for-devices-that-need-boot-irq-quirk.patch
- patches.arch/x86-disable-AMD-ATI-boot-interrupt-generation.patch
- patches.arch/x86-disable-broadcomm-boot-interrupt-generation.patch
- patches.arch/x86-disable-intel-boot-interrupt-generation.patch
- patches.arch/x86-introduce-config-option-for-pci-reroute-quirks.patch
- patches.arch/x86-introduce-pci-ioapicreroute-kernel-cmdline.patch
- patches.arch/x86-introduce-pci-noioapicquirk-kernel-cmdline.patch
- Update config files.
* reroute of boot interrupts is enabled for RT only!
-------------------------------------------------------------------
Thu Jul 31 19:30:14 CEST 2008 - sdietrich@suse.de
Update to 2.6.26-rt1:
- config.conf: Enable i386/x86_64 - RT / RT_debug
- Update config files:
* Sync to default config.
* Disable (broken):
- Novell Netware Filesystem support (novfs) (EXPERIMENTAL) (NOVFS)
- ISP 1760 HCD support (USB_ISP1760_HCD)
- KDB
- QLogic InfiniPath Driver (INFINIBAND_IPATH)
Remove obsolete patches:
- patches.rt/arm-cmpxchg-support-armv6.patch: Delete.
- patches.rt/arm-cmpxchg.patch: Delete.
- patches.rt/arm-fix-atomic-cmpxchg.patch: Delete.
- patches.rt/arm-leds-timer.patch: Delete.
- patches.rt/arm-omap-03.patch: Delete.
- patches.rt/arm-omap-04.patch: Delete.
- patches.rt/disable-sched-rt-groups.patch: Delete.
- patches.rt/drivers-edac-add-support-for-HS21XM-SMI-remediation:
Delete.
- patches.rt/drivers-edac-add-support-for-HS21_LS21-SMI-remediation:
Delete.
- patches.rt/drivers-edac-add-sysfs_notify-calls.patch: Delete.
- patches.rt/drivers-edac-i5000-turn-off-unsupported-check:
Delete.
- patches.rt/drivers-edac-new-amd64.patch: Delete.
- patches.rt/drivers-edac-new-k8-rev-f.patch: Delete.
- patches.rt/drivers-edac-prevent-potential-printk-storm: Delete.
- patches.rt/drivers-edac-test_device.patch: Delete.
- patches.rt/ep93xx-clockevents-fix.patch: Delete.
- patches.rt/ep93xx-clockevents.patch: Delete.
- patches.rt/ep93xx-timer-accuracy.patch: Delete.
- patches.rt/fix-alternate_node_alloc.patch: Delete.
- patches.rt/fix-irq-flags-size.patch: Delete.
- patches.rt/foo.patch: Delete.
- patches.rt/ftrace-add-nr_syscalls.patch: Delete.
- patches.rt/ftrace-alloc-pages.patch: Delete.
- patches.rt/ftrace-cpu-clock-update.patch: Delete.
- patches.rt/ftrace-debug-use-preempt-disable-notrace.patch:
Delete.
- patches.rt/ftrace-direct-calls.patch: Delete.
- patches.rt/ftrace-disable-daemon.patch: Delete.
- patches.rt/ftrace-dont-use-raw-irq-save.patch: Delete.
- patches.rt/ftrace-eventtrace-fixup.patch: Delete.
- patches.rt/ftrace-filter-functions.patch: Delete.
- patches.rt/ftrace-fix-ip.patch: Delete.
- patches.rt/ftrace-flip-fix.patch: Delete.
- patches.rt/ftrace-handle-time-outside-of-lockdep.patch: Delete.
- patches.rt/ftrace-irqsoff-smp-processor-id-fix.patch: Delete.
- patches.rt/ftrace-lockdep-notrace-annotations.patch: Delete.
- patches.rt/ftrace-max-update-fixes.patch: Delete.
- patches.rt/ftrace-move-memory-management-to-generic.patch:
Delete.
- patches.rt/ftrace-nop-calls.patch: Delete.
- patches.rt/ftrace-peterz-cpu_clock.patch: Delete.
- patches.rt/ftrace-remove-max-printks.patch: Delete.
- patches.rt/ftrace-safe-traversal-hlist.patch: Delete.
- patches.rt/ftrace-stop-function-trace-fix.patch: Delete.
- patches.rt/ftrace-unlock-mutex-in-output.patch: Delete.
- patches.rt/ftrace-update-cnt-stat-fix.patch: Delete.
- patches.rt/ftracer-build-fix.patch: Delete.
- patches.rt/futex-performance-hack-sysctl-fix.patch: Delete.
- patches.rt/futex-performance-hack.patch: Delete.
- patches.rt/git-ignore-module-markers.patch: Delete.
- patches.rt/irq-flags-unsigned-long.patch: Delete.
- patches.rt/kernel-bug-after-entering-something-from-login.patch:
Delete.
- patches.rt/kthread-cpus-allowed-init.patch: Delete.
- patches.rt/kvm-lapic-migrate-latency-fix.patch: Delete.
- patches.rt/kvm-make-less-noise.patch: Delete.
- patches.rt/kvm-preempt-rt-resched-delayed.patch: Delete.
- patches.rt/latency-tracing-prctl-api-hack.patch: Delete.
- patches.rt/netfilter-more-debugging.patch: Delete.
- patches.rt/page-alloc-use-real-time-pcp-locking-for-page-draining.patch:
Delete.
- patches.rt/pcounter-percpu-protect.patch: Delete.
- patches.rt/percpu-locked-powerpc-fixups-a6.patch: Delete.
- patches.rt/powerpc-rearrange-thread-flags-to-work-with-andi-instruction.patch:
Delete.
- patches.rt/ppc-add-mcount.patch: Delete.
- patches.rt/ppc-add-ppc32-mcount.patch: Delete.
- patches.rt/ppc-mark-notrace-mainline.patch: Delete.
- patches.rt/ppc-mcount-dummy-functions.patch: Delete.
- patches.rt/ppc-rename-xmon-mcount.patch: Delete.
- patches.rt/ppc-select-mcount.patch: Delete.
- patches.rt/preempt-irqs-ppc-celleb-beatic-eoi.patch: Delete.
- patches.rt/preempt-irqs-softirq-in-hardirq.patch: Delete.
- patches.rt/preempt-realtime-powerpc-a7.patch: Delete.
- patches.rt/preempt-realtime-ppc-more-resched-fixups.patch:
Delete.
- patches.rt/preempt-realtime-ppc-need-resched-delayed.patch:
Delete.
- patches.rt/preempt-realtime-sh.patch: Delete.
- patches.rt/preempt-realtime-supress-cpulock-warning.patch:
Delete.
- patches.rt/rcu-preempt-trace-markers-1.patch: Delete.
- patches.rt/rcu-preempt-trace-markers-2.patch: Delete.
- patches.rt/rcu-various-fixups.patch: Delete.
- patches.rt/rt-mutex-arm-fix.patch: Delete.
- patches.rt/rt-mutex-delayed-resched.patch: Delete.
- patches.rt/rt-mutex-drop-generic-TIF_NEED_RESCHED_DELAYED.patch:
Delete.
- patches.rt/rt-time-starvation-fix.patch: Delete.
- patches.rt/rtmutex-adaptive-locks.patch: Delete.
- patches.rt/rtmutex-adaptive-timeout.patch: Delete.
- patches.rt/rtmutex-lateral-steal-sysctl.patch: Delete.
- patches.rt/sched-fix-rt-task-wakeup.patch: Delete.
- patches.rt/sched-fix-sched-fair-wakeup.patch: Delete.
- patches.rt/sched-rt-push-only-new.patch: Delete.
- patches.rt/schedule_on_each_cpu-enhance-rt.patch: Delete.
- patches.rt/tasklet-fix-preemption-race.patch: Delete.
- patches.rt/tasklet-more-fixes.patch: Delete.
- patches.rt/time-gcc-linker-error.patch: Delete.
- patches.rt/tracer-use-sched-clock.patch: Delete.
- patches.rt/write-try-lock-irqsave.patch: Delete.
- patches.rt/x86-delay-enable-preempt-tglx.patch: Delete.
Introduce 2.6.26-RT1:
- patches.rt/2.6.21-rc6-lockless3-radix-tree-gang-slot-lookups.patch:
Linux-RT 2.6.26-RT
radix-tree: gang slot lookups.
- patches.rt/2.6.21-rc6-lockless5-lockless-probe.patch: Linux-RT
2.6.26-RT
mm: lockless probe.
- patches.rt/2.6.21-rc6-lockless6-speculative-get-page.patch:
Linux-RT 2.6.26-RT
mm: speculative get page.
- patches.rt/2.6.21-rc6-lockless7-lockless-pagecache-lookups.patch:
Linux-RT 2.6.26-RT
mm: lockless pagecache lookups.
- patches.rt/2.6.21-rc6-lockless8-spinlock-tree_lock.patch:
Linux-RT 2.6.26-RT
mm: spinlock tree_lock.
- patches.rt/Add-dev-rmem-device-driver-for-real-time-JVM-testing.patch:
Linux-RT 2.6.26-RT.
- patches.rt/Allocate-RTSJ-memory-for-TCK-conformance-test.patch:
Linux-RT 2.6.26-RT.
- patches.rt/RT_utsname.patch: Linux-RT 2.6.26-RT.
- patches.rt/aacraid-compat-sem.patch: Linux-RT 2.6.26-RT.
- patches.rt/adapt-remove-extra-try-to-lock.patch: Linux-RT
2.6.26-RT.
- patches.rt/adaptive-adjust-pi-wakeup.patch: Linux-RT 2.6.26-RT.
- patches.rt/adaptive-earlybreak-on-steal.patch: Linux-RT
2.6.26-RT
rtmutex: break out early on first run.
- patches.rt/adaptive-optimize-rt-lock-wakeup.patch: Linux-RT
2.6.26-RT.
- patches.rt/adaptive-spinlock-lite-v2.patch: Linux-RT 2.6.26-RT
adaptive spinlocks lite.
- patches.rt/adaptive-task-oncpu.patch: Linux-RT 2.6.26-RT.
- patches.rt/apic-dumpstack.patch: Linux-RT 2.6.26-RT.
- patches.rt/apic-level-smp-affinity.patch: Linux-RT 2.6.26-RT.
- patches.rt/arm-compile-fix.patch: Linux-RT 2.6.26-RT
ARM: compile fix for event tracing.
- patches.rt/arm-fix-compile-error-trace-exit-idle.patch:
Linux-RT 2.6.26-RT.
- patches.rt/arm-futex-atomic-cmpxchg.patch: Linux-RT 2.6.26-RT.
- patches.rt/arm-latency-tracer-support.patch: Linux-RT 2.6.26-RT.
- patches.rt/arm-omap-02.patch: Linux-RT 2.6.26-RT.
- patches.rt/arm-omap-05.patch: Linux-RT 2.6.26-RT.
- patches.rt/arm-preempt-config.patch: Linux-RT 2.6.26-RT.
- patches.rt/arm-trace-preempt-idle.patch: Linux-RT 2.6.26-RT.
- patches.rt/bh-state-lock.patch: Linux-RT 2.6.26-RT.
- patches.rt/bh-uptodate-lock.patch: Linux-RT 2.6.26-RT.
- patches.rt/cache_pci_find_capability.patch: Linux-RT 2.6.26-RT
Cache calls to pci_find_capability.
- patches.rt/call_rcu_bh-rename-of-call_rcu.patch: Linux-RT
2.6.26-RT
just rename call_rcu_bh instead of making it a macro.
- patches.rt/cond_resched_softirq-WARN-fix.patch: Linux-RT
2.6.26-RT
WARNING: at kernel/sched.c:5071 2.6.23-rc1-rt7.
- patches.rt/cputimer-thread-rt-fix.patch: Linux-RT 2.6.26-RT.
- patches.rt/cputimer-thread-rt_A0.patch: Linux-RT 2.6.26-RT.
- patches.rt/cycles-to-ns-trace-fix.patch: Linux-RT 2.6.26-RT.
- patches.rt/dev-queue-xmit-preempt-fix.patch: Linux-RT 2.6.26-RT.
- patches.rt/disable-irqpoll.patch: Linux-RT 2.6.26-RT.
- patches.rt/disable-ist-x86_64.patch: Linux-RT 2.6.26-RT.
- patches.rt/disable-lpptest-on-nonlinux.patch: Linux-RT
2.6.26-RT.
- patches.rt/disable-run-softirq-from-hardirq-completely.patch:
Linux-RT 2.6.26-RT
Disable running softirqs from hardirqs completely!.
- patches.rt/dont-disable-preemption-without-IST.patch: Linux-RT
2.6.26-RT.
- patches.rt/dont-let-rt-rw_semaphores-do-non_owner-locks.patch:
Linux-RT 2.6.26-RT.
- patches.rt/dont-unmask-io_apic.patch: Linux-RT 2.6.26-RT.
- patches.rt/drain-all-local-pages-via-sched.patch: Linux-RT
2.6.26-RT.
- patches.rt/event-tracer-syscall-i386.patch: Linux-RT 2.6.26-RT.
- patches.rt/event-tracer-syscall-x86_64.patch: Linux-RT
2.6.26-RT.
- patches.rt/export-schedule-on-each-cpu.patch: Linux-RT
2.6.26-RT.
- patches.rt/filemap-dont-bug-non-atomic.patch: Linux-RT
2.6.26-RT.
- patches.rt/fix-acpi-build-weirdness.patch: Linux-RT 2.6.26-RT.
- patches.rt/fix-bug-on-in-filemap.patch: Linux-RT 2.6.26-RT
Change bug_on for atomic to pagefault_disabled..
- patches.rt/fix-circular-locking-deadlock.patch: Linux-RT
2.6.26-RT.
- patches.rt/fix-compilation-for-non-RT-in-timer.patch: Linux-RT
2.6.26-RT.
- patches.rt/fix-emac-locking-2.6.16.patch: Linux-RT 2.6.26-RT.
- patches.rt/fix-emergency-reboot.patch: Linux-RT 2.6.26-RT
call reboot notifier list when doing an emergency reboot.
- patches.rt/fix-migrating-softirq.patch: Linux-RT 2.6.26-RT.
- patches.rt/fix-softirq-checks-for-non-rt-preempt-hardirq.patch:
Linux-RT 2.6.26-RT.
- patches.rt/fix_vdso_gtod_vsyscall64_2.patch: Linux-RT 2.6.26-RT.
- patches.rt/floppy-resume-fix.patch: Linux-RT 2.6.26-RT
floppy: suspend/resume fix.
- patches.rt/ftrace-compile-fixes.patch: Linux-RT 2.6.26-RT
rt: remove call to stop tracer.
- patches.rt/ftrace-dont-trace-markers.patch: Linux-RT 2.6.26-RT
ftrace: dont trace markers.
- patches.rt/ftrace-fix-header.patch: Linux-RT 2.6.26-RT.
- patches.rt/ftrace-function-record-nop.patch: Linux-RT 2.6.26-RT
ftrace: define function trace nop.
- patches.rt/ftrace-print-missing-cmdline.patch: Linux-RT
2.6.26-RT
ftrace: fix the command line printing.
- patches.rt/ftrace-record-comm-on-ctrl.patch: Linux-RT 2.6.26-RT
ftrace: record comm on function ctrl change.
- patches.rt/ftrace-trace-sched.patch: Linux-RT 2.6.26-RT
ftrace: trace sched.c.
- patches.rt/ftrace-upstream.patch: Linux-RT 2.6.26-RT.
- patches.rt/ftrace-use-preempt-disable-not-irq-disable.patch:
Linux-RT 2.6.26-RT
ftrace: avoid lockdep recursion.
- patches.rt/gcc-warnings-shut-up.patch: Linux-RT 2.6.26-RT.
- patches.rt/genhd-protect-percpu-var.patch: Linux-RT 2.6.26-RT.
- patches.rt/genirq-soft-resend.patch: Linux-RT 2.6.26-RT
x86: activate HARDIRQS_SW_RESEND.
- patches.rt/git-ignore-script-lpp.patch: Linux-RT 2.6.26-RT.
- patches.rt/gtod-optimize.patch: Linux-RT 2.6.26-RT.
- patches.rt/hack-convert-i_alloc_sem-for-direct_io-craziness.patch:
Linux-RT 2.6.26-RT.
- patches.rt/hack-fix-rt-migration.patch: Linux-RT 2.6.26-RT.
- patches.rt/handle-pending-in-simple-irq.patch: Linux-RT
2.6.26-RT
handle IRQ_PENDING for simple irq handler.
- patches.rt/highmem-redo-mainline.patch: Linux-RT 2.6.26-RT.
- patches.rt/highmem-revert-mainline.patch: Linux-RT 2.6.26-RT.
- patches.rt/highmem_rewrite.patch: Linux-RT 2.6.26-RT
mm: remove kmap_lock.
- patches.rt/hrtimer-no-printk.patch: Linux-RT 2.6.26-RT.
- patches.rt/hrtimers-overrun-api.patch: Linux-RT 2.6.26-RT.
- patches.rt/i386-mark-atomic-irq-ops-raw.patch: Linux-RT
2.6.26-RT.
- patches.rt/i386-nmi-watchdog-show-regs.patch: Linux-RT
2.6.26-RT.
- patches.rt/ioapic-fix-too-fast-clocks.patch: Linux-RT 2.6.26-RT.
- patches.rt/irda-fix.patch: Linux-RT 2.6.26-RT.
- patches.rt/irq-mask-fix.patch: Linux-RT 2.6.26-RT
genirq: fix simple and fasteoi irq handlers.
- patches.rt/jbd_assertions_smp_only.patch: Linux-RT 2.6.26-RT.
- patches.rt/kmap-atomic-i386-fix.patch: Linux-RT 2.6.26-RT.
- patches.rt/kmap-atomic-prepare.patch: Linux-RT 2.6.26-RT.
- patches.rt/kprobes-preempt-fix.patch: Linux-RT 2.6.26-RT.
- patches.rt/kstat-add-rt-stats.patch: Linux-RT 2.6.26-RT
add rt stats to /proc/stat.
- patches.rt/kstat-fix-spurious-system-load-spikes-in-proc-loadavgrt.patch:
Linux-RT 2.6.26-RT.
- patches.rt/latency-measurement-drivers.patch: Linux-RT
2.6.26-RT.
- patches.rt/latency-tracing-arm.patch: Linux-RT 2.6.26-RT.
- patches.rt/latency-tracing-ppc.patch: Linux-RT 2.6.26-RT.
- patches.rt/loadavg_fixes_weird_loads.patch: Linux-RT 2.6.26-RT.
- patches.rt/local_irq_save_nort-in-swap.patch: Linux-RT
2.6.26-RT.
- patches.rt/lock-init-plist-fix.patch: Linux-RT 2.6.26-RT.
- patches.rt/lock_list.patch: Linux-RT 2.6.26-RT
lock_list - a fine grain locked double linked list.
- patches.rt/lock_page_ref.patch: Linux-RT 2.6.26-RT
mm: lock_page_ref.
- patches.rt/lockdep-avoid-fork-waring.patch: Linux-RT 2.6.26-RT
ftrace: fix if define to prove locking.
- patches.rt/lockdep-lock_set_subclass.patch: Linux-RT 2.6.26-RT
lockdep: lock_set_subclass - reset a held lock's subclass.
- patches.rt/lockdep-more-entries.patch: Linux-RT 2.6.26-RT.
- patches.rt/lockdep-prettify.patch: Linux-RT 2.6.26-RT
lockdep: prettify output.
- patches.rt/lockdep-rt-mutex.patch: Linux-RT 2.6.26-RT
lockdep-rt: annotate PREEMPT_RT DEFINE_MUTEX.
- patches.rt/lockdep-rt-recursion-limit-fix.patch: Linux-RT
2.6.26-RT.
- patches.rt/lockdep-show-held-locks.patch: Linux-RT 2.6.26-RT
lockdep: show held locks when showing a stackdump.
- patches.rt/lockdep_lock_set_subclass_fix.patch: Linux-RT
2.6.26-RT.
- patches.rt/lockstat-fix-contention-points.patch: Linux-RT
2.6.26-RT
lockstat: fix contention points.
- patches.rt/lockstat-output.patch: Linux-RT 2.6.26-RT
lockstat: warn about disabled lock debugging.
- patches.rt/lockstat-rt-hooks.patch: Linux-RT 2.6.26-RT.
- patches.rt/lockstat_bounce_rt.patch: Linux-RT 2.6.26-RT.
- patches.rt/loopback-revert.patch: Linux-RT 2.6.26-RT.
- patches.rt/mapping_nrpages.patch: Linux-RT 2.6.26-RT
mm/fs: abstract address_space::nrpages.
- patches.rt/mips-change-raw-spinlock-type.patch: Linux-RT
2.6.26-RT
RT: change from raw_spinlock_t to __raw_spinlock_t.
- patches.rt/mips-remove-conlicting-rtc-lock-declaration.patch:
Linux-RT 2.6.26-RT
RT: remove conflicting rtc_lock declaration.
- patches.rt/mips-remove-duplicate-kconfig.patch: Linux-RT
2.6.26-RT.
- patches.rt/mips-remove-finish-arch-switch.patch: Linux-RT
2.6.26-RT
RT: remove finish_arch_switch.
- patches.rt/mitigate-resched-flood.patch: Linux-RT 2.6.26-RT.
- patches.rt/mm-concurrent-pagecache-rt.patch: Linux-RT 2.6.26-RT
mm: -rt bits for concurrent pagecache.
- patches.rt/mm-concurrent-pagecache.patch: Linux-RT 2.6.26-RT
mm: concurrent pagecache write side.
- patches.rt/mm-fix-latency.patch: Linux-RT 2.6.26-RT
reduce pagetable-freeing latencies.
- patches.rt/move-native-irq.patch: Linux-RT 2.6.26-RT.
- patches.rt/msi-suspend-resume-workaround.patch: Linux-RT
2.6.26-RT.
- patches.rt/multi-reader-account.patch: Linux-RT 2.6.26-RT
map tasks to reader locks held.
- patches.rt/multi-reader-limit.patch: Linux-RT 2.6.26-RT
implement reader limit on read write locks.
- patches.rt/multi-reader-lock-account.patch: Linux-RT 2.6.26-RT
map read/write locks back to their readers.
- patches.rt/multi-reader-pi.patch: Linux-RT 2.6.26-RT
read lock Priority Inheritance implementation.
- patches.rt/native-sched-clock-booboo.patch: Linux-RT 2.6.26-RT.
- patches.rt/neptune-no-at-keyboard.patch: Linux-RT 2.6.26-RT.
- patches.rt/net-core-preempt-fix.patch: Linux-RT 2.6.26-RT.
- patches.rt/netpoll-8139too-fix.patch: Linux-RT 2.6.26-RT.
- patches.rt/new-softirq-code.patch: Linux-RT 2.6.26-RT
softirq preemption: optimization.
- patches.rt/nf_conntrack-fix-smp-processor-id.patch: Linux-RT
2.6.26-RT.
- patches.rt/nf_conntrack-weird-crash-fix.patch: Linux-RT
2.6.26-RT.
- patches.rt/nmi-profiling-base.patch: Linux-RT 2.6.26-RT
nmi-driven profiling for /proc/profile.
- patches.rt/nmi-profiling.patch: Linux-RT 2.6.26-RT.
- patches.rt/nmi-show-regs-fix.patch: Linux-RT 2.6.26-RT.
- patches.rt/nmi-watchdog-disable.patch: Linux-RT 2.6.26-RT
x86_64: do not enable the NMI watchdog by default.
- patches.rt/nmi-watchdog-fix-1.patch: Linux-RT 2.6.26-RT.
- patches.rt/nmi-watchdog-fix-2.patch: Linux-RT 2.6.26-RT.
- patches.rt/nmi-watchdog-fix-3.patch: Linux-RT 2.6.26-RT.
- patches.rt/nmi-watchdog-fix-4.patch: Linux-RT 2.6.26-RT.
- patches.rt/no-warning-for-irqs-disabled-in-local-bh-enable.patch:
Linux-RT 2.6.26-RT
local_bh_enable() is safe for irqs_disabled().
- patches.rt/ntfs-local-irq-save-nort.patch: Linux-RT 2.6.26-RT.
- patches.rt/numa-slab-freeing.patch: Linux-RT 2.6.26-RT.
- patches.rt/only-run-softirqs-from-irq-thread-when-irq-affinity-is-set.patch:
Linux-RT 2.6.26-RT.
- patches.rt/pagefault-disable-cleanup.patch: Linux-RT 2.6.26-RT
clean up the page fault disabling logic.
- patches.rt/panic-dont-stop-box.patch: Linux-RT 2.6.26-RT.
- patches.rt/paravirt-function-pointer-fix.patch: Linux-RT
2.6.26-RT.
- patches.rt/pause-on-oops-head-tail.patch: Linux-RT 2.6.26-RT
introduce pause_on_oops_head/tail boot options.
- patches.rt/percpu-locked-mm.patch: Linux-RT 2.6.26-RT.
- patches.rt/percpu-locked-netfilter.patch: Linux-RT 2.6.26-RT.
- patches.rt/percpu-locked-netfilter2.patch: Linux-RT 2.6.26-RT.
- patches.rt/percpu-locked-powerpc-fixups.patch: Linux-RT
2.6.26-RT.
- patches.rt/percpu_list.patch: Linux-RT 2.6.26-RT
percpu_list.
- patches.rt/plist-debug.patch: Linux-RT 2.6.26-RT.
- patches.rt/posix-cpu-timers-fix.patch: Linux-RT 2.6.26-RT.
- patches.rt/powerpc-count_active_rt_tasks-is-undefined-for-non-preempt-rt.patch:
Linux-RT 2.6.26-RT.
- patches.rt/powerpc-flush_tlb_pending-is-no-more.patch:
Linux-RT 2.6.26-RT.
- patches.rt/powerpc-match-__rw_yield-function-declaration-to-prototype.patch:
Linux-RT 2.6.26-RT.
- patches.rt/ppc-chpr-set-rtc-lock.patch: Linux-RT 2.6.26-RT.
- patches.rt/ppc-gtod-notrace-fix.patch: Linux-RT 2.6.26-RT.
- patches.rt/ppc-hacks-to-allow-rt-to-run-kernbench.patch:
Linux-RT 2.6.26-RT.
- patches.rt/ppc-make-tlb-batch-64-only.patch: Linux-RT 2.6.26-RT.
- patches.rt/ppc-tlbflush-preempt.patch: Linux-RT 2.6.26-RT.
- patches.rt/ppc32-latency-compile-hack-fixes.patch: Linux-RT
2.6.26-RT.
- patches.rt/ppc32_notrace_init_functions.patch: Linux-RT
2.6.26-RT
don't trace early init functions for ppc32.
- patches.rt/preempt-irqs-Kconfig.patch: Linux-RT 2.6.26-RT.
- patches.rt/preempt-irqs-arm-fix-oprofile.patch: Linux-RT
2.6.26-RT.
- patches.rt/preempt-irqs-arm.patch: Linux-RT 2.6.26-RT.
- patches.rt/preempt-irqs-core.patch: Linux-RT 2.6.26-RT.
- patches.rt/preempt-irqs-direct-debug-keyboard.patch: Linux-RT
2.6.26-RT.
- patches.rt/preempt-irqs-hrtimer.patch: Linux-RT 2.6.26-RT.
- patches.rt/preempt-irqs-i386-idle-poll-loop-fix.patch:
Linux-RT 2.6.26-RT.
- patches.rt/preempt-irqs-i386-ioapic-mask-quirk.patch: Linux-RT
2.6.26-RT.
- patches.rt/preempt-irqs-i386.patch: Linux-RT 2.6.26-RT.
- patches.rt/preempt-irqs-mips.patch: Linux-RT 2.6.26-RT.
- patches.rt/preempt-irqs-ppc-ack-irq-fixups.patch: Linux-RT
2.6.26-RT.
- patches.rt/preempt-irqs-ppc-fix-b5.patch: Linux-RT 2.6.26-RT.
- patches.rt/preempt-irqs-ppc-fix-b6.patch: Linux-RT 2.6.26-RT.
- patches.rt/preempt-irqs-ppc-fix-more-fasteoi.patch: Linux-RT
2.6.26-RT.
- patches.rt/preempt-irqs-ppc-preempt-schedule-irq-entry-fix.patch:
Linux-RT 2.6.26-RT.
- patches.rt/preempt-irqs-ppc.patch: Linux-RT 2.6.26-RT.
- patches.rt/preempt-irqs-timer.patch: Linux-RT 2.6.26-RT.
- patches.rt/preempt-irqs-x86-64-ioapic-mask-quirk.patch:
Linux-RT 2.6.26-RT.
- patches.rt/preempt-irqs-x86-64.patch: Linux-RT 2.6.26-RT.
- patches.rt/preempt-realtime-acpi.patch: Linux-RT 2.6.26-RT.
- patches.rt/preempt-realtime-arm-bagde4.patch: Linux-RT
2.6.26-RT.
- patches.rt/preempt-realtime-arm-footbridge.patch: Linux-RT
2.6.26-RT.
- patches.rt/preempt-realtime-arm-integrator.patch: Linux-RT
2.6.26-RT.
- patches.rt/preempt-realtime-arm-ixp4xx.patch: Linux-RT
2.6.26-RT.
- patches.rt/preempt-realtime-arm-pxa.patch: Linux-RT 2.6.26-RT.
- patches.rt/preempt-realtime-arm-rawlock-in-mmu_context-h.patch:
Linux-RT 2.6.26-RT.
- patches.rt/preempt-realtime-arm-shark.patch: Linux-RT 2.6.26-RT.
- patches.rt/preempt-realtime-arm.patch: Linux-RT 2.6.26-RT.
- patches.rt/preempt-realtime-compile-fixes.patch: Linux-RT
2.6.26-RT.
- patches.rt/preempt-realtime-console.patch: Linux-RT 2.6.26-RT.
- patches.rt/preempt-realtime-core.patch: Linux-RT 2.6.26-RT.
- patches.rt/preempt-realtime-debug-sysctl.patch: Linux-RT
2.6.26-RT.
- patches.rt/preempt-realtime-fs-block.patch: Linux-RT 2.6.26-RT.
- patches.rt/preempt-realtime-ftrace-disable-ftraced.patch:
Linux-RT 2.6.26-RT.
- patches.rt/preempt-realtime-ftrace.patch: Linux-RT 2.6.26-RT.
- patches.rt/preempt-realtime-i386.patch: Linux-RT 2.6.26-RT.
- patches.rt/preempt-realtime-ia64.patch: Linux-RT 2.6.26-RT.
- patches.rt/preempt-realtime-ide.patch: Linux-RT 2.6.26-RT.
- patches.rt/preempt-realtime-init-show-enabled-debugs.patch:
Linux-RT 2.6.26-RT.
- patches.rt/preempt-realtime-input.patch: Linux-RT 2.6.26-RT.
- patches.rt/preempt-realtime-ipc.patch: Linux-RT 2.6.26-RT.
- patches.rt/preempt-realtime-irqs.patch: Linux-RT 2.6.26-RT.
- patches.rt/preempt-realtime-loopback.patch: Linux-RT 2.6.26-RT.
- patches.rt/preempt-realtime-mellanox-driver-fix.patch:
Linux-RT 2.6.26-RT.
- patches.rt/preempt-realtime-mips.patch: Linux-RT 2.6.26-RT.
- patches.rt/preempt-realtime-mm.patch: Linux-RT 2.6.26-RT.
- patches.rt/preempt-realtime-mmdrop-delayed.patch: Linux-RT
2.6.26-RT.
- patches.rt/preempt-realtime-net-drivers.patch: Linux-RT
2.6.26-RT.
- patches.rt/preempt-realtime-net-softirq-fixups.patch: Linux-RT
2.6.26-RT
NOHZ: local_softirq_pending with tickless.
- patches.rt/preempt-realtime-net.patch: Linux-RT 2.6.26-RT.
- patches.rt/preempt-realtime-powerpc-add-raw-relax-macros.patch:
Linux-RT 2.6.26-RT.
- patches.rt/preempt-realtime-powerpc-b2.patch: Linux-RT
2.6.26-RT.
- patches.rt/preempt-realtime-powerpc-b3.patch: Linux-RT
2.6.26-RT.
- patches.rt/preempt-realtime-powerpc-b4.patch: Linux-RT
2.6.26-RT.
- patches.rt/preempt-realtime-powerpc-celleb-raw-spinlocks.patch:
Linux-RT 2.6.26-RT.
- patches.rt/preempt-realtime-powerpc-missing-raw-spinlocks.patch:
Linux-RT 2.6.26-RT.
- patches.rt/preempt-realtime-powerpc-tlb-batching.patch:
Linux-RT 2.6.26-RT.
- patches.rt/preempt-realtime-powerpc-update.patch: Linux-RT
2.6.26-RT.
- patches.rt/preempt-realtime-powerpc.patch: Linux-RT 2.6.26-RT.
- patches.rt/preempt-realtime-prevent-idle-boosting.patch:
Linux-RT 2.6.26-RT
Premmpt-RT: Preevent boosting of idle task.
- patches.rt/preempt-realtime-printk.patch: Linux-RT 2.6.26-RT.
- patches.rt/preempt-realtime-profiling.patch: Linux-RT 2.6.26-RT.
- patches.rt/preempt-realtime-rawlocks.patch: Linux-RT 2.6.26-RT.
- patches.rt/preempt-realtime-rcu.patch: Linux-RT 2.6.26-RT.
- patches.rt/preempt-realtime-sched-cpupri.patch: Linux-RT
2.6.26-RT.
- patches.rt/preempt-realtime-sched-i386.patch: Linux-RT
2.6.26-RT.
- patches.rt/preempt-realtime-sched.patch: Linux-RT 2.6.26-RT.
- patches.rt/preempt-realtime-sound.patch: Linux-RT 2.6.26-RT.
- patches.rt/preempt-realtime-supress-nohz-softirq-warning.patch:
Linux-RT 2.6.26-RT.
- patches.rt/preempt-realtime-supress-rtc-printk.patch: Linux-RT
2.6.26-RT.
- patches.rt/preempt-realtime-timer.patch: Linux-RT 2.6.26-RT.
- patches.rt/preempt-realtime-usb.patch: Linux-RT 2.6.26-RT.
- patches.rt/preempt-realtime-warn-and-bug-on-fix.patch:
Linux-RT 2.6.26-RT.
- patches.rt/preempt-realtime-warn-and-bug-on.patch: Linux-RT
2.6.26-RT.
- patches.rt/preempt-rt-no-slub.patch: Linux-RT 2.6.26-RT.
- patches.rt/preempt-softirqs-core.patch: Linux-RT 2.6.26-RT.
- patches.rt/preempt-trace.patch: Linux-RT 2.6.26-RT.
- patches.rt/print-might-sleep-hack.patch: Linux-RT 2.6.26-RT.
- patches.rt/printk-dont-bug-on-sched.patch: Linux-RT 2.6.26-RT.
- patches.rt/printk-in-atomic-hack-fix.patch: Linux-RT 2.6.26-RT
fix printk in atomic hack.
- patches.rt/printk-in-atomic.patch: Linux-RT 2.6.26-RT.
- patches.rt/proportions-raw-locks.patch: Linux-RT 2.6.26-RT.
- patches.rt/qrcu.patch: Linux-RT 2.6.26-RT
QRCU with lockless fastpath.
- patches.rt/quicklist-release-before-free-page-fix.patch:
Linux-RT 2.6.26-RT.
- patches.rt/quicklist-release-before-free-page.patch: Linux-RT
2.6.26-RT.
- patches.rt/radix-concurrent-lockdep.patch: Linux-RT 2.6.26-RT.
- patches.rt/radix-percpu-hack-fix.patch: Linux-RT 2.6.26-RT.
- patches.rt/radix-tree-concurrent.patch: Linux-RT 2.6.26-RT
radix-tree: concurrent write side support.
- patches.rt/radix-tree-optimistic-hist.patch: Linux-RT 2.6.26-RT
debug: optimistic lock histogram.
- patches.rt/radix-tree-optimistic.patch: Linux-RT 2.6.26-RT
radix-tree: optimistic locking.
- patches.rt/random-driver-latency-fix.patch: Linux-RT 2.6.26-RT.
- patches.rt/rcu-hrt-fixups.patch: Linux-RT 2.6.26-RT.
- patches.rt/rcu-new-7.patch: Linux-RT 2.6.26-RT.
- patches.rt/rcu-preempt-boost-default.patch: Linux-RT 2.6.26-RT.
- patches.rt/rcu-preempt-boost-fix.patch: Linux-RT 2.6.26-RT.
- patches.rt/rcu-preempt-boost-sdr.patch: Linux-RT 2.6.26-RT.
- patches.rt/rcu-preempt-fix-bad-dyntick-accounting.patch:
Linux-RT 2.6.26-RT.
- patches.rt/rcu-preempt-hotplug-hackaround.patch: Linux-RT
2.6.26-RT.
- patches.rt/rcu-torture-preempt-update.patch: Linux-RT 2.6.26-RT.
- patches.rt/rcu-trace-fix-free.patch: Linux-RT 2.6.26-RT.
- patches.rt/rcupreempt-boost-early-init.patch: Linux-RT
2.6.26-RT.
- patches.rt/realtime-preempt-warn-about-tracing.patch: Linux-RT
2.6.26-RT.
- patches.rt/relay-fix.patch: Linux-RT 2.6.26-RT
relay: fix timer madness.
- patches.rt/remove-check-pgt-cache-calls.patch: Linux-RT
2.6.26-RT.
- patches.rt/replace-bugon-by-warn-on.patch: Linux-RT 2.6.26-RT.
- patches.rt/root-domain-kfree-in-atomic.patch: Linux-RT
2.6.26-RT.
- patches.rt/rt-apis.patch: Linux-RT 2.6.26-RT.
- patches.rt/rt-avoid-deadlock-in-swap.patch: Linux-RT 2.6.26-RT.
- patches.rt/rt-delayed-prio.patch: Linux-RT 2.6.26-RT
rt: PI-workqueue: propagate prio for delayed work.
- patches.rt/rt-kmap-scale-fix.patch: Linux-RT 2.6.26-RT.
- patches.rt/rt-list-mods.patch: Linux-RT 2.6.26-RT
rt: list_splice2.
- patches.rt/rt-move-update-wall-time-back-to-do-timer.patch:
Linux-RT 2.6.26-RT
rt: move update_wall_time back to do timer.
- patches.rt/rt-mutex-arm.patch: Linux-RT 2.6.26-RT.
- patches.rt/rt-mutex-compat-semaphores.patch: Linux-RT 2.6.26-RT.
- patches.rt/rt-mutex-core.patch: Linux-RT 2.6.26-RT.
- patches.rt/rt-mutex-i386.patch: Linux-RT 2.6.26-RT.
- patches.rt/rt-mutex-irq-flags-checking.patch: Linux-RT
2.6.26-RT.
- patches.rt/rt-mutex-mips.patch: Linux-RT 2.6.26-RT.
- patches.rt/rt-mutex-ppc-fix-a5.patch: Linux-RT 2.6.26-RT.
- patches.rt/rt-mutex-ppc.patch: Linux-RT 2.6.26-RT.
- patches.rt/rt-mutex-preempt-debugging.patch: Linux-RT 2.6.26-RT.
- patches.rt/rt-mutex-trivial-route-cast-fix.patch: Linux-RT
2.6.26-RT.
- patches.rt/rt-mutex-trivial-tcp-preempt-fix.patch: Linux-RT
2.6.26-RT.
- patches.rt/rt-mutex-x86-64.patch: Linux-RT 2.6.26-RT.
- patches.rt/rt-page_alloc.patch: Linux-RT 2.6.26-RT
rt-friendly per-cpu pages.
- patches.rt/rt-plist-mods.patch: Linux-RT 2.6.26-RT
rt: plist_head_splice.
- patches.rt/rt-s_files-kill-a-union.patch: Linux-RT 2.6.26-RT.
- patches.rt/rt-sched-groups.patch: Linux-RT 2.6.26-RT.
- patches.rt/rt-shorten-softirq-thread-names.patch: Linux-RT
2.6.26-RT.
- patches.rt/rt-slab-new.patch: Linux-RT 2.6.26-RT.
- patches.rt/rt-workqeue-prio.patch: Linux-RT 2.6.26-RT
rt: PI-workqueue support.
- patches.rt/rt-workqueue-barrier.patch: Linux-RT 2.6.26-RT
rt: PI-workqueue: fix barriers.
- patches.rt/rt-wq-barrier-fix.patch: Linux-RT 2.6.26-RT
rt: PI-workqueue: wait_on_work() fixup.
- patches.rt/rt_mutex_setprio.patch: Linux-RT 2.6.26-RT
rt: rename rt_mutex_setprio to task_setprio.
- patches.rt/rtmutex-debug.h-cleanup.patch: Linux-RT 2.6.26-RT
lock debugging: clean up rtmutex-debug.h.
- patches.rt/rtmutex-lateral-steal.patch: Linux-RT 2.6.26-RT.
- patches.rt/rtmutex-rearrange.patch: Linux-RT 2.6.26-RT.
- patches.rt/rtmutex-remove-xchg.patch: Linux-RT 2.6.26-RT
rtmutex - remove double xchg.
- patches.rt/rtmutex-rwlock-cmpxchg-typecast.patch: Linux-RT
2.6.26-RT.
- patches.rt/rwlock-implement-downgrade-write.patch: Linux-RT
2.6.26-RT
rwlocks multi downgrade write.
- patches.rt/rwlocks-default-nr-readers-nr-cpus.patch: Linux-RT
2.6.26-RT.
- patches.rt/rwlocks-fix-no-preempt-rt.patch: Linux-RT 2.6.26-RT
rwlock: fix non PREEMPT_RT case.
- patches.rt/rwlocks-multiple-readers.patch: Linux-RT 2.6.26-RT
implement rwlocks management.
- patches.rt/rwsems-multiple-readers.patch: Linux-RT 2.6.26-RT
add framework for multi readers on rwsems.
- patches.rt/s_files-pipe-fix.patch: Linux-RT 2.6.26-RT
s_files: free_write_pipe() fix.
- patches.rt/s_files-schedule_on_each_cpu_wq.patch: Linux-RT
2.6.26-RT.
- patches.rt/s_files.patch: Linux-RT 2.6.26-RT
remove global files_lock.
- patches.rt/sched-clock-nmi.patch: Linux-RT 2.6.26-RT.
- patches.rt/sched-enable-irqs-in-preempt-in-notifier-call.patch:
Linux-RT 2.6.26-RT
CFS: enable irqs in fire_sched_in_preempt_notifier.
- patches.rt/sched-nr-migrate-lower-default-preempt-rt.patch:
Linux-RT 2.6.26-RT.
- patches.rt/sched-prioritize-non-migrating-rt-tasks.patch:
Linux-RT 2.6.26-RT.
- patches.rt/sched-rt-stats.patch: Linux-RT 2.6.26-RT.
- patches.rt/sched-use-a-2d-bitmap-search-prio-cpu.patch:
Linux-RT 2.6.26-RT.
- patches.rt/sched-wake_up_idle_cpu-rt.patch: Linux-RT 2.6.26-RT.
- patches.rt/sched_prio.patch: Linux-RT 2.6.26-RT.
- patches.rt/sched_rt-fixup.patch: Linux-RT 2.6.26-RT.
- patches.rt/schedule-tail-balance-disable-irqs.patch: Linux-RT
2.6.26-RT.
- patches.rt/schedule_on_each_cpu-enhance.patch: Linux-RT
2.6.26-RT.
- patches.rt/select-error-leak-fix.patch: Linux-RT 2.6.26-RT.
- patches.rt/send-nmi-all-preempt-disable.patch: Linux-RT
2.6.26-RT.
- patches.rt/seq-irqsave.patch: Linux-RT 2.6.26-RT.
- patches.rt/serial-locking-rt-cleanup.patch: Linux-RT 2.6.26-RT.
- patches.rt/serial-slow-machines.patch: Linux-RT 2.6.26-RT.
- patches.rt/slab-irq-nopreempt-fix.patch: Linux-RT 2.6.26-RT.
- patches.rt/smp-processor-id-fixups.patch: Linux-RT 2.6.26-RT.
- patches.rt/softirq-per-cpu-assumptions-fixes.patch: Linux-RT
2.6.26-RT.
- patches.rt/softlockup-add-irq-regs-h.patch: Linux-RT 2.6.26-RT
core: make asm/irq_regs.h available on every platform.
- patches.rt/spinlock-trylock-cleanup-sungem.patch: Linux-RT
2.6.26-RT.
- patches.rt/swap-spinlock-fix.patch: Linux-RT 2.6.26-RT.
- patches.rt/tasklet-busy-loop-hack.patch: Linux-RT 2.6.26-RT.
- patches.rt/tasklet-redesign.patch: Linux-RT 2.6.26-RT.
- patches.rt/timer-freq-tweaks.patch: Linux-RT 2.6.26-RT.
- patches.rt/timer-warning-fix.patch: Linux-RT 2.6.26-RT.
- patches.rt/trace-add-event-markers-arm.patch: Linux-RT
2.6.26-RT.
- patches.rt/trace-events-handle-syscalls.patch: Linux-RT
2.6.26-RT.
- patches.rt/trace-histograms.patch: Linux-RT 2.6.26-RT.
- patches.rt/trace_hist-divzero.patch: Linux-RT 2.6.26-RT
trace_hist.c: divide-by-zero problem (2).
- patches.rt/trace_hist-latediv.patch: Linux-RT 2.6.26-RT.
- patches.rt/tracer-add-event-markers.patch: Linux-RT 2.6.26-RT.
- patches.rt/tracer-event-trace.patch: Linux-RT 2.6.26-RT.
- patches.rt/use-edge-triggered-irq-handler-instead-of-simple-irq.patch:
Linux-RT 2.6.26-RT
[AT91: PATCH]: Use edge triggered interrupt handling for
AT91-GPIO instead of simple_irq-handler.
- patches.rt/user-no-irq-disable.patch: Linux-RT 2.6.26-RT.
- patches.rt/version.patch: Linux-RT 2.6.26-RT
add -rt extra-version.
- patches.rt/vortex-fix.patch: Linux-RT 2.6.26-RT.
- patches.rt/watchdog_use_timer_and_hpet_on_x86_64.patch:
Linux-RT 2.6.26-RT.
- patches.rt/x86-64-tscless-vgettimeofday.patch: Linux-RT
2.6.26-RT
x86_64 GTOD: offer scalable vgettimeofday.
- patches.rt/x86_64-tsc-sync-irqflags-fix.patch: Linux-RT
2.6.26-RT.
- patches.rt/event-trace-hrtimer-trace.patch: Linux-RT 2.6.26-RT
event-tracer: add clockevent trace.
- patches.rt/ftrace-hotplug-fix.patch: Linux-RT 2.6.26-RT
ftrace: cpu hotplug fix.
- patches.rt/ftrace-wakeup-rawspinlock.patch: Linux-RT 2.6.26-RT
ftrace: user raw spin lock for wakeup function trace.
- patches.rt/preempt-realtime-x86_64.patch: Linux-RT 2.6.26-RT.
- patches.rt/radix-tree-lockdep-plus1.patch: Linux-RT 2.6.26-RT
lockdep: add +1 to radix tree array.
- patches.rt/rwlock-fixes.patch: Linux-RT 2.6.26-RT
rwlock: fix pi_list race conditions.
- patches.rt/rwlock-prio-fix.patch: Linux-RT 2.6.26-RT
rwlock: reset prio on unlocks and wakeups.
- patches.rt/rwlock-torture.patch: Linux-RT 2.6.26-RT
rwlock: rwlock torture test.
- patches.rt/sched-cpupri-hotplug-support.patch: Linux-RT
2.6.26-RT.
- patches.rt/sched-cpupri-priocount.patch: Linux-RT 2.6.26-RT.
- patches.rt/trace-eip2ip.patch: Linux-RT 2.6.26-RT
Re: 2.6.25.4-rt4.
- patches.rt/bz235099-idle-load-fix.patch: Linux-RT 2.6.26-RT.
- patches.rt/fix-adaptive-hack.patch: Linux-RT 2.6.26-RT
fix-adaptive-hack.patch.
- patches.rt/fix-a-previously-reverted-fix.patch: Linux-RT
2.6.26-RT
Fix a previously reverted "fix".
- patches.rt/fix-config-debug-rt-mutex-lock-underflow-warnings.patch:
Linux-RT 2.6.26-RT
Fix CONFIG_DEBUG_RT_MUTEX lock underflow warnings.
- patches.rt/frace-use-tsc.patch: Linux-RT 2.6.26-RT.
- patches.rt/ftrace-document-event-tracer.patch: Linux-RT
2.6.26-RT.
- patches.rt/ftrace-document-update1.patch: Linux-RT 2.6.26-RT
ftrace: document updates.
- patches.rt/ftrace-fix-get-kprobe-wreckage.patch: Linux-RT
2.6.26-RT
ftrace-fix-missing-kprobe-include.pathc.
- patches.rt/ftrace-m68knommu-add-FTRACE-support.patch: Linux-RT
2.6.26-RT.
- patches.rt/ftrace-m68knommu-generic-stacktrace-function.patch:
Linux-RT 2.6.26-RT.
- patches.rt/ftrace-preempt-trace-check.patch: Linux-RT 2.6.26-RT
ftrace: only trace preempt off with preempt tracer.
- patches.rt/ftrace-stop-trace-on-crash.patch: Linux-RT 2.6.26-RT
fix-tracer-wreckage-wtf-is-this-code-all-features.patch.
- patches.rt/generic-cmpxchg-use-raw-local-irq-variant.patch:
Linux-RT 2.6.26-RT.
- patches.rt/idle2-fix.patch: Linux-RT 2.6.26-RT.
- patches.rt/idle-fix.patch: Linux-RT 2.6.26-RT.
- patches.rt/m68knommu_fixes_ontop_of_v2.6.26.patch: Linux-RT
2.6.26-RT.
- patches.rt/m68knommu-make-cmpxchg-RT-safe.patch: Linux-RT
2.6.26-RT.
- patches.rt/mapping_nrpages-fix.patch: Linux-RT 2.6.26-RT
mapping_nrpages-fix.patch.
- patches.rt/nfs-stats-miss-preemption.patch: Linux-RT 2.6.26-RT
nfs: fix missing preemption check.
- patches.rt/pmtmr-override.patch: Linux-RT 2.6.26-RT
pmtmr: allow command line override of ioport.
- patches.rt/powerpc-ftrace-stop-on-oops.patch: Linux-RT 2.6.26-RT
powerpc: ftrace stop on crash.
- patches.rt/ppc64-fix-preempt-unsafe-paths-accessing-per_cpu-variables.patch:
Linux-RT 2.6.26-RT.
- patches.rt/preempt-irqs-m68knommu-make-timer-interrupt-non-threaded.patch:
Linux-RT 2.6.26-RT.
- patches.rt/preempt-realtime-mm.patch~: Linux-RT 2.6.26-RT.
- patches.rt/raw-spinlocks-for-nmi-print.patch: Linux-RT
2.6.26-RT.
- patches.rt/revert-preempt-bkl-revert.patch: Linux-RT 2.6.26-RT.
- patches.rt/rtmutex-debug-fix.patch: Linux-RT 2.6.26-RT
rtmutex-debug-fix.patch.
- patches.rt/rt-mutex-namespace.patch: Linux-RT 2.6.26-RT
rt-mutex-namespace.patch.
- patches.rt/rt-mutex-use-inline.patch: Linux-RT 2.6.26-RT
rt-mutex-cleanup.patch.
- patches.rt/rt-rwlock-conservative-locking.patch: Linux-RT
2.6.26-RT
rwlock: be more conservative in locking reader_lock_count.
- patches.rt/rwlock-pi-lock-reader.patch: Linux-RT 2.6.26-RT.
- patches.rt/rwlock-protect-reader_lock_count.patch: Linux-RT
2.6.26-RT.
- patches.rt/rwlock-slowunlock-mutex-fix2.patch: Linux-RT
2.6.26-RT.
- patches.rt/rwlock-slowunlock-mutex-fix.patch: Linux-RT
2.6.26-RT.
- patches.rt/rwlock-torture-no-rt.patch: Linux-RT 2.6.26-RT
rwlock: fix torture test to handle non-rt.
- patches.rt/sched-fix-dequeued-race.patch: Linux-RT 2.6.26-RT
sched-fix-dequeued-race.patch.
- patches.rt/serial-locking-rt-cleanup.patch~: Linux-RT 2.6.26-RT.
- patches.rt/sub-dont-disable-irqs.patch: Linux-RT 2.6.26-RT
rt: dont disable irqs in usb.
- patches.rt/trace-do-not-wakeup-when-irqs-disabled.patch:
Linux-RT 2.6.26-RT
trace-do-not-wakeup-when-irqs-disabled.patch.
- patches.rt/trace-ktime-scalar.patch: Linux-RT 2.6.26-RT
ftrace: print ktime values in readable form.
- patches.rt/warn-on-rt-scatterlist.patch: Linux-RT 2.6.26-RT
remove warn on for scatterlist in preempt rt.
-------------------------------------------------------------------
Tue Jul 29 17:25:34 CEST 2008 - trenn@suse.de
- patches.arch/acpi_thermal_passive_blacklist.patch: Avoid
critical temp shutdowns on specific ThinkPad T4x(p) and R40
(https://bugzilla.novell.com/show_bug.cgi?id=333043).
- patches.fixes/acpi_use_acpi_exception.patch: ACPI dock/bay:
Use ACPI_EXCEPTION instead of printk(KERN_ERR.
- patches.suse/acpi_provide_non_windows_osi_boot_param.patch:
ACPI: Provide a spec conform OSI interface to the BIOS.
-------------------------------------------------------------------
Tue Jul 29 00:04:40 CEST 2008 - bphilips@suse.de
- rpm/kernel-binary.spec.in: uvcvideo merged. Add Obsoletes.
-------------------------------------------------------------------
Fri Jul 25 16:39:22 CEST 2008 - mszeredi@suse.cz
- supported.conf: Mark fuse as supported.
-------------------------------------------------------------------
Thu Jul 24 19:26:38 CEST 2008 - gregkh@suse.de
- Enable CONFIG_MARKERS
-------------------------------------------------------------------
Thu Jul 24 19:21:20 CEST 2008 - gregkh@suse.de
- Enable CONFIG_SECURITY_SELINUX
-------------------------------------------------------------------
Thu Jul 24 14:53:34 CEST 2008 - agruen@suse.de
- Fix for using relative paths in /usr/src/linux-obj/$arch/
$flavor/Makefile (bnc#409982).
-------------------------------------------------------------------
Fri Jul 18 10:33:14 CEST 2008 - hare@suse.de
- Update config files for S/390.
-------------------------------------------------------------------
Thu Jul 17 22:55:40 CEST 2008 - bwalle@suse.de
- patches.fixes/show-OSRELEASE-in-VMCOREINFO.diff:
kdump: Report actual value of VMCOREINFO_OSRELEASE in VMCOREINFO
-------------------------------------------------------------------
Thu Jul 17 18:33:20 CEST 2008 - jeffm@suse.de
- rpm/kernel-module-subpackage: Removed Supplements handling
entirely. Use preamble instead.
-------------------------------------------------------------------
Thu Jul 17 17:48:49 CEST 2008 - jbeulich@novell.com
- patches.xen/xen3-patch-2.6.26: Fix 32-bit build.
-------------------------------------------------------------------
Thu Jul 17 15:49:45 CEST 2008 - jbeulich@novell.com
- Update Xen patches for 2.6.26.
- patches.xen/540-blkif-nr-segments-check.patch: Delete.
- patches.xen/560-x86_64-no-irq-affinity-break-msg.patch: Delete.
- patches.xen/xen3-patch-2.6.25.1: Delete.
- Update i386 and x86-64 config files.
- config.conf: Re-enable Xen configs.
-------------------------------------------------------------------
Thu Jul 17 13:35:29 CEST 2008 - jbeulich@novell.com
- patches.fixes/seccomp-disable-tsc-option: Also handle x86-64 (191123).
- Update x86-64 config files.
- patches.suse/raw_device_max_minors_param.diff: Fix uninitialized
return value.
- patches.apparmor/remove_suid.diff: Also handle fuse.
- supported.conf: Add e1000e, rtc-core, rtc-lib, and rtc-cmos.
-------------------------------------------------------------------
Mon Jul 14 18:51:03 CEST 2008 - jeffm@suse.de
- patches.kernel.org/fsl-diu-fb-compile-fix: Delete.
-------------------------------------------------------------------
Mon Jul 14 18:19:08 CEST 2008 - olh@suse.de
- disable unused fsl-diu-fb driver
-------------------------------------------------------------------
Mon Jul 14 17:23:40 CEST 2008 - jeffm@suse.de
- Updated to 2.6.26-final.
-------------------------------------------------------------------
Mon Jul 14 11:24:42 CEST 2008 - bwalle@suse.de
- patches.fixes/move-crashkernel-reservation.diff:
x86: Move crashkernel reservation before dma32_reserve_bootmem().
-------------------------------------------------------------------
Mon Jul 14 08:04:25 CEST 2008 - rgoldwyn@suse.de
- Enable patches.suse/convert-novfs-to-open-soure-coding-standards.patch
Fix oops in novfs_daemon_lib_ioctl
-------------------------------------------------------------------
Fri Jul 11 19:22:27 CEST 2008 - jeffm@suse.de
- Updated squashfs to v3.3. (bnc#373285)
-------------------------------------------------------------------
Thu Jul 10 20:36:45 CEST 2008 - jeffm@suse.de
- Update config files: Enable raw devices on s390.
-------------------------------------------------------------------
Thu Jul 10 15:59:36 CEST 2008 - jack@suse.cz
- patches.suse/raw_device_max_minors_param.diff: Allow setting
of number of raw devices as a module parameter (FATE 302178).
-------------------------------------------------------------------
Thu Jul 10 01:12:00 CEST 2008 - jeffm@suse.de
- patches.kernel.org/fsl-diu-fb-compile-fix: fsl-diu-fb:
compile fix.
-------------------------------------------------------------------
Wed Jul 9 22:59:14 CEST 2008 - jeffm@suse.de
- patches.suse/kdb-common: Removed obsolete file_lock_operations
printing.
-------------------------------------------------------------------
Wed Jul 9 22:58:15 CEST 2008 - jeffm@suse.de
- patches.fixes/reiserfs-discard-xattr-prealloc: Upstreamed with
-git5.
-------------------------------------------------------------------
Wed Jul 9 22:49:38 CEST 2008 - jeffm@suse.de
- patches.fixes/reiserfs-discard-xattr-prealloc: reiserfs:
discard prealloc in reiserfs_delete_inode (bnc#389656).
-------------------------------------------------------------------
Wed Jul 9 22:11:34 CEST 2008 - jeffm@suse.de
- Updated to 2.6.26-rc9-git5.
- Eliminated 2 patches.
-------------------------------------------------------------------
Wed Jul 9 18:00:11 CEST 2008 - jbohac@suse.cz
- Update config files.
- patches.suse/netfilter-ip_conntrack_slp.patch: connection
tracking helper for SLP (fate#301134).
-------------------------------------------------------------------
Wed Jul 9 09:43:49 CEST 2008 - olh@suse.de
- enable PHYP-assisted OS dump (fate#304131)
-------------------------------------------------------------------
Mon Jul 7 16:56:43 CEST 2008 - trenn@suse.de
- patches.fixes/acpi_thermal_passive_cleanup.patch: Delete.
-------------------------------------------------------------------
Wed Jul 2 15:02:17 CEST 2008 - jkosina@suse.de
- patches.fixes/input-add-gericom-bellagio-to-nomux.patch: Input:
add Gericom Bellagio to nomux blacklist (bnc#404892).
-------------------------------------------------------------------
Wed Jul 2 11:53:53 CEST 2008 - jkosina@suse.de
- patches.fixes/input-add-acer-aspire-1360-to-nomux.patch: Input:
add Acer Aspire 1360 to nomux blacklist (bnc#216857).
-------------------------------------------------------------------
Wed Jul 2 05:55:16 CEST 2008 - jeffm@suse.de
- patches.apparmor/__d_path-keep-connected.diff
patches.apparmor/mount-consistent-__d_path.diff
patches.suse/kdb-ia64: Edited to apply with --fuzz=0
- Added --fuzz=0 (-F0) to sequence-patch.sh and spec files
-------------------------------------------------------------------
Fri Jun 27 16:18:50 CEST 2008 - bwalle@suse.de
- rpm/kernel-binary.spec.in: don't generate the makedumpfile.config
any more, the new kernel, kexec-tools and makedumpfile is able to
extract that information from the running kernel and pass it
as ELF NOTE (in /proc/vmcore)
-------------------------------------------------------------------
Thu Jun 26 17:12:27 CEST 2008 - olh@suse.de
- add patches.arch/ppc-ibmebus-modalias.patch
autoload ehea and ehca (bnc#394602 - LTC44938)
-------------------------------------------------------------------
Thu Jun 26 02:39:09 CEST 2008 - sdietrich@suse.de
- RT: Update config files - enable CONFIG_CGROUPS
-------------------------------------------------------------------
Thu Jun 26 02:35:07 CEST 2008 - sdietrich@suse.de
- Update config files - enable CPUSETs support:
CONFIG_CGROUPS, CONFIG_CGROUP_NS, CONFIG_CGROUP_DEVICE,
CONFIG_CPUSET, CONFIG_CGROUP_CPUACCT,
CONFIG_RESOURCE_COUNTERS, CONFIG_MM_OWNER,
CONFIG_CGROUP_MEM_RES_CTLR, CONFIG_PROC_PID_CPUSET
- debug only: CONFIG_CGROUP_DEBUG
-------------------------------------------------------------------
Thu Jun 26 00:43:02 CEST 2008 - jeffm@suse.de
- Updated to 2.6.26-rc8
- Eliminated 1 patch.
-------------------------------------------------------------------
Wed Jun 25 23:05:22 CEST 2008 - jeffm@suse.de
- rpm/kernel-module-subpackage: Added Supplements tag copying and added
coreutils and grep to Requires
- rpm/macros.kernel-source: Added kernel-syms to BuildRequires
-------------------------------------------------------------------
Wed Jun 25 15:28:14 CEST 2008 - olh@suse.de
- enable 64K pages in config ppc64 (fate#304100)
- enable up to 1024 cpus in config ppc64 (fate#304180)
- enable up to 4 cpus in config kdump
- enable powermanagement in config ppc64
- enable cell be cpufreq
-------------------------------------------------------------------
Wed Jun 25 14:40:35 CEST 2008 - jdelvare@suse.de
- supported.conf: Update the list of i2c bus drivers.
- i2c-isa is gone.
- i2c-i810, i2c-prosavage and i2c-savage4 are deprecated and will
be removed soon, mark as unsupported.
- i2c-voodoo3 has very limited usefulness, mark as unsupported.
- i2c-powermac is new, mark as supported.
- supported.conf: Update the list of i2c chip drivers.
- ds1337, ds1374, rtc8564 and x1205 are gone (RTC drivers, moved
to drivers/rtc).
- pca9539, pcf8574 and pcf8575 are deprecated (new GPIO drivers
exist in drivers/gpio), mark as unsupported.
- ds1682, max6875 and tsl2550 are new, mark as supported.
-------------------------------------------------------------------
Wed Jun 25 14:19:51 CEST 2008 - jdelvare@suse.de
- supported.conf: Add two new hwmon drivers (dme1737 and thmc50,
both unsupported.)
- supported.conf: Mark hwmon and hwmon-vid as supported. These are
simple, software-only utility modules, it makes little sense
to taint the kernel just because they are loaded.
-------------------------------------------------------------------
Mon Jun 23 17:34:28 CEST 2008 - tiwai@suse.de
- disable CONFIG_SND_PCSP as it conflicts with input pcspkr and
disturbs the order of sound devices
-------------------------------------------------------------------
Mon Jun 23 16:52:02 CEST 2008 - agruen@suse.de
- genksyms: add support for checking against a reference ABI.
-------------------------------------------------------------------
Wed Jun 18 18:31:07 CEST 2008 - jeffm@suse.de
- Updated to 2.6.26-rc6-git5.
- Eliminated 2 patches.
-------------------------------------------------------------------
Fri Jun 13 19:04:21 CEST 2008 - jeffm@suse.de
- Removed kABI reference symbols
- Restored make-symsets check for ignoring/tolerating kABI changes.
-------------------------------------------------------------------
Fri Jun 13 17:01:21 CEST 2008 - jeffm@suse.de
- Update config files (vanilla).
-------------------------------------------------------------------
Fri Jun 13 16:54:57 CEST 2008 - jeffm@suse.de
- Update config files.
-------------------------------------------------------------------
Fri Jun 13 16:39:54 CEST 2008 - jeffm@suse.de
- Updated to 2.6.26-rc6-git1.
- Eliminated 2 patches.
-------------------------------------------------------------------
Thu Jun 12 20:58:03 CEST 2008 - sdietrich@suse.de
- config.conf: Suppress RT until forward-port is complete
-------------------------------------------------------------------
Thu Jun 12 16:42:18 CEST 2008 - jeffm@suse.de
- patches.drivers/libata-ata_piix-macbook-fix: Delete.
-------------------------------------------------------------------
Thu Jun 12 10:07:36 CEST 2008 - olh@suse.de
- update ps3 config, disable unused drivers
- disable patches.arch/ppc-efika-slowdown.patch
-------------------------------------------------------------------
Thu Jun 12 07:52:35 CEST 2008 - jeffm@suse.de
- Updated to 2.6.26-rc5-git5.
- Eliminated 91 patches.
- Disabled OCFS2 userspace heartbeat.
- Disabled Xen.
-------------------------------------------------------------------
Thu Jun 12 01:44:21 CEST 2008 - sdietrich@suse.de
Build fix: drop patches merged into 2.6.25.5
- patches.rt/x86-fix-tsc-cyc2ns-crap.patch: Delete.
- patches.rt/x86-prepare-to-fix-32bit-sched-clock-crap.patch:
Delete.
- patches.rt/x86-fix-32bit-sched-clock-crap.patch: Delete.
Update to 2.6.25-RT6:
- Update config files: enable (M) CONFIG_RWLOCK_TORTURE_TEST
Resolve conflicts:
- patches.rt/preempt-realtime-x86_64.patch: Linux-RT 2.6.25.4-RT.
Add:
- patches.rt/trace-eip2ip.patch: Re: 2.6.25.4-rt4 Compile Fix.
- patches.rt/rwlock-prio-fix.patch: rwlock: reset prio on unlocks
and wakeups.
- patches.rt/rwlock-fixes.patch: rwlock: fix pi_list race
conditions.
- patches.rt/event-trace-hrtimer-trace.patch: event-tracer:
add clockevent trace.
- patches.rt/rwlock-torture.patch: rwlock: rwlock torture test.
- patches.rt/ftrace-wakeup-rawspinlock.patch: ftrace: user raw
spin lock for wakeup function trace.
- patches.rt/radix-tree-lockdep-plus1.patch: lockdep: add +1 to
radix tree array.
- patches.rt/sched-cpupri-hotplug-support.patch: sched: fix
cpupri hotplug support.
- patches.rt/sched-cpupri-priocount.patch: sched: fix cpupri
priocount.
- patches.rt/ftrace-hotplug-fix.patch: ftrace: cpu hotplug fix.
-------------------------------------------------------------------
Wed Jun 11 22:23:24 CEST 2008 - agruen@suse.de
- rpm/make-symsets: update to the latest version which will ignore
symset changes if the symset includes a symbol marked to be
ignored.
-------------------------------------------------------------------
Wed Jun 11 21:50:14 CEST 2008 - agruen@suse.de
- rpm/kernel-binary.spec.in: only generate symsets for kernels
with CONFIG_MODULES=y.
- rpm/macros.kernel-source: remove the ps3 specific check: we
really want to check whether the kernel mas modules enabled,
which is covered by the symsets check already now.
-------------------------------------------------------------------
Wed Jun 11 19:53:13 CEST 2008 - gregkh@suse.de
- patches.drivers/usb-don-t-use-reset-resume-if-drivers-don-t-support-it.patch:
USB: don't use reset-resume if drivers don't support it.
-------------------------------------------------------------------
Wed Jun 11 19:45:26 CEST 2008 - gregkh@suse.de
- comment out
patches.suse/convert-novfs-to-open-soure-coding-standards.patch in the
series file as it is reported to fail some regression tests.
-------------------------------------------------------------------
Wed Jun 11 01:03:17 CEST 2008 - gregkh@suse.de
- patches.suse/convert-novfs-to-open-soure-coding-standards.patch:
Convert novfs to open soure coding standards.
-------------------------------------------------------------------
Mon Jun 9 23:56:14 CEST 2008 - gregkh@suse.de
- patches.fixes/mptbase-vmware-fix: Delete as it's not needed anymore
-------------------------------------------------------------------
Mon Jun 9 23:55:21 CEST 2008 - gregkh@suse.de
- refresh patches to apply cleanly
-------------------------------------------------------------------
Mon Jun 9 22:51:15 CEST 2008 - gregkh@suse.de
- Update to 2.6.25.6
- loads of bugfixes
- remove the following patches that were already included in this release:
- patches.arch/cpufreq_fix_acpi_driver_on_BIOS_changes.patch
- patches.drivers/libata-force-hardreset-if-link-pm
- patches.fixes/input-hid-apple-numlock-emulation.patch
- patches.arch/check-for-acpi-resource-conflicts-in-i2c-bus-drivers.patch
-------------------------------------------------------------------
Mon Jun 9 21:32:01 CEST 2008 - gregkh@suse.de
- patches.drivers/usb-sierra-option.patch: USB: update sierra
and option device ids (bnc#374637).
-------------------------------------------------------------------
Mon Jun 9 17:22:09 CEST 2008 - teheo@suse.de
- patches.drivers/libata-ahci-mcp65-workarounds: ahci: workarounds
for mcp65 (bnc#398573).
-------------------------------------------------------------------
Mon Jun 9 12:07:02 CEST 2008 - jkosina@suse.de
- patches.fixes/input-i8042-add-ctr-resume-timeout.patch: Input:
add retry logic to resume with respect to CTR (bnc#351119).
-------------------------------------------------------------------
Sat Jun 7 01:51:16 CEST 2008 - gregkh@suse.de
- Update to 2.6.25.5.
- fixes CVE-2008-1673
-------------------------------------------------------------------
Fri Jun 6 12:15:17 CEST 2008 - tiwai@suse.de
- add missing patches.rt/ftrace-add-nr_syscalls.patch for fixing
i386-rt_debug
-------------------------------------------------------------------
Thu Jun 5 12:17:55 CEST 2008 - jbeulich@novell.com
- patches.xen/xen3-patch-2.6.22,
patches.xen/xen3-patch-2.6.23,
patches.xen/xen3-patch-2.6.24,
patches.xen/xen3-patch-2.6.25: netfront (bnc#394575) and page table
handling (bnc#396858) fixes.
- patches.xen/540-blkif-nr-segments-check.patch: Avoid theoretical
TOCTTOU bug in block backend nr_segments checking.
- patches.xen/560-x86_64-no-irq-affinity-break-msg.patch: x86_64:
Remove warning message about 'Breaking affinity for irq'.
- patches.xen/xen-netfront-flip-prod: fix updating of req_prod_pvt
in the receive ring for the flipping case.
-------------------------------------------------------------------
Wed Jun 4 13:44:06 CEST 2008 - jblunck@suse.de
- patches.drivers/libata-acpi-fix-hotplug: Don't call ata_port_freeze()
in ata_acpi_detach_device().
-------------------------------------------------------------------
Wed Jun 4 13:12:47 CEST 2008 - schwab@suse.de
- Don't clean asm-offsets.h.
-------------------------------------------------------------------
Wed Jun 4 11:37:34 CEST 2008 - jjohanse@suse.de
- patches.apparmor/apparmor-module_interface.diff: AppArmor:
Update patch to properly set profile name_table size (bnc#396993)
-------------------------------------------------------------------
Wed Jun 4 00:29:39 CEST 2008 - jkosina@suse.de
- patches.fixes/input-add-amilo-pro-v-to-nomux.patch: Add
Fujitsu-Siemens Amilo Pro 2010 and 2030 to nomux list
(bnc#345699 bnc#389169)
-------------------------------------------------------------------
Tue Jun 3 18:56:44 CEST 2008 - tiwai@suse.de
- patches.drivers/alsa-hda-realtek-auto-resume-fix: hda - Fix
resume of auto-config mode with Realtek codecs (bnc#385473).
-------------------------------------------------------------------
Tue Jun 3 17:59:41 CEST 2008 - bphilips@suse.de
Backport: e1000e for montevina systems
- patches.drivers/e1000e-backport-0001-remove-no-longer-used-e1000e_read_nvm_spi.patch:
e1000e: remove no longer used e1000e_read_nvm_spi.
- patches.drivers/e1000e-backport-0002-remove-irq_sem.patch:
e1000e: remove irq_sem.
- patches.drivers/e1000e-backport-0003-rename-mc_addr_list_update.patch:
e1000e: rename mc_addr_list_update.
- patches.drivers/e1000e-backport-0004-reorganize-PHY-and-flow-control-interface.patch:
e1000e: reorganize PHY and flow control interface.
- patches.drivers/e1000e-backport-0005-Make-arrays-out-of-these-Rx-Tx-registers.patch:
e1000e: Make arrays out of these Rx/Tx registers.
- patches.drivers/e1000e-backport-0006-rename-a-few-functions.patch:
e1000e: rename a few functions.
- patches.drivers/e1000e-backport-0007-cleanup-several-stats-issues.patch:
e1000e: cleanup several stats issues.
- patches.drivers/e1000e-backport-0008-Fix-HW-Error-on-es2lan-ARP-capture-issue-by.patch:
e1000e: Fix HW Error on es2lan, ARP capture issue by BMC.
- patches.drivers/e1000e-backport-0009-Add-support-for-BM-PHYs-on-ICH9.patch:
e1000e: Add support for BM PHYs on ICH9.
-------------------------------------------------------------------
Mon Jun 2 17:20:17 CEST 2008 - tiwai@suse.de
- patches.drivers/alsa-hda-vt1708-pcm-noise-fix: Delete.
- patches.drivers/alsa-hda-backport-2.6.26-rc4: Backport ALSA
HDA-Intel patches from 2.6.26-rc4 (bnc#390473).
-------------------------------------------------------------------
Mon Jun 2 17:02:50 CEST 2008 - tiwai@suse.de
- patches.drivers/alsa-asus-a9t-fix: ac97 - Fix ASUS A9T laptop
output (bnc#363987).
-------------------------------------------------------------------
Mon Jun 2 17:01:02 CEST 2008 - jeffm@suse.de
- patches.fixes/reiserfs-prealloc-fix: reiserfs: Use list_del_init
in use_preallocated_list_if_available (bnc#378095).
-------------------------------------------------------------------
Mon Jun 2 12:26:25 CEST 2008 - tiwai@suse.de
- patches.drivers/alsa-hp2133-mic-fix: hda - Fix mic input on
HP2133 (bnc#388540).
-------------------------------------------------------------------
Mon Jun 2 12:07:08 CEST 2008 - tiwai@suse.de
- patches.drivers/alsa-emu10k1-audigy2-digital-fix: emu10k1 - Fix
inverted Analog/Digital mixer switch on Audigy2 (bnc#396204).
-------------------------------------------------------------------
Sat May 31 08:08:56 CEST 2008 - sdietrich@suse.de
RT: Update to 2.5.25.4-rt4 (refreshed patches suppressed)
- Update config files.
Added:
- patches.rt/adapt-remove-extra-try-to-lock.patch
- patches.rt/adaptive-adjust-pi-wakeup.patch
- patches.rt/adaptive-earlybreak-on-steal.patch
- patches.rt/adaptive-optimize-rt-lock-wakeup.patch
- patches.rt/adaptive-task-oncpu.patch
- patches.rt/arm-fix-compile-error-trace-exit-idle.patch
- patches.rt/arm-omap-02.patch
- patches.rt/arm-omap-03.patch
- patches.rt/arm-omap-04.patch
- patches.rt/arm-omap-05.patch
- patches.rt/fix_vdso_gtod_vsyscall64_2.patch
- patches.rt/ftrace-compile-fixes.patch
- patches.rt/ftrace-disable-daemon.patch
- patches.rt/ftrace-dont-trace-markers.patch
- patches.rt/ftrace-fix-header.patch
- patches.rt/ftrace-function-record-nop.patch
- patches.rt/ftrace-print-missing-cmdline.patch
- patches.rt/ftrace-record-comm-on-ctrl.patch
- patches.rt/ftrace-safe-traversal-hlist.patch
- patches.rt/ftrace-trace-sched.patch
- patches.rt/ftrace-update-cnt-stat-fix.patch
- patches.rt/git-ignore-module-markers.patch
- patches.rt/git-ignore-script-lpp.patch
- patches.rt/lockdep-avoid-fork-waring.patch
- patches.rt/lockstat-fix-contention-points.patch
- patches.rt/lockstat-output.patch
- patches.rt/nmi-show-regs-fix.patch
- patches.rt/preempt-realtime-ftrace-disable-ftraced.patch
- patches.rt/realtime-preempt-warn-about-tracing.patch
- patches.rt/rtmutex-rwlock-cmpxchg-typecast.patch
- patches.rt/rwlock-implement-downgrade-write.patch
- patches.rt/rwlocks-fix-no-preempt-rt.patch
- patches.rt/sched-fix-rt-task-wakeup.patch
- patches.rt/sched-fix-sched-fair-wakeup.patch
- patches.rt/sched-nr-migrate-lower-default-preempt-rt.patch
- patches.rt/sched-prioritize-non-migrating-rt-tasks.patch
- patches.rt/sched-wake_up_idle_cpu-rt.patch
- patches.rt/trace_hist-divzero.patch
- patches.rt/trace_hist-latediv.patch
- patches.rt/x86-delay-enable-preempt-tglx.patch
Removed:
- patches.rt/rtmutex-optimize-wakeup.patch
- patches.rt/rtmutex-adjust-pi_lock-usage-in-wakeup.patch
- patches.rt/rtmutex-remove-extra-try.patch
- patches.rt/ftrace-remove-print-of-max.patch
-------------------------------------------------------------------
Thu May 29 17:21:04 CEST 2008 - sdietrich@suse.de
RT: Update IBM EDAC and PRTM
- Update config files.
- patches.rt/drivers-edac-add-support-for-HS21XM-SMI-remediation:
Add support for HS21XM SMI Remediation to the 2.6.22-based
SLERT kernel.
- patches.rt/drivers-edac-add-support-for-HS21_LS21-SMI-remediation:
Add support for HS21/LS21 SMI Remediation to the 2.6.22-based
SLERT kernel.
- patches.rt/drivers-edac-i5000-turn-off-unsupported-check:
Turn off unsupported EDAC check on the i5000 controller.
- patches.rt/drivers-edac-prevent-potential-printk-storm:
Prevent potential EDAC printk storm.
- patches.rt/drivers-edac-test_device.patch:
edac-2.6.23-to-2.6.22.patch back-port.
- patches.rt/drivers-edac-new-k8-rev-f.patch:
edac-2.6.23-to-2.6.22.patch back-port.
- patches.rt/drivers-edac-add-sysfs_notify-calls.patch:
edac-2.6.23-to-2.6.22.patch back-port.
- patches.rt/drivers-edac-new-amd64.patch:
drivers-edac-new-amd64.patch (revision 108).
Obsolete:
- patches.rt/add-support-for-HS21_LS21-SMI-remediation: Delete.
- patches.rt/add-support-for-HS21XM-SMI-remediation: Delete.
- patches.rt/prevent-potential-EDAC-printk-storm: Delete.
-------------------------------------------------------------------
Thu May 29 15:21:55 CEST 2008 - teheo@suse.de
- patches.drivers/libata-ata_piix-macbook-fix: ata_piix: fix
macbook ich8m problems (bnc#395407).
-------------------------------------------------------------------
Thu May 29 12:09:07 CEST 2008 - agruen@suse.de
- Obsolete some KMPs which have been integrated into mainline
meanwhile (bnc#357799).
-------------------------------------------------------------------
Thu May 29 10:52:22 CEST 2008 - jbeulich@novell.com
- supported.conf: Mark 8250_pnp as supported.
-------------------------------------------------------------------
Wed May 28 16:17:32 CEST 2008 - teheo@suse.de
- patches.drivers/libata-acpi-fix-hotplug: libata: Handle bay
devices in dock stations (bnc#390822 bnc#395082).
-------------------------------------------------------------------
Wed May 28 11:23:56 CEST 2008 - jkosina@suse.de
- patches.fixes/input-add-i8042-nopnp-for-D845PESV.patch: Input:
Add i8042.nopnp for Intel D845PESV (bnc#386952).
-------------------------------------------------------------------
Mon May 26 15:13:29 CEST 2008 - sdietrich@suse.de
RT: Update to 2.6.25.4-rt3 - add RWSEM / RWLOCK patches:
- patches.rt/rt-mutex-core.patch: Linux-RT 2.6.25.4-RT3.
- patches.rt/multi-reader-account.patch: map tasks to reader
locks held.
- patches.rt/multi-reader-limit.patch: implement reader limit
on read write locks.
- patches.rt/multi-reader-lock-account.patch: map read/write
locks back to their readers.
- patches.rt/multi-reader-pi.patch: read lock Priority Inheritance
implementation.
- patches.rt/native-sched-clock-booboo.patch: Re: 2.6.25.4-rt2
(native_sched_clock() booboo).
- patches.rt/rwlocks-default-nr-readers-nr-cpus.patch:
- patches.rt/rwlocks-multiple-readers.patch: implement rwlocks
management.
- patches.rt/rwsems-multiple-readers.patch: add framework for
multi readers on rwsems.
-------------------------------------------------------------------
Mon May 26 13:46:10 CEST 2008 - olh@suse.de
- add patches.arch/ppc-efika-slowdown.patch
slow down hot code paths to avoid hangs during install (bnc#374309)
-------------------------------------------------------------------
Sun May 25 09:04:28 CEST 2008 - tiwai@suse.de
- Update config files: forgot to update vanilla kernel configs
-------------------------------------------------------------------
Sat May 24 18:35:05 CEST 2008 - tiwai@suse.de
- Update config files: disable group scheduler for normal kernels
for openSUSE 11.0, too (this should be enabled again later for
11.1 once after the bugs get fixed...)
-------------------------------------------------------------------
Fri May 23 14:01:07 CEST 2008 - tiwai@suse.de
- patches.drivers/alsa-hda-dma-pos-fix: hda - Fix DMA position
inaccuracy (bnc#362775, bnc#364421).
- patches.drivers/alsa-hda-vt1708-pcm-noise-fix: hda - Fix noise
on VT1708 codec (bnc#390473).
-------------------------------------------------------------------
Fri May 23 13:59:16 CEST 2008 - sdietrich@suse.de
RT: Update config files: Disable Group Scheduler
-------------------------------------------------------------------
Thu May 22 16:32:21 CEST 2008 - teheo@suse.de
- patches.drivers/libata-pmp-simg3726-nosrst: libata: SRST can't
be trusted on PMP sil3726 (bnc#393456).
-------------------------------------------------------------------
Thu May 22 10:56:48 CEST 2008 - sdietrich@suse.de
RT: update config files: Disable SYSFS_DEPRECATED
-------------------------------------------------------------------
Thu May 22 10:10:53 CEST 2008 - teheo@suse.de
- patches.drivers/libata-pmp-detection-fixes: libata: fix a
number of PMP detection problems (bnc#393456). series.conf not
updated. Fix it.
-------------------------------------------------------------------
Thu May 22 10:08:13 CEST 2008 - teheo@suse.de
- patches.drivers/libata-pmp-detection-fixes: libata: fix a
number of PMP detection problems (bnc#393456).
-------------------------------------------------------------------
Thu May 22 09:16:14 CEST 2008 - sdietrich@suse.de
RT: build fix
- suppress adaptive locking patches that are not upstream.
- Update config files.
-------------------------------------------------------------------
Wed May 21 23:18:22 CEST 2008 - jblunck@suse.de
- patches.fixes/acpi-bay-cleanup-and-exit.patch: bay: Exit if
notify handler cannot be installed (bnc#390822).
-------------------------------------------------------------------
Wed May 21 09:59:47 CEST 2008 - jbeulich@novell.com
- patches.xen/xen3-patch-2.6.25: Fix DomU boot issue.
-------------------------------------------------------------------
Wed May 21 09:00:29 CEST 2008 - oneukum@suse.de
- patches.drivers/ehci_fix_remote_wakeup_regression.diff: EHCI:
fix remote-wakeup regression. (bnc#373128)
-------------------------------------------------------------------
Tue May 20 17:05:20 CEST 2008 - jblunck@suse.de
- doc/novell-kmp/novell-example-1.1.tar.bz2,
doc/novell-kmp/novell-example.spec: Fix example spec and Kbuild
because EXTRA_CFLAGS isn't taken from the environment anymore.
-------------------------------------------------------------------
Tue May 20 14:29:55 CEST 2008 - sdietrich@suse.de
- Update config files: SLERT compatibility: SYSFS_DEPRECATED
-------------------------------------------------------------------
Tue May 20 14:12:40 CEST 2008 - sdietrich@suse.de
RT: Update to 2.5.25.4-rt2 (refreshed patches suppressed)
Add:
- patches.rt/rtmutex-adaptive-locks.patch: adaptive real-time
lock support.
- patches.rt/x86-fix-32bit-sched-clock-crap.patch: x86: disable TSC
for sched_clock() when calibration failed
- patches.rt/x86-fix-tsc-cyc2ns-crap.patch: x86: fix setup of cyc2ns
in tsc_64.c.
- patches.rt/x86-prepare-to-fix-32bit-sched-clock-crap.patch:
x86: distangle user disabled TSC from unstable
- patches.rt/adaptive-spinlock-lite-v2.patch: adaptive spinlocks
lite.
- patches.rt/rtmutex-remove-xchg.patch: rtmutex - remove double
xchg.
Update:
- patches.rt/rtmutex-rearrange.patch: rearrange
rt_spin_lock_slowlock sleeping code.
Resolve Conflicts:
- patches.rt/rtmutex-lateral-steal.patch: allow rt-mutex
lock-stealing to include lateral priority.
- Update config files.
-------------------------------------------------------------------
Mon May 19 17:32:26 CEST 2008 - sdietrich@suse.de
RT: Adaptive locking patches:
- patches.rt/rtmutex-lateral-steal.patch: allow rt-mutex
lock-stealing to include lateral priority.
- patches.rt/rtmutex-lateral-steal-sysctl.patch: sysctl for
runtime-control of lateral mutex stealing.
- patches.rt/rtmutex-rearrange.patch: rearrange
rt_spin_lock_slowlock sleeping code.
- patches.rt/rtmutex-adaptive-locks.patch: adaptive real-time
lock support.
- patches.rt/rtmutex-adaptive-timeout.patch: add a timeout
mechanism to adaptive-locking.
- patches.rt/rtmutex-optimize-wakeup.patch: optimize rt lock
wakeup.
- patches.rt/rtmutex-adjust-pi_lock-usage-in-wakeup.patch:
adjust pi_lock usage in wakeup.
- patches.rt/rtmutex-remove-extra-try.patch: remove the extra
call to try_to_take_lock.
- Update config files:
CONFIG_RTLOCK_LATERAL_STEAL=y
CONFIG_ADAPTIVE_RTLOCK=y
CONFIG_IBM_RTL (disable temporarily to address build error)
-------------------------------------------------------------------
Mon May 19 16:51:58 CEST 2008 - tiwai@suse.de
- Update config files (missing for rt*).
-------------------------------------------------------------------
Mon May 19 16:35:40 CEST 2008 - tiwai@suse.de
- patches.drivers/alsa-hda-backport-2.6.25-rc3: Backport ALSA
HDA-Intel patches from 2.6.25-rc3 (bnc#390473, bnc#386422,
bnc#385473).
- patches.drivers/alsa-intel8x0-8ch: intel8x0 - Add support of
8 channel sound.
- patches.drivers/alsa-mixer-oss-map-fix: Add more fallbacks to
OSS PHONEOUT mixer map.
- patches.drivers/alsa-usb-audio-disconnect-oops-fix: Fix Oops
with usb-audio reconnection.
- patches.drivers/alsa-hda-intel-new-ati-id: Delete.
- patches.drivers/alsa-hda-intel-new-nvidia-id: Delete.
- patches.drivers/alsa-hda-intel-use-PCI_DEVICE: Delete.
- patches.drivers/alsa-dell-xps-m1330-hp-fix: Delete.
- Update config files.
-------------------------------------------------------------------
Mon May 19 14:04:42 CEST 2008 - jbeulich@novell.com
- Update Xen patches to c/s 524 and 2.6.25.4.
- patches.xen/xen-balloon-hvm-min: don't allow ballooning down
a HVM domain below a reasonable limit (172482).
- patches.xen/xen-swiotlb-heuristics: adjust Xen's swiotlb
default size setting.
-------------------------------------------------------------------
Mon May 19 13:46:20 CEST 2008 - jbeulich@novell.com
- patches.arch/acpi_thinkpad_introduce_acpi_root_table_boot_param.patch:
Add missing list terminator for acpi_rsdt_dmi_table[] and move to
__initdata.
-------------------------------------------------------------------
Mon May 19 12:41:27 CEST 2008 - sdietrich@suse.de
RT: SMI latency fixes from IBM.
- patches.rt/add-support-for-HS21_LS21-SMI-remediation: [PATCH
1/3] Add support for HS21/LS21 SMI Remediation.
- patches.rt/add-support-for-HS21XM-SMI-remediation: [PATCH 2/3]
Add support for HS21XM SMI Remediation .
- patches.rt/prevent-potential-EDAC-printk-storm: [PATCH 3/3]
Prevent potential EDAC printk storm.
- RT: Update config files.
-------------------------------------------------------------------
Mon May 19 11:55:02 CEST 2008 - agruen@suse.de
- patches.suse/nfs4acl-ext3.diff: Fix compilation error when
CONFIG_EXT3_FS_NFS4ACL is off.
-------------------------------------------------------------------
Mon May 19 09:55:32 CEST 2008 - sdietrich@suse.de
- RT: Update config files.
-------------------------------------------------------------------
Mon May 19 09:38:42 CEST 2008 - trenn@suse.de
- patches.suse/acpi-dsdt-initrd-v0.9a-2.6.25.patch: ACPI:
initramfs DSDT override support.
-> Did not make it into 2.6.25 again...
- Update config files.
-------------------------------------------------------------------
Sun May 18 22:05:26 CEST 2008 - agruen@suse.de
- Update the nfs4acl patches (and split them out more explicitly).
Export all new symbols als GPL only.
- patches.apparmor/parent-permission.diff: Rediff.
-------------------------------------------------------------------
Sun May 18 13:39:06 CEST 2008 - sdietrich@suse.de
- RT: Update config files.
-------------------------------------------------------------------
Sun May 18 12:55:58 CEST 2008 - sdietrich@suse.de
RT: update to 2.6.25.4-rt1 patch queue.
-------------------------------------------------------------------
Sun May 18 11:37:27 CEST 2008 - sdietrich@suse.de
RT cleanup: Remove unused/obsolete RT patches.
-------------------------------------------------------------------
Sun May 18 11:13:48 CEST 2008 - sdietrich@suse.de
- Update config files: update RT debug configs.
- config.conf: enable DEBUG flavors.
-------------------------------------------------------------------
Sat May 17 15:10:08 CEST 2008 - sdietrich@suse.de
Linux-RT 2.6.25-RT:
- Updated RT patch queue (not individually enumerated here)
- Update config files: RT
- config.conf: RT
-------------------------------------------------------------------
Fri May 16 20:42:15 CEST 2008 - gregkh@suse.de
- Update config files.
- patches.drivers/usb-add-option-hso-driver.patch: USB: add
option hso driver.
-------------------------------------------------------------------
Fri May 16 20:31:36 CEST 2008 - gregkh@suse.de
- refresh patches due to fuzz
-------------------------------------------------------------------
Fri May 16 20:27:24 CEST 2008 - gregkh@suse.de
- patches.fixes/bluetooth-wake-up-properly-after-ide-timeout-expires.patch:
bluetooth: wake up properly after ide timeout expires
(bnc#390839).
-------------------------------------------------------------------
Fri May 16 11:23:14 CEST 2008 - olh@suse.de
- update patches.fixes/tg3-flowctrl.patch
do not compare flow control settings in parallel detect mode
-------------------------------------------------------------------
Fri May 16 11:05:01 CEST 2008 - trenn@suse.de
- patches.arch/acpi_thinkpad_introduce_acpi_root_table_boot_param.patch:
Introduce acpi_root_table=rsdt boot param and dmi list to
force rsdt (http://bugzilla.kernel.org/show_bug.cgi?id=8246).
- patches.arch/acpi_thinkpad_introduce_acpica_rsdt_global_variable.patch:
ACPICA: Add acpi_gbl_force_rsdt variable
(http://bugzilla.kernel.org/show_bug.cgi?id=8246).
- patches.arch/acpi_thinkpad_remove_R40e_c-state_blacklist.patch:
Remove R40e c-state blacklist
(http://bugzilla.kernel.org/show_bug.cgi?id=8246).
- patches.arch/cpufreq_fix_acpi_driver_on_BIOS_changes.patch:
CPUFREQ: Check against freq changes from the BIOS.
-------------------------------------------------------------------
Fri May 16 10:52:36 CEST 2008 - jblunck@suse.de
- patches.fixes/vfs-2.6.git-9bc300eae0400efdfae3fec3352896e10468a78f.patch:
return to old errno choice for fix mkdir -p with ro-bind mounts
-------------------------------------------------------------------
Fri May 16 09:24:17 CEST 2008 - jblunck@suse.de
- rpm/kernel-{binary,source}.spec.in: use localversion and set
KBUILD_BUILD_VERSION (used for Kernel:Vanilla)
-------------------------------------------------------------------
Thu May 15 17:37:22 CEST 2008 - gregkh@suse.de
- Update to final version of 2.6.25.4
-------------------------------------------------------------------
Thu May 15 16:19:15 CEST 2008 - jblunck@suse.de
- rpm/macros.kernel-source: Let KMPs fail when flavors_to_build is empty
- rpm/kernel-{dummy,source,syms,binary}.spec.in, scripts/tar-up.sh:
fix release number changes introduced by bnc#271712 for OBS (bnc#378933)
-------------------------------------------------------------------
Thu May 15 14:23:53 CEST 2008 - olh@suse.de
- add patches.fixes/tg3-flowctrl.patch
revert 'Fix supporting flowctrl code' to fix JS21 (bnc#390314)
-------------------------------------------------------------------
Wed May 14 19:09:54 CEST 2008 - oneukum@suse.de
- patches.drivers/appletouch_persist.diff: reset_resume and
autosuspend for appletouch touchpads (bnc#388399).
-------------------------------------------------------------------
Wed May 14 18:51:50 CEST 2008 - oneukum@suse.de
- patches.drivers/appletouch_persist.diff: reset_resume and
autosuspend for appletouch touchpads (bnc#388399).
-------------------------------------------------------------------
Wed May 14 15:46:40 CEST 2008 - teheo@suse.de
- patches.drivers/libata-force-hardreset-if-link-pm: libata:
force hardreset if link is in powersave mode (bnc#381795).
- patches.drivers/libata-ahci-sb600-no-msi: ahci: SB600 ahci
can't do MSI, blacklist that capability (bnc#384559).
-------------------------------------------------------------------
Tue May 13 23:55:51 CEST 2008 - gregkh@suse.de
- Update config files for vanilla targets
-------------------------------------------------------------------
Tue May 13 23:19:07 CEST 2008 - gregkh@suse.de
- Update to 2.6.25.4-rc1
- lots of bug fixes
-------------------------------------------------------------------
Tue May 13 00:55:34 CEST 2008 - sdietrich@suse.de
Cleanup RT:
- patches.rt/*: Delete.
-------------------------------------------------------------------
Mon May 12 16:49:43 CEST 2008 - jkosina@suse.de
- patches.fixes/input-hid-apple-numlock-emulation.patch:
HID: split Numlock emulation quirk from
HID_QUIRK_APPLE_HAS_FN. (bnc#381764).
-------------------------------------------------------------------
Sat May 10 07:41:34 CEST 2008 - gregkh@suse.de
- Update to 2.6.25.3
- fixes 2 security issues (one networking, one sparc, no CVE
numbers issued just yet)
-------------------------------------------------------------------
Fri May 9 20:46:17 CEST 2008 - jeffm@suse.de
- patches.apparmor/fsetattr-restore-ia_file: vfs: restore ia_file
for compatibility with external modules. (bnc#381259)
-------------------------------------------------------------------
Fri May 9 12:04:21 CEST 2008 - hare@suse.de
- patches.drivers/open-iscsi-git-update: Delete.
- patches.fixes/open-iscsi-nop-fixes: NOP timeout fixes.
-------------------------------------------------------------------
Thu May 8 23:04:48 CEST 2008 - gregkh@suse.de
- patches.kernel.org/v4l-dvb-patch-for-various-dibcom-based-devices.patch:
V4L/DVB (7473): PATCH for various Dibcom based devices
(bnc#381632).
-------------------------------------------------------------------
Thu May 8 20:59:42 CEST 2008 - gregkh@suse.de
- comment out vmware patch as it should no longer be needed
-------------------------------------------------------------------
Thu May 8 20:43:11 CEST 2008 - gregkh@suse.de
- rediff patches to apply cleanly.
-------------------------------------------------------------------
Thu May 8 20:35:09 CEST 2008 - gregkh@suse.de
- Update to 2.6.25.3-rc1
- potential fix for increased power consumption and other bugs
-------------------------------------------------------------------
Thu May 8 12:26:19 CEST 2008 - sassmann@suse.de
- Update config file ppc64.
- patches.arch/ppc-ps3-ps3vram-mtd.patch: ps3vram driver that
allows you to access the extra ~240MB of DDR video.
-------------------------------------------------------------------
Wed May 7 18:28:24 CEST 2008 - gregkh@suse.de
- patches.kernel.org/patch-2.6.25.1-2: Linux 2.6.25.2.
- fixes CVE-2008-1669
-------------------------------------------------------------------
Tue May 6 23:45:08 CEST 2008 - schwab@suse.de
- suse-ppc32-mol-semaphore: fix mol for 2.6.26-rc1.
-------------------------------------------------------------------
Mon May 5 13:31:37 CEST 2008 - jack@suse.cz
Bring UDF to state in 2.6.26-rc1 to support UDF 2.50.
- patches.suse/udf-10-simple-cleanup-of-truncate.c.patch: udf:
simple cleanup of truncate.c (fate#303336).
- patches.suse/udf-11-truncate-create-function-for-updating-of-Alloc.patch:
udf: truncate: create function for updating of Allocation Ext
Descriptor (fate#303336).
- patches.suse/udf-12-replace-all-adds-to-little-endians-variables-wi.patch:
udf: replace all adds to little endians variables with
le*_add_cpu (fate#303336).
- patches.suse/udf-13-simplify-__udf_read_inode.patch: udf:
simplify __udf_read_inode (fate#303336).
- patches.suse/udf-14-replace-udf_-_offset-macros-with-functions.patch:
udf: replace udf_*_offset macros with functions (fate#303336).
- patches.suse/udf-15-convert-udf_count_free_bitmap-to-use-bitmap_wei.patch:
udf: convert udf_count_free_bitmap to use bitmap_weight
(fate#303336).
- patches.suse/udf-16-udf_get_block-inode_bmap-remove-unneeded-che.patch:
udf: udf_get_block, inode_bmap - remove unneeded checks
(fate#303336).
- patches.suse/udf-17-create-function-for-conversion-from-timestamp-t.patch:
udf: create function for conversion from timestamp to timespec
(fate#303336).
- patches.suse/udf-18-convert-udf_stamp_to_time-to-return-struct-time.patch:
udf: convert udf_stamp_to_time to return struct timespec
(fate#303336).
- patches.suse/udf-19-convert-udf_stamp_to_time-and-udf_time_to_stamp.patch:
udf: convert udf_stamp_to_time and udf_time_to_stamp to use
timestamps (fate#303336).
- patches.suse/udf-1-kill-udf_set_blocksize.patch: udf: kill
udf_set_blocksize (fate#303336).
- patches.suse/udf-20-remove-unneeded-kernel_timestamp-type.patch:
udf: remove unneeded kernel_timestamp type (fate#303336).
- patches.suse/udf-21-super.c-reorganization.patch: udf: super.c
reorganization (fate#303336).
- patches.suse/udf-22-Mark-udf_process_sequence-as-noinline.patch:
udf: Mark udf_process_sequence() as noinline (fate#303336).
- patches.suse/udf-23-Remove-checking-of-existence-of-filename-in-udf.patch:
udf: Remove checking of existence of filename in udf_add_entry()
(fate#303336).
- patches.suse/udf-24-Remove-declarations-of-arrays-of-size-UDF_NAME_.patch:
udf: Remove declarations of arrays of size UDF_NAME_LEN (256
bytes) (fate#303336).
- patches.suse/udf-25-fix-anchor-point-detection.patch: udf:
fix anchor point detection (fate#303336).
- patches.suse/udf-26-Cleanup-volume-descriptor-sequence-processing.patch:
udf: Cleanup volume descriptor sequence processing
(fate#303336).
- patches.suse/udf-27-Improve-error-recovery-on-mount.patch:
udf: Improve error recovery on mount (fate#303336).
- patches.suse/udf-28-Move-filling-of-partition-descriptor-info-into.patch:
udf: Move filling of partition descriptor info into a separate
function (fate#303336).
- patches.suse/udf-29-Move-processing-of-virtual-partitions.patch:
udf: Move processing of virtual partitions (fate#303336).
- patches.suse/udf-2-kill-useless-file-header-comments-for-vfs-metho.patch:
udf: kill useless file header comments for vfs method
implementations (fate#303336).
- patches.suse/udf-30-Cleanup-anchor-block-detection.patch: udf:
Cleanup anchor block detection. (fate#303336).
- patches.suse/udf-31-Improve-anchor-block-detection.patch: udf:
Improve anchor block detection (fate#303336).
- patches.suse/udf-32-Silence-warning-about-accesses-beyond-end-of-de.patch:
udf: Silence warning about accesses beyond end of device
(fate#303336).
- patches.suse/udf-33-Fix-detection-of-VAT-version.patch: udf:
Fix detection of VAT version (fate#303336).
- patches.suse/udf-34-Allow-loading-of-VAT-inode.patch: udf:
Allow loading of VAT inode (fate#303336).
- patches.suse/udf-35-Handle-VAT-packed-inside-inode-properly.patch:
udf: Handle VAT packed inside inode properly (fate#303336).
- patches.suse/udf-36-Mount-filesystem-read-only-if-it-has-pseudoover.patch:
udf: Mount filesystem read-only if it has pseudooverwrite
partition (fate#303336).
- patches.suse/udf-37-Fix-handling-of-multisession-media.patch:
udf: Fix handling of multisession media (fate#303336).
- patches.suse/udf-38-Add-read-only-support-for-2.50-UDF-media.patch:
udf: Add read-only support for 2.50 UDF media (fate#303336).
- patches.suse/udf-39-Fix-bug-in-VAT-mapping-code.patch: udf:
Fix bug in VAT mapping code (fate#303336).
- patches.suse/udf-3-move-headers-out-include-linux.patch: udf:
move headers out include/linux/ (fate#303336).
- patches.suse/udf-40-Fix-compilation-warnings-when-UDF-debug-is-on.patch:
udf: Fix compilation warnings when UDF debug is on
(fate#303336).
- patches.suse/udf-41-use-crc_itu_t-from-lib-instead-of-udf_crc.patch:
udf: use crc_itu_t from lib instead of udf_crc (fate#303336).
- patches.suse/udf-42-fs-udf-partition.c-udf_get_pblock-mustn-t-be.patch:
udf: fs/udf/partition.c:udf_get_pblock() mustn't be inline
(fate#303336).
- patches.suse/udf-4-Use-DIV_ROUND_UP.patch: fs/udf: Use
DIV_ROUND_UP (fate#303336).
- patches.suse/udf-5--udf_error-static.patch: make udf_error()
static (fate#303336).
- patches.suse/udf-6-udf_CS0toUTF8-cleanup.patch: udf:
udf_CS0toUTF8 cleanup (fate#303336).
- patches.suse/udf-7-fix-udf_build_ustr.patch: udf: fix
udf_build_ustr (fate#303336).
- patches.suse/udf-8-udf_CS0toNLS-cleanup.patch: udf: udf_CS0toNLS
cleanup (fate#303336).
- patches.suse/udf-9-constify-crc.patch: udf: constify crc
(fate#303336).
-------------------------------------------------------------------
Fri May 2 04:54:45 CEST 2008 - teheo@suse.de
- patches.drivers/libata-sata_inic162x-update-to-0.4:
sata_inic162x: update to 0.4 (bnc#385599).
-------------------------------------------------------------------
Fri May 2 00:16:13 CEST 2008 - gregkh@suse.de
- update to 2.6.25.1:
- fixes CVE-2008-1375 and CVE-2008-1675
- lots of other minor bugfixes
-------------------------------------------------------------------
Thu May 1 22:46:16 CEST 2008 - agruen@suse.de
- Provide "kernel(flavor:symset) = version" instead of
"kernel(symset) = version". This disambiguates the case where
several kernel flavors end up with the same modver checksums
(bnc#190163, bnc#355628).
-------------------------------------------------------------------
Thu May 1 12:39:42 CEST 2008 - teheo@suse.de
- patches.drivers/libata-ata_piix-verify-sidpr: ata_piix: verify
SIDPR access before enabling it (bnc#385535).
-------------------------------------------------------------------
Wed Apr 30 07:50:46 CEST 2008 - gregkh@suse.de
- novfs: fixes needed due to apparmor vfs core changes
(extended attributes probably do not work now...)
-------------------------------------------------------------------
Wed Apr 30 07:02:06 CEST 2008 - gregkh@suse.de
- patches.suse/novfs-add-the-novell-filesystem-client-kernel-module.patch:
novfs: Add the Novell filesystem client kernel module.
- Update config files.
-------------------------------------------------------------------
Wed Apr 30 01:25:09 CEST 2008 - jeffm@suse.de
- patches.suse/reiserfs-simplify-xattr-internal-file-lookups-opens.diff:
removed fs.h changes, they weren't used.
-------------------------------------------------------------------
Mon Apr 28 16:40:12 CEST 2008 - gregkh@suse.de
- Update config files.
hopefully the build system is happy now
-------------------------------------------------------------------
Mon Apr 28 16:27:26 CEST 2008 - gregkh@suse.de
- rpm/config-subst: add #!/bin/sh at start of script to keep future
build issues (like bnc#382214) from causing problems.
-------------------------------------------------------------------
Mon Apr 28 10:12:45 CEST 2008 - sdietrich@suse.de
Cleanup:
Remove obsolete patches: ARM-ep93xx-timer, latency-tracing,
RCU, KVM, mcount, PPC-gtod
- patches.rt/ep93xx-timer-accuracy.patch: Delete.
- patches.rt/ep93xx-clockevents.patch: Delete.
- patches.rt/ep93xx-clockevents-fix.patch: Delete.
- patches.rt/kvm-fix-preemption-bug.patch: Delete.
- patches.rt/kvm-lapic-migrate-latency-fix.patch: Delete.
- patches.rt/kvm-make-less-noise.patch: Delete.
- patches.rt/kvm-preempt-rt-resched-delayed.patch: Delete.
- patches.rt/sched-use-a-2d-bitmap-search-prio-cpu.patch: Delete.
- patches.rt/remove-unused-var-warning.patch: Delete.
- patches.rt/latency-tracing.patch: Delete.
- patches.rt/latency-tracing-remove-trace-array.patch: Delete.
- patches.rt/latency-tracer-disable-across-trace-cmdline.patch:
Delete.
- patches.rt/latency-tracing-i386-paravirt-fastcall.patch: Delete.
- patches.rt/latency-tracing-i386.patch: Delete.
- patches.rt/latency-tracing-x86_64.patch: Delete.
- patches.rt/latency-tracing-ppc.patch: Delete.
- patches.rt/latency-tracer-printk-fix.patch: Delete.
- patches.rt/latency-tracing-exclude-printk.patch: Delete.
- patches.rt/latency-tracing-prctl-api-hack.patch: Delete.
- patches.rt/latency-tracing-raw-spinlock-hack.patch: Delete.
- patches.rt/latency-tracer-one-off-fix.patch: Delete.
- patches.rt/smaller-trace.patch: Delete.
- patches.rt/trace-name-plus.patch: Delete.
- patches.rt/trace-with-caller-addr.patch: Delete.
- patches.rt/trace-sti-mwait.patch: Delete.
- patches.rt/latency-tracer-optimize-a-bit.patch: Delete.
- patches.rt/idle-stop-critical-timing.patch: Delete.
- patches.rt/latency-tracer-variable-threshold.patch: Delete.
- patches.rt/reset-latency-histogram.patch: Delete.
- patches.rt/undo-latency-tracing-raw-spinlock-hack.patch: Delete.
- patches.rt/random-driver-latency-fix.patch: Delete.
- patches.rt/latency-tracing-use-now.patch: Delete.
- patches.rt/preempt_max_latency-in-all-modes.patch: Delete.
- patches.rt/latency-hist-add-resetting-for-all-timing-options.patch:
Delete.
- patches.rt/latency-trace-sysctl-config-fix.patch: Delete.
- patches.rt/latency-trace-convert-back-to-ms.patch: Delete.
- patches.rt/latency-trace-fix.patch: Delete.
- patches.rt/trace-cpuidle.patch: Delete.
- patches.rt/lockdep-show-held-locks.patch: Delete.
- patches.rt/lockdep-lock_set_subclass.patch: Delete.
- patches.rt/lockdep-prettify.patch: Delete.
- patches.rt/lockdep-more-entries.patch: Delete.
- patches.rt/latency-tracer-arch-low-address.patch: Delete.
- patches.rt/latency-tracer-dont-panic-on-failed-bootmem-alloc.patch:
Delete.
- patches.rt/mcount-add-x86_64-notrace-annotations.patch: Delete.
- patches.rt/mcount-add-x86-vdso-notrace-annotations.patch:
Delete.
- patches.rt/mcount-nmi-notrace-annotations.patch: Delete.
- patches.rt/mcount-add-time-notrace-annotations.patch: Delete.
- patches.rt/mcount-lockdep-notrace-annotations.patch: Delete.
- patches.rt/mcount-preemptcount-notrace-annotations.patch:
Delete.
- patches.rt/mcount-fault-notrace-annotations.patch: Delete.
- patches.rt/mcount-irqs-notrace-annotations.patch: Delete.
- patches.rt/mcount-rcu-notrace-annotations.patch: Delete.
- patches.rt/latency-measurement-drivers-fix.patch: Delete.
- patches.rt/latency-measurement-drivers.patch: Delete.
- patches.rt/redo-regparm-option.patch: Delete.
- patches.rt/nmi-profiling-base.patch: Delete.
- patches.rt/ppc-gtod-notrace-fix.patch: Delete.
- patches.rt/ppc-gtod-support.patch: Delete.
- patches.rt/ppc-gtod-support-fix.patch: Delete.
- patches.rt/ppc-a-2.patch: Delete.
- patches.rt/ppc-fix-clocksource-timebase-shift.patch: Delete.
- patches.rt/ppc-remove-broken-vsyscall.patch: Delete.
- patches.rt/ppc-read-persistent-clock.patch: Delete.
- patches.rt/ppc-clockevents.patch: Delete.
- patches.rt/ppc-clockevents-fix.patch: Delete.
- patches.rt/ppc-highres-dyntick.patch: Delete.
- patches.rt/inet-hash-bits-ipv6-fix.patch: Delete.
- patches.rt/inet_hash_bits.patch: Delete.
- patches.rt/rcu-1.patch: Delete.
- patches.rt/rcu-2.patch: Delete.
- patches.rt/rcu-3.patch: Delete.
- patches.rt/rcu-4.patch: Delete.
- patches.rt/rcu-preempt-fix-nmi-watchdog.patch: Delete.
- patches.rt/rcu-preempt-fix-rcu-torture.patch: Delete.
- patches.rt/dynticks-rcu-rt-fixlet.patch: Delete.
- patches.rt/rcu-tasklet-softirq.patch: Delete.
- patches.rt/rcu-classic-fixup.patch: Delete.
- patches.rt/rcu-warn-underflow.patch: Delete.
-------------------------------------------------------------------
Mon Apr 28 09:51:50 CEST 2008 - sdietrich@suse.de
Cleanup:
Remove obsolete Adaptive-locking patches
- patches.rt/rtmutex-adaptive-locks.patch: Delete.
- patches.rt/rtmutex-adaptive-mutexes.patch: Delete.
- patches.rt/rtmutex-adaptive-timeout.patch: Delete.
- patches.rt/rtmutex-adjust-pi_lock-usage-in-wakeup.patch: Delete.
- patches.rt/rtmutex-lateral-steal.patch: Delete.
- patches.rt/rtmutex-lateral-steal-sysctl.patch: Delete.
- patches.rt/rtmutex-optimize-wakeup.patch: Delete.
- patches.rt/rtmutex-rearrange.patch: Delete.
- patches.rt/rtmutex-remove-extra-try.patch: Delete.
- patches.rt/x86-ticket-lock.patch: Delete.
-------------------------------------------------------------------
Mon Apr 28 09:45:20 CEST 2008 - sdietrich@suse.de
Cleanup:
Remove ARM and MIPS RT patches
- patches.rt/arm-cmpxchg-support-armv6.patch: Delete.
- patches.rt/arm-cmpxchg.patch: Delete.
- patches.rt/arm-compile-fix.patch: Delete.
- patches.rt/arm-fix-atomic-cmpxchg.patch: Delete.
- patches.rt/arm-futex-atomic-cmpxchg.patch: Delete.
- patches.rt/arm-latency-tracer-support.patch: Delete.
- patches.rt/arm-leds-timer.patch: Delete.
- patches.rt/arm-preempt-config.patch: Delete.
- patches.rt/arm-trace-preempt-idle.patch: Delete.
- patches.rt/latency-tracing-arm.patch: Delete.
- patches.rt/preempt-irqs-arm-fix-oprofile.patch: Delete.
- patches.rt/preempt-irqs-arm.patch: Delete.
- patches.rt/preempt-realtime-arm-bagde4.patch: Delete.
- patches.rt/preempt-realtime-arm-footbridge.patch: Delete.
- patches.rt/preempt-realtime-arm-integrator.patch: Delete.
- patches.rt/preempt-realtime-arm-ixp4xx.patch: Delete.
- patches.rt/preempt-realtime-arm-pxa.patch: Delete.
- patches.rt/preempt-realtime-arm-rawlock-in-mmu_context-h.patch:
Delete.
- patches.rt/preempt-realtime-arm-shark.patch: Delete.
- patches.rt/preempt-realtime-arm.patch: Delete.
- patches.rt/preempt-irqs-mips.patch: Delete.
- patches.rt/preempt-realtime-mips.patch: Delete.
- patches.rt/rt-mutex-arm-fix.patch: Delete.
- patches.rt/rt-mutex-arm.patch: Delete.
- patches.rt/rt-mutex-mips.patch: Delete.
- patches.rt/mips-change-raw-spinlock-type.patch: Delete.
- patches.rt/mips-remove-conlicting-rtc-lock-declaration.patch:
Delete.
- patches.rt/mips-remove-duplicate-kconfig.patch: Delete.
- patches.rt/mips-remove-finish-arch-switch.patch: Delete.
-------------------------------------------------------------------
Fri Apr 25 14:36:38 MDT 2008 - carnold@novell.com
- rpm/kernel-binary.spec.in: kernel-xen does not obsolete/provide
kernel-xenpae (bnc#382309)
-------------------------------------------------------------------
Fri Apr 25 16:07:38 CEST 2008 - olh@suse.de
- update patches.arch/ppc-efika-mpc52xx-ac97.patch
create /builtin/sound/cell-index, content == 1
-------------------------------------------------------------------
Thu Apr 24 15:18:48 CEST 2008 - olh@suse.de
- update patches.arch/ppc-efika-modalias.patch
add newline to devspec files
-------------------------------------------------------------------
Thu Apr 24 14:22:10 CEST 2008 - olh@suse.de
- update patches.arch/ppc-efika-modalias.patch
add devspec file for mac-io, it was created as a side effect
in of/platform.c (bnc#374693)
-------------------------------------------------------------------
Thu Apr 24 12:54:41 CEST 2008 - olh@suse.de
- use bzip2 instead of lzma as rpm compression method for vanilla
-------------------------------------------------------------------
Thu Apr 24 08:38:53 CEST 2008 - olh@suse.de
- relax Conflicts for kernel-vanilla
no version check for lvm, udev and apparmor
-------------------------------------------------------------------
Wed Apr 23 17:53:03 CEST 2008 - olh@suse.de
- readd patches.arch/ppc-pegasos-console-autodetection.patch
force speed 115200, device-tree has no current-speed property
-------------------------------------------------------------------
Wed Apr 23 17:27:36 CEST 2008 - tiwai@suse.de
- patches.drivers/alsa-hda-intel-new-ati-id,
patches.drivers/alsa-hda-intel-use-PCI_DEVICE,
patches.drivers/alsa-hda-intel-new-nvidia-id: Add missing PCI
ids for new ATI/Nvidia devices (bnc#370775)
- patches.drivers/alsa-dell-xps-m1330-hp-fix: Fix Dell XPS M1330
outputs
-------------------------------------------------------------------
Tue Apr 22 17:25:04 CEST 2008 - jack@suse.cz
- patches.fixes/quota_reiserfs_tail_fix.diff: reiserfs: Unpack
tails on quota files (375179).
-------------------------------------------------------------------
Tue Apr 22 15:57:40 CEST 2008 - olh@suse.de
- update patches.arch/ppc-efika-mpc52xx-ac97.patch
fixes for 2.6.25
-------------------------------------------------------------------
Sat Apr 19 18:45:36 CEST 2008 - schwab@suse.de
- Add compat handler for PTRACE_GETSIGINFO.
-------------------------------------------------------------------
Sat Apr 19 12:41:56 CEST 2008 - aj@suse.de
- Conflict with apparmor-parser < 2.3 (not <=) in kernel-binary
spec files.
-------------------------------------------------------------------
Sat Apr 19 00:34:10 CEST 2008 - jeffm@suse.de
- Re-synced reiserfs patch set due to broken local repo.
-------------------------------------------------------------------
Sat Apr 19 00:30:42 CEST 2008 - jeffm@suse.de
- Updated reiserfs patch set
- Eliminated xattr interaction with AppArmor
- Eliminated xattr deadlock under load between journal lock and
xattr dir i_mutex
- Properly annotated xattr i_mutex locking for lockdep
- Code cleanup
-------------------------------------------------------------------
Fri Apr 18 23:04:24 CEST 2008 - jjohanse@suse.de
- Update fsetattr.diff to EXPORT_SYMBOL_GPL(fnotify_change)
-------------------------------------------------------------------
Fri Apr 18 22:53:57 CEST 2008 - jjohanse@suse.de
- patches.apparmor/__d_path-keep-connected.diff: Fix __d_path
to allow for old and new behavior bnc#380763.
-------------------------------------------------------------------
Thu Apr 17 07:30:52 CEST 2008 - gregkh@suse.de
- refresh patches to apply without fuzz
-------------------------------------------------------------------
Thu Apr 17 07:08:43 CEST 2008 - gregkh@suse.de
- Update to 2.6.25-final
-------------------------------------------------------------------
Wed Apr 16 08:22:25 CEST 2008 - jjohanse@suse.de
- Update to AppArmor 2.3 patch series
-------------------------------------------------------------------
Wed Apr 16 03:46:00 CEST 2008 - jeffm@suse.de
- patches.suse/reiserfs-kill-xattr-readdir.diff: Fixed accidental
passing of -ENODATA to userspace during chown, and messages during
chown and delete.
-------------------------------------------------------------------
Tue Apr 15 14:44:02 CEST 2008 - jbeulich@novell.com
- Update Xen patches to 2.6.25-rc9 and c/s 517.
-------------------------------------------------------------------
Sat Apr 12 00:55:29 CEST 2008 - gregkh@suse.de
- refresh patches to clean up fuzz
-------------------------------------------------------------------
Sat Apr 12 00:53:00 CEST 2008 - gregkh@suse.de
- Update config files.
-------------------------------------------------------------------
Sat Apr 12 00:32:56 CEST 2008 - gregkh@suse.de
- updat to 2.6.25-rc9
-------------------------------------------------------------------
Thu Apr 10 19:30:33 CEST 2008 - gregkh@suse.de
- update to 2.6.25-rc8-git8
-------------------------------------------------------------------
Tue Apr 8 18:03:50 CEST 2008 - gregkh@suse.de
- update to 2.6.25-rc8-git7
-------------------------------------------------------------------
Mon Apr 7 19:37:40 CEST 2008 - gregkh@suse.de
- patches.kernel.org/pvrusb2-fix-broken-build-due-to-patch-order-dependency.patch:
pvrusb2: fix broken build due to patch order dependency.
-------------------------------------------------------------------
Mon Apr 7 19:06:09 CEST 2008 - gregkh@suse.de
- update to 2.6.25-rc8-git5
-------------------------------------------------------------------
Sun Apr 6 21:49:11 CEST 2008 - jeffm@suse.de
- patches.suse/reiserfs-kill-xattr-readdir.diff: Removed struct
file use entirely.
-------------------------------------------------------------------
Sun Apr 6 18:44:27 CEST 2008 - jeffm@suse.de
- patches.suse/reiserfs-kill-xattr-readdir.diff: Eliminated use
of vfsmount-less dentry_open().
-------------------------------------------------------------------
Thu Apr 3 07:53:24 CEST 2008 - teheo@suse.de
- patches.drivers/libata-pata_ali-disable-ATAPI_DMA: pata_ali:
disable ATAPI DMA (332588).
-------------------------------------------------------------------
Wed Apr 2 01:39:17 CEST 2008 - gregkh@suse.de
- Update to 2.6.25-rc8
-------------------------------------------------------------------
Tue Apr 1 17:10:42 CEST 2008 - jblunck@suse.de
- rpm/kernel-source.spec.in,kernel-binary.spec.in: Cleanup Requires
-------------------------------------------------------------------
Mon Mar 31 15:27:39 CEST 2008 - jdelvare@suse.de
- supported.conf: Add new hwmon drivers, all unsupported.
-------------------------------------------------------------------
Thu Mar 27 20:31:48 CET 2008 - jeffm@suse.de
- Fixed up the rest of the reiserfs patch queue.
-------------------------------------------------------------------
Thu Mar 27 19:21:32 CET 2008 - jeffm@suse.de
- Updated reiserfs xattr patches.
-------------------------------------------------------------------
Thu Mar 27 18:53:17 CET 2008 - gregkh@suse.de
- fix merge error in patches.suse/supported-flag caused by me...
-------------------------------------------------------------------
Thu Mar 27 18:14:18 CET 2008 - gregkh@suse.de
- update to 2.6.25-rc7-git2
-------------------------------------------------------------------
Thu Mar 27 18:09:56 CET 2008 - gregkh@suse.de
- update to 2.6.25-rc7
- note that reiserfs xattr patches are now disabled due to merge
issues...
-------------------------------------------------------------------
Sun Mar 23 13:29:25 CET 2008 - teheo@suse.de
- patches.drivers/libata-improve-hpa-error-handling: libata:
improve HPA error handling (365534).
-------------------------------------------------------------------
Thu Mar 20 22:58:55 CET 2008 - gregkh@suse.de
- fix up some EXPORT_SYMBOL() markings to be EXPORT_SYMBOL_GPL() as the
code is not upstream and we aren't allowed to add non-GPL exports to
our kernel tree.
-------------------------------------------------------------------
Thu Mar 20 22:26:39 CET 2008 - gregkh@suse.de
- update to 2.6.25-rc6-git5
- refresh patches to apply cleanly
-------------------------------------------------------------------
Thu Mar 20 21:36:04 CET 2008 - gregkh@suse.de
- update to 2.6.25-rc6-git4
- refresh patches to apply cleanly
-------------------------------------------------------------------
Thu Mar 20 11:07:49 CET 2008 - agruen@suse.de
- scripts/tar-up.sh: Rename --kbuild option to --source-timestamp.
For generating the source timestamp, use HEAD as the branch
name.
- rpm/get_release_number.sh.in: Don't try to synchronize release
numbers with kernel-dummy if the release number has been
specified explicitly (tar-up with --release-string, --timestamp,
or --source-timestamp).
-------------------------------------------------------------------
Thu Mar 20 08:47:56 CET 2008 - olh@suse.de
- remove patches.kernel.org/powerpc-needs-uboot
not needed without mpc51xx support
-------------------------------------------------------------------
Thu Mar 20 08:40:53 CET 2008 - olh@suse.de
- disable gianfar network driver and unused freescale drivers
-------------------------------------------------------------------
Wed Mar 19 20:35:41 CET 2008 - gregkh@suse.de
- patch refreshes due to version update
-------------------------------------------------------------------
Wed Mar 19 19:19:29 CET 2008 - gregkh@suse.de
- Update to 2.6.25-rc6-git3
- Update config files.
-------------------------------------------------------------------
Wed Mar 19 19:06:29 CET 2008 - gregkh@suse.de
- Update to 2.6.25-rc6
- which deleted the following patches:
- patches.fixes/hibernation-snapshot-numa-workaround.patch
- patches.fixes/acpi-fix-double-log-level.patch
- patches.fixes/acpi_fix_mem_corruption.patch
- patches.kernel.org/patch-2.6.25-rc5
- patches.kernel.org/patch-2.6.25-rc5-git3
- Update config files.
- delete patches.arch/x86-nvidia-timer-quirk as it is not being used.
-------------------------------------------------------------------
Wed Mar 19 16:41:29 CET 2008 - olh@suse.de
- remove patches.arch/ppc-efika-ipic.patch
disable mpc51xx support instead
-------------------------------------------------------------------
Tue Mar 18 18:28:21 CET 2008 - jeffm@suse.de
- rpm/kernel-binary.spec.in: Changed to just match %ix86
-------------------------------------------------------------------
Mon Mar 17 23:45:38 CET 2008 - olh@suse.de
- add patches.arch/ppc-efika-ipic.patch
fix a crash in init_ipic_sysfs on efika
-------------------------------------------------------------------
Mon Mar 17 19:04:09 CET 2008 - agruen@suse.de
- post.sh: Fix a syntax error when creating the initrd.
-------------------------------------------------------------------
Mon Mar 17 12:18:20 CET 2008 - jbeulich@novell.com
- patches.xen/xen-quicklist.patch: Delete.
- Update i386 Xen config file.
- patches.xen/xen3-patch-2.6.25-rc5-rc6: 2.6.25-rc6.
- patches.xen/xen3-auto-xen-kconfig.diff,
patches.xen/xen3-fixup-kconfig,
patches.xen/xen3-patch-2.6.19,
patches.xen/xen3-patch-2.6.20,
patches.xen/xen3-patch-2.6.21,
patches.xen/xen3-patch-2.6.22,
patches.xen/xen3-patch-2.6.23,
patches.xen/xen3-patch-2.6.24,
patches.xen/xen3-patch-2.6.25-rc5,
patches.xen/xen-x86-no-lapic,
patches.xen/xen-x86-panic-no-reboot: Various adjustments.
-------------------------------------------------------------------
Sun Mar 16 06:26:30 CET 2008 - jeffm@suse.de
- rpm/kernel-binary.spec.in: Added an RPM conflict for 32-bit
kernels and 64-bit glibc to avoid installing a 32-bit kernel
with 64-bit userspace. (364433, et al)
-------------------------------------------------------------------
Fri Mar 14 20:03:12 CET 2008 - jeffm@suse.de
- scripts/tar-up.sh: Added --kbuild option to autogenerate
release number based on branch and timestamp.
-------------------------------------------------------------------
Fri Mar 14 16:14:34 CET 2008 - jeffm@suse.de
- patches.apparmor/export-security_inode_permission-for-aufs:
LSM: Export security_inode_permission for aufs (356902).
-------------------------------------------------------------------
Fri Mar 14 10:22:18 CET 2008 - agruen@suse.de
- Boot loader: do the same during initial installation as when
updating a kernel package (FATE 302660).
-------------------------------------------------------------------
Thu Mar 13 17:07:38 CET 2008 - gregkh@suse.de
- Update to 2.6.25-rc5-git3
-------------------------------------------------------------------
Thu Mar 13 15:53:57 CET 2008 - olh@suse.de
- disable unuses pata platform and ibm newemac driver on powerpc
-------------------------------------------------------------------
Thu Mar 13 11:18:47 CET 2008 - olh@suse.de
- add patches.xen/xen-quicklist.patch
fix kernel-xen compile, readd CONFIG_QUICKLIST for xen
-------------------------------------------------------------------
Wed Mar 12 18:56:09 CET 2008 - gregkh@suse.de
- remove alpha configs as they are no longer used
-------------------------------------------------------------------
Wed Mar 12 18:25:38 CET 2008 - gregkh@suse.de
- Enabled CONFIG_UNUSED_SYMBOLS for now. It will be disabled
after the next openSUSE alpha release. It is being enabled
for now to let some kmp packages still work while their
maintainers are working to update them with the 2.6.25
kernel changes.
-------------------------------------------------------------------
Wed Mar 12 18:09:37 CET 2008 - gregkh@suse.de
- refresh patches to apply cleanly
-------------------------------------------------------------------
Wed Mar 12 17:17:13 CET 2008 - gregkh@suse.de
- update to 2.6.25-rc5-git2
-------------------------------------------------------------------
Wed Mar 12 12:07:50 CET 2008 - sassmann@suse.de
- patches.arch/ppc-ps3-rename-wireless-interface.patch:
rename ps3 wireless interface from eth? to wlan? for better
handling in udev
-------------------------------------------------------------------
Wed Mar 12 10:24:38 CET 2008 - jbeulich@novell.com
- Update Xen patches to 2.6.25-rc5 and c/s 471.
- patches.xen/xen3-aslr-i386-and-x86_64-randomize-brk.patch:
Delete.
- patches.xen/xen3-aslr-pie-executable-randomization.patch:
Delete.
- patches.xen/xen3-early-firewire.diff: Delete.
- Update x86 config files.
- config.conf: Re-enable Xen configs.
-------------------------------------------------------------------
Tue Mar 11 19:02:10 CET 2008 - gregkh@suse.de
- add usb persist for storage devices across suspend to ram. This is going to
be in 2.6.26, just missed the .25 merge window.
-------------------------------------------------------------------
Tue Mar 11 18:10:51 CET 2008 - gregkh@suse.de
- Update to 2.6.26-rc5-git1
- Update config files.
-------------------------------------------------------------------
Tue Mar 11 00:34:29 CET 2008 - gregkh@suse.de
- update to 2.6.25-rc5
-------------------------------------------------------------------
Mon Mar 10 12:38:31 CET 2008 - agruen@suse.de
- scripts/tar-up.sh: Don't lose the EXTRAVERSION when overriding
the release number with --release-string or --timestamp.
-------------------------------------------------------------------
Sun Mar 9 16:58:10 CET 2008 - jeffm@suse.de
- patches.fixes/hibernation-snapshot-numa-workaround.patch:
swsusp: workaround for crash on NUMA (kernel.org#9966).
-------------------------------------------------------------------
Sat Mar 8 14:05:20 CET 2008 - agruen@suse.de
- Encode the EXTRAVERSION part of kernel release numbers in the
RPM release instead of in the version. This resolves the problem
that kernel releases like 2.6.25-rc4 would result in a package
with a higher version than 2.6.25 according to RPM's versioning
scheme (bug 271712).
-------------------------------------------------------------------
Fri Mar 7 17:52:55 CET 2008 - schwab@suse.de
- Update kdb patches.
-------------------------------------------------------------------
Thu Mar 6 19:14:44 CET 2008 - trenn@suse.de
- patches.fixes/acpi_fix_mem_corruption.patch: ACPI: Fix mem
corruption (350017).
- patches.fixes/acpi_thermal_passive_cleanup.patch: Warn user
about a BIOS bug in asus boards (350017).
-------------------------------------------------------------------
Thu Mar 6 17:46:28 CET 2008 - gregkh@suse.de
- Update s390 config files.
-------------------------------------------------------------------
Thu Mar 6 17:30:44 CET 2008 - gregkh@suse.de
- update to 2.6.24-rc5-git1
-------------------------------------------------------------------
Wed Mar 5 20:18:13 CET 2008 - gregkh@suse.de
- enable CONFIG_GROUP_SCHED
-------------------------------------------------------------------
Wed Mar 5 20:12:32 CET 2008 - gregkh@suse.de
- enable CONFIG_HIGH_RES_TIMERS on i386
-------------------------------------------------------------------
Wed Mar 5 19:35:37 CET 2008 - gregkh@suse.de
- enable CONFIG_USB_PERSIST for vanilla config files
-------------------------------------------------------------------
Wed Mar 5 19:29:20 CET 2008 - gregkh@suse.de
- refresh patches to apply cleanly with no fuzz
- enable CONFIG_USB_PERSIST so that users can enable this if they want
-------------------------------------------------------------------
Wed Mar 5 19:01:50 CET 2008 - gregkh@suse.de
- update the vanilla config files for CONFIG_DEBUG_RODATA
-------------------------------------------------------------------
Wed Mar 5 18:58:12 CET 2008 - gregkh@suse.de
- enable CONFIG_DEBUG_RODATA (Not really a debug option, something
we need in all of our kernels.)
-------------------------------------------------------------------
Wed Mar 5 17:55:41 CET 2008 - gregkh@suse.de
- Update vanilla config files.
-------------------------------------------------------------------
Wed Mar 5 17:26:42 CET 2008 - jbeulich@novell.com
- patches.fixes/parport-mutex,
patches.suse/stack-unwind: Fix merge mistakes.
- Update i386 config files.
-------------------------------------------------------------------
Wed Mar 5 17:02:33 CET 2008 - gregkh@suse.de
- Update tree to 2.6.25-rc4
-------------------------------------------------------------------
Wed Mar 5 12:29:48 CET 2008 - fseidel@suse.de
- add patches.fixes/fat_detect_media_wo_parttable.patch and
patches.fixes/fat_valid_media.patch:
detect FAT formated medias without partition table correctly
(bnc 364365)
-------------------------------------------------------------------
Tue Mar 4 16:38:11 CET 2008 - olh@suse.de
- add patches.kernel.org/s390-defkeymap.patch
Build fix for drivers/s390/char/defkeymap.c
-------------------------------------------------------------------
Tue Mar 4 16:08:29 CET 2008 - olh@suse.de
- enable ext2/3 acl support in ps3 kernel
-------------------------------------------------------------------
Tue Mar 4 15:33:29 CET 2008 - olh@suse.de
- Update to 2.6.25-rc3-git5
-------------------------------------------------------------------
Tue Mar 4 15:19:22 CET 2008 - olh@suse.de
- use suffix -ps3 for PS3 kernel, it is only used in otheros.bld
-------------------------------------------------------------------
Mon Mar 3 12:37:03 CET 2008 - lmb@suse.de
- rpm/kernel-binary.spec.in: Require udev >= 118.
-------------------------------------------------------------------
Mon Mar 3 11:47:35 CET 2008 - ak@suse.de
- patches.arch/x86-nvidia-timer-quirk: Delete.
PCI device ID list still not complete and let's have the same
crap as mainline for now. It would be better to fix the PCI
ID lists (#302327)
-------------------------------------------------------------------
Sun Mar 2 22:14:06 CET 2008 - olh@suse.de
- add patches.fixes/ibmvstgt-fixes.patch
fix oops in ibmvstgt init function
-------------------------------------------------------------------
Fri Feb 29 19:55:54 CET 2008 - olh@suse.de
- add patches.arch/ppc-pci-bogus-resources.patch
fix bogus test for unassigned resources
-------------------------------------------------------------------
Thu Feb 28 16:20:06 CET 2008 - olh@suse.de
- disable CONFIG_CRYPTO_DEV_HIFN_795X on ppc32 due to __divdi3 usage
- update patches.kernel.org/powerpc-needs-uboot
disable mkimage call in arch/powerpc/boot/wrapper
-------------------------------------------------------------------
Thu Feb 28 12:43:16 CET 2008 - olh@suse.de
- update patches.kernel.org/fixed-phy-select
add dependency on libphy=y
-------------------------------------------------------------------
Wed Feb 27 22:19:45 CET 2008 - jdelvare@suse.de
- patches.fixes/acpi-fix-double-log-level.patch: ACPI: Fix a
duplicate log level.
-------------------------------------------------------------------
Wed Feb 27 17:10:04 CET 2008 - trenn@suse.de
- patches.fixes/acpi_force-fan-active.patch: Delete.
-------------------------------------------------------------------
Tue Feb 26 21:57:45 CET 2008 - sdietrich@suse.de
- remove obsolete adaptive-locks patches
patches.rt/rtmutex-adaptive-locks.patch: Delete.
patches.rt/rtmutex-adjust-pi_lock-usage-in-wakeup.patch: Delete.
patches.rt/rtmutex-optimize-wakeup.patch: Delete.
patches.rt/rtmutex-rearrange.patch: Delete.
patches.rt/rtmutex-remove-extra-try.patch: Delete.
patches.rt/rtmutex-adaptive-mutexes.patch: Delete.
patches.rt/rtmutex-adaptive-timeout.patch: Delete.
patches.rt/rtmutex-lateral-steal.patch: Delete.
patches.rt/rtmutex-lateral-steal-sysctl.patch: Delete.
-------------------------------------------------------------------
Tue Feb 26 13:37:05 CET 2008 - sassmann@suse.de
- remove patches included upstream
patches.arch/ppc-ps3-gelic-cleanup.patch
patches.arch/ppc-ps3-gelic-endianness.patch
patches.arch/ppc-ps3-gelic-ethernet-linkstatus.patch
patches.arch/ppc-ps3-gelic-fix-fallback.diff
patches.arch/ppc-ps3-gelic-multiple-interface.patch
patches.arch/ppc-ps3-gelic-remove-duplicate-ethtool-handlers.patch
patches.arch/ppc-ps3-gelic-wireless-v2.patch
-------------------------------------------------------------------
Tue Feb 26 00:53:25 CET 2008 - jeffm@suse.de
- Update config files: Disabled CONFIG_INPUT_YEALINK per an old
request from AJ.
-------------------------------------------------------------------
Tue Feb 26 00:38:27 CET 2008 - jeffm@suse.de
- Update to 2.6.25-rc3.
-------------------------------------------------------------------
Sun Feb 24 09:48:20 CET 2008 - sdietrich@suse.de
- patches.rt/0001-sched-count-of-queued-RT-tasks.patch: Delete.
- patches.rt/0002-sched-track-highest-prio-task-queued.patch:
Delete.
- patches.rt/0003-sched-add-RT-task-pushing.patch: Delete.
- patches.rt/0004-sched-add-rt-overload-tracking.patch: Delete.
- patches.rt/0005-sched-pull-RT-tasks-from-overloaded-runqueues.patch:
Delete.
- patches.rt/0006-sched-push-RT-tasks-from-overloaded-CPUs.patch:
Delete.
- patches.rt/0007-sched-disable-standard-balancer-for-RT-tasks.patch:
Delete.
- patches.rt/0008-sched-add-RT-balance-cpu-weight.patch: Delete.
- patches.rt/0009-sched-clean-up-this_rq-use-in-kernel-sched_rt.c.patch:
Delete.
- patches.rt/0010-sched-de-SCHED_OTHER-ize-the-RT-path.patch:
Delete.
- patches.rt/0011-sched-break-out-search-for-RT-tasks.patch:
Delete.
- patches.rt/0012-sched-RT-balancing-include-current-CPU.patch:
Delete.
- patches.rt/0013-sched-pre-route-RT-tasks-on-wakeup.patch:
Delete.
- patches.rt/0014-sched-optimize-RT-affinity.patch: Delete.
- patches.rt/0015-sched-wake-balance-fixes.patch: Delete.
- patches.rt/0016-sched-RT-balance-avoid-overloading.patch:
Delete.
- patches.rt/0017-sched-break-out-early-if-RT-task-cannot-be-migrated.patch:
Delete.
- patches.rt/0018-sched-RT-balance-optimize.patch: Delete.
- patches.rt/0019-sched-RT-balance-optimize-cpu-search.patch:
Delete.
- patches.rt/0020-sched-RT-balance-on-new-task.patch: Delete.
- patches.rt/0021-sched-clean-up-pick_next_highest_task_rt.patch:
Delete.
- patches.rt/0022-sched-clean-up-find_lock_lowest_rq.patch:
Delete.
- patches.rt/0023-sched-clean-up-overlong-line-in-kernel-sched_debug.patch:
Delete.
- patches.rt/0024-sched-clean-up-kernel-sched_rt.c.patch: Delete.
- patches.rt/0025-sched-remove-rt_overload.patch: Delete.
- patches.rt/0026-sched-remove-leftover-debugging.patch: Delete.
- patches.rt/0027-sched-clean-up-pull_rt_task.patch: Delete.
- patches.rt/0028-sched-clean-up-schedule_balance_rt.patch:
Delete.
- patches.rt/0029-sched-add-sched-domain-roots.patch: Delete.
- patches.rt/0030-sched-update-root-domain-spans-upon-departure.patch:
Delete.
- patches.rt/0031-Subject-SCHED-Only-balance-our-RT-tasks-within-ou.patch:
Delete.
- patches.rt/0032-sched-fix-sched_rt.c-join-leave_domain.patch:
Delete.
- patches.rt/0033-sched-remove-unused-JIFFIES_TO_NS-macro.patch:
Delete.
- patches.rt/0034-sched-style-cleanup-2.patch: Delete.
- patches.rt/0035-sched-add-credits-for-RT-balancing-improvements.patch:
Delete.
- patches.rt/0036-sched-reactivate-fork-balancing.patch: Delete.
- patches.rt/0037-sched-whitespace-cleanups-in-topology.h.patch:
Delete.
- patches.rt/0038-sched-no-need-for-affine-wakeup-balancing-in.patch:
Delete.
- patches.rt/0039-sched-get-rid-of-new_cpu-in-try_to_wake_up.patch:
Delete.
- patches.rt/0040-sched-remove-do_div-from-__sched_slice.patch:
Delete.
- patches.rt/0041-sched-RT-balance-replace-hooks-with-pre-post-sched.patch:
Delete.
- patches.rt/0042-sched-RT-balance-add-new-methods-to-sched_class.patch:
Delete.
- patches.rt/0043-sched-RT-balance-only-adjust-overload-state-when-c.patch:
Delete.
- patches.rt/0044-sched-remove-some-old-cpuset-logic.patch:
Delete.
- Remove scheduler patches already upstream in 2.6.25-rc1
-------------------------------------------------------------------
Sat Feb 23 22:14:54 CET 2008 - jeffm@suse.de
- patches.kernel.org/libertas-section-conflict: libertas: fix
section conflict.
-------------------------------------------------------------------
Sat Feb 23 19:45:26 CET 2008 - jeffm@suse.de
- Enabled patches.kernel.org/ps3-lpm-include
-------------------------------------------------------------------
Sat Feb 23 19:45:22 CET 2008 - jeffm@suse.de
- patches.kernel.org/spu_profiler-include: powerpc: spu_profiler
build fix.
-------------------------------------------------------------------
Sat Feb 23 18:36:49 CET 2008 - jeffm@suse.de
- patches.kernel.org/ps3-lpm-include: ps3: lpm build fix.
-------------------------------------------------------------------
Sat Feb 23 18:25:06 CET 2008 - jeffm@suse.de
- patches.kernel.org/fixed-phy-select: powerpc: FSL_SOC requires
FIXED_PHY.
- patches.kernel.org/lguest-fixups: lguest: Fix asm-offsets_32
with correct config option.
-------------------------------------------------------------------
Sat Feb 23 07:51:44 CET 2008 - jeffm@suse.de
- Build fixes for ia64 and i386.
-------------------------------------------------------------------
Sat Feb 23 00:15:46 CET 2008 - jeffm@suse.de
- Update to 2.6.25-rc2-git6.
- Removed:
- patches.arch/ppc-pegasos-pata_via-fixup.patch: Delete.
- patches.arch/ppc-pegasos-console-autodetection.patch: Delete.
- patches.arch/ppc-ps3-make-dev_id-and-bus_id-u64.diff: Delete.
- patches.arch/acpi_autoload_bay.patch: Delete.
- patches.arch/small-acpica-extension-to-be-able-to-store-the-name-of.patch:
Delete.
- patches.arch/export-acpi_check_resource_conflict.patch: Delete.
- patches.drivers/early-firewire.diff: Delete.
- patches.drivers/scsi-throttle-SG_DXFER_TO_FROM_DEV-warning-better:
Delete.
- patches.drivers/libata-implement-force-parameter: Delete.
- patches.drivers/igb-1.0.8-k2: Delete.
- patches.drivers/always-announce-new-usb-devices.patch: Delete.
- patches.drivers/nozomi.patch: Delete.
- patches.drivers/libata-quirk_amd_ide_mode: Delete.
- patches.fixes/acpi_autoload_baydock.patch: Delete.
- patches.fixes/bluetooth_hci_dev_put.patch: Delete.
- patches.fixes/bluetooth_hci_conn_childs.patch: Delete.
- patches.fixes/mac80211-fix-hw-scan1.patch: Delete.
- patches.fixes/mac80211-fix-hw-scan2.patch: Delete.
- patches.fixes/libiscsi-missing-semicolon.diff: Delete.
- patches.fixes/pci-quirk-enable-smbus-on-hp-xw4100.patch: Delete.
- patches.kernel.org/patch-2.6.24.1: Delete.
- patches.suse/acpi_dsdt_ssdt_initrd_initramfs.patch: Delete.
- patches.suse/squashfs.patch.fixup: Delete.
- patches.suse/aslr-pie-executable-randomization.patch: Delete.
- patches.suse/aslr-i386-and-x86_64-randomize-brk.patch: Delete.
- Xen and RT currently disabled.
- SquashFS may not work.
-------------------------------------------------------------------
Fri Feb 22 21:10:57 CET 2008 - jeffm@suse.de
- scripts/embargo-filter: fixed and renabled check
-------------------------------------------------------------------
Fri Feb 22 18:34:18 CET 2008 - sdietrich@suse.de
- embargoed-patches: eliminate embargoed patches abuse.
-------------------------------------------------------------------
Fri Feb 22 16:48:37 CET 2008 - jeffm@suse.de
- scripts/embargo-filter: Disable check for non-existant patches.
-------------------------------------------------------------------
Fri Feb 22 15:27:05 CET 2008 - schwab@suse.de
- Update kdb patches.
-------------------------------------------------------------------
Fri Feb 22 13:10:33 CET 2008 - jbeulich@novell.com
- config/i386/xenpae: Rename to config/i386/xen.
- config.conf: Delete i386/xenpae.
-------------------------------------------------------------------
Thu Feb 21 16:41:27 CET 2008 - sdietrich@suse.de
- Update config files: update RT options for adaptive RT locks
-------------------------------------------------------------------
Thu Feb 21 15:30:06 CET 2008 - sdietrich@suse.de
- patches.rt/rtmutex-adaptive-mutexes.patch: cleanup.
- patches.rt/rtmutex-adaptive-timeout.patch: cleanup.
- patches.rt/rtmutex-lateral-steal-sysctl.patch: sysctl for
runtime-control of lateral mutex stealing.
-------------------------------------------------------------------
Thu Feb 21 09:17:38 CET 2008 - jbeulich@novell.com
- Update Xen patches to c/s 425.
- patches.xen/sfc-network-driver: Solarflare: Standard network driver
(disabled until status clarified).
- patches.xen/sfc-resource-driver: Solarflare: Resource driver
(disabled until status clarified).
- Update Xen config files.
-------------------------------------------------------------------
Wed Feb 20 20:56:32 CET 2008 - ghaskins@suse.de
- adaptive-locking v20
-------------------------------------------------------------------
Wed Feb 20 20:36:00 CET 2008 - ghaskins@suse.de
- adaptive-locking v19
-------------------------------------------------------------------
Wed Feb 20 19:22:20 CET 2008 - jeffm@suse.de
- Update config files for dmraid45.
-------------------------------------------------------------------
Wed Feb 20 13:57:56 CET 2008 - bwalle@suse.de
- rpm/kernel-binary.spec.in: add PAGESIZE detection for
makedumpfile.config from the .config file also for PPC64
-------------------------------------------------------------------
Wed Feb 20 13:17:21 CET 2008 - fseidel@suse.de
- patches.fixes/bluetooth_hci_dev_put.patch,
patches.fixes/bluetooth_hci_conn_childs.patch,
patches.fixes/bluetooth_hci_unregister_sysfs.patch:
fix kernel crash after removing bluetooth adapter (bnc 359546)
-------------------------------------------------------------------
Tue Feb 19 21:55:32 CET 2008 - jeffm@suse.de
- patches.suse/dm-raid45-2.6.24-20080602a.patch: DMRAID45 module.
-------------------------------------------------------------------
Fri Feb 15 19:24:24 CET 2008 - sdietrich@suse.de
- Update to latest patch set:
- patches.rt/rearrange_rtspinlock_sleep:
[PATCH 02/10] rearrange rtspinlock sleep
- patches.rt/optimize_rtspinlock_wakeup:
[PATCH 03/10] optimize rtspinlock wakeup
- patches.rt/adaptive_RT_spinlock_support:
[PATCH 04/10] Adaptive RT spinlock support
- patches.rt/add_timeout_mechanism: [PATCH 05/10]
add a loop counter based timeoutmechanism
- patches.rt/adaptive_mutexes: [PATCH 06/10] adaptive mutexes
- patches.rt/adjust_pi_lock_usage_in_wakeup:
[PATCH 07/10] Adjust pi_lock usage in wakeup
- patches.rt/optimize_printk_fastpath: [PATCH 08/10]
optimize the !printk fastpath throughthe lock acquisition
- patches.rt/remove_extra_call_try_to_take_lock:
[PATCH 09/10] remove the extra call to try_to_take_lock
- patches.rt/lateral_lock_steal: [PATCH 10/10] allow
rt-mutex lock-stealing toinclude lateral priority
-------------------------------------------------------------------
Fri Feb 15 19:04:25 CET 2008 - sdietrich@suse.de
- embargoed-patches: (embargo development RT throughput patches)
- Update config files (RT config options)
- patches.rt/optimize_rtspinlock_wakeup: optimize rtspinlock
wakeup.
- patches.rt/adaptive_RT_spinlock_support: Adaptive RT spinlock
support.
- patches.rt/add_timeout_mechanism: add
a loop counter based timeout mechanism
- patches.rt/adaptive_mutexes: adaptive mutexes
- patches.rt/adjust_pi_lock_usage_in_wakeup:
Adjust pi_lock usage in wakeup
- patches.rt/optimize_printk_fastpath: optimize
the !printk fastpath through the lockacquisition
- patches.rt/remove_extra_call_try_to_take_lock:
remove the extra call to try_to_take_lock
- patches.rt/lateral_lock_steal: allow rt-mutex
lock-stealing to include lateralpriority
- patches.rt/rearrange_rtspinlock_sleep: cleanup rtspinlock sleep
-------------------------------------------------------------------
Thu Feb 14 17:18:40 CET 2008 - jeffm@suse.de
- Removed unused OCFS2 patches.
-------------------------------------------------------------------
Thu Feb 14 16:10:31 CET 2008 - jeffm@suse.de
- Removed old commented out ocfs2 patchset.
-------------------------------------------------------------------
Thu Feb 14 03:10:06 CET 2008 - teheo@suse.de
- patches.drivers/libata-quirk_amd_ide_mode: PCI: modify SATA
IDE mode quirk (345124).
-------------------------------------------------------------------
Wed Feb 13 22:50:44 CET 2008 - jeffm@suse.de
- patches.suse/ocfs2-03-split-disk-heartbeat-out.diff: Fixed
section conflict.
-------------------------------------------------------------------
Wed Feb 13 21:59:37 CET 2008 - jeffm@suse.de
- Update config files.
-------------------------------------------------------------------
Wed Feb 13 21:47:43 CET 2008 - jeffm@suse.de
- Merged and re-enabled OCFS2 userspace clustering
-------------------------------------------------------------------
Wed Feb 13 10:41:01 CET 2008 - jdelvare@suse.de
- config/*: Don't build i2c algorithm drivers that we do not use.
- supported.conf: Drop i2c-elektor and i2c-algo-pcf, we don't ship
them.
-------------------------------------------------------------------
Tue Feb 12 01:59:51 CET 2008 - teheo@suse.de
Build fix for section mismatch check.
- patches.drivers/libata-implement-force-parameter: libata:
implement libata.force module parameter (337610).
-------------------------------------------------------------------
Mon Feb 11 08:50:40 CET 2008 - teheo@suse.de
- patches.drivers/libata-force-cable-type: Delete.
- patches.drivers/libata-implement-force-parameter: libata:
implement libata.force module parameter (337610).
-------------------------------------------------------------------
Fri Feb 8 22:07:58 CET 2008 - gregkh@suse.de
- Update to 2.6.24.1
- fixes CVE-2008-0007, CVE-2008-0009, CVE-2008-0010
- lots of USB device ids updated
- lots of other bugfixes
- removed patches.fixes/bootstrap-memoryless-node.patch as it is now
contained within.
-------------------------------------------------------------------
Fri Feb 8 18:41:55 CET 2008 - gregkh@suse.de
- Move ipv6-no-autoconf to xen directory as it is a Xen bugfix
-------------------------------------------------------------------
Fri Feb 8 18:33:31 CET 2008 - bwalle@suse.de
- patches.arch/ppc-fix-prpmc2800: remove patch since it's not needed
with current binutils any more
-------------------------------------------------------------------
Fri Feb 8 18:32:21 CET 2008 - bwalle@suse.de
- patches.drivers/igb-2007-12-11: Delete.
- patches.drivers/igb-1.0.8-k2: Update to latest version which is
also upstream now in the 2.6.25 tree.
-------------------------------------------------------------------
Fri Feb 8 18:10:48 CET 2008 - gregkh@suse.de
- Remove NO_BRP_NOEXECSTACK from the .spec files as it is obsolete
and doesn't do anything anymore.
-------------------------------------------------------------------
Fri Feb 8 15:57:31 CET 2008 - jbeulich@novell.com
- patches.xen/xen3-auto-common.diff,
patches.xen/xen3-patch-2.6.23: fix merge mistake.
-------------------------------------------------------------------
Fri Feb 8 11:54:11 CET 2008 - jbenc@suse.cz
- Update config files: disabled CONFIG_BCM43XX.
-------------------------------------------------------------------
Wed Feb 6 19:01:22 CET 2008 - jeffm@suse.de
- patches.apparmor/vfs-mkdir.diff: Added missing case in
kernel/cgroup.c
-------------------------------------------------------------------
Wed Feb 6 17:30:21 CET 2008 - fseidel@suse.de
- updated patches.drivers/nozomi.patch: mainline info
-------------------------------------------------------------------
Wed Feb 6 11:59:23 CET 2008 - sdietrich@suse.de
- Update config files: RT@1KHz, sched groups & cpusets enabled.
-------------------------------------------------------------------
Wed Feb 6 09:45:37 CET 2008 - olh@suse.de
- enable bnx2 on ppc64 (bnc 359114 - LTC42106)
-------------------------------------------------------------------
Wed Feb 6 00:25:53 CET 2008 - gregkh@suse.de
- remove unused lockd patches:
- patches.suse/lockd-switchable-statd
- patches.suse/lockd-kernel-statd
- patches.suse/lockd-suse-config
- patches.suse/lockd-max-hosts-dynamic
-------------------------------------------------------------------
Tue Feb 5 23:42:17 CET 2008 - oneukum@suse.de
- patches.suse/usb_printer_no_auto.diff: Delete.
Obsoleted by mainline change
-------------------------------------------------------------------
Tue Feb 5 07:39:47 CET 2008 - gregkh@suse.de
- Enable CONFIG_TASK_IO_ACCOUNTING (bnc 356547) for RT kernels
-------------------------------------------------------------------
Tue Feb 5 00:40:11 CET 2008 - gregkh@suse.de
- Enable CONFIG_TASK_IO_ACCOUNTING (bnc 356547)
-------------------------------------------------------------------
Thu Jan 31 18:55:04 CET 2008 - sdietrich@suse.de
- patches.rt/megasas_IRQF_NODELAY.patch: Convert megaraid sas
IRQ to non-threaded IRQ.
- patches.rt/version.patch: Delete (unused).
-------------------------------------------------------------------
Thu Jan 31 15:26:24 CET 2008 - jbenc@suse.cz
- patches.fixes/mac80211-fix-hw-scan1.patch,
patches.fixes/mac80211-fix-hw-scan2.patch: mac80211: hardware
scan rework (bnc#307050).
-------------------------------------------------------------------
Thu Jan 31 07:55:15 CET 2008 - sdietrich@suse.de
- Remove a legacy tweak carried over from the 10.3 Kernel,
enable the affinity load-balancing sysctl.
-------------------------------------------------------------------
Wed Jan 30 04:53:53 CET 2008 - sdietrich@suse.de
- patches.rt/x86-ticket-lock.patch: FIFO ticket lock spinlocks
for x86 (RT).
- patches.rt/rt-mutex-i386.patch: Resolve conflicts.
-------------------------------------------------------------------
Tue Jan 29 10:50:27 CET 2008 - olh@suse.de
- really skip kernel-ps3 in suse_kernel_module_package rpm macro
-------------------------------------------------------------------
Tue Jan 29 09:15:24 CET 2008 - jbeulich@novell.com
- Update Xen patches to 2.6.24 final and c/s 399.
- patches.xen/xen3-seccomp-disable-tsc-option: [PATCH seccomp:
make tsc disabling optional (191123).
-------------------------------------------------------------------
Sat Jan 26 13:02:54 CET 2008 - sdietrich@suse.de
- Linux-RT 2.6.24-rt1 (many patches in patches.rt refreshed).
- patches.rt/series: Delete (unused).
-------------------------------------------------------------------
Sat Jan 26 10:53:48 CET 2008 - olh@suse.de
- update patches.arch/ppc-efika-modalias.patch
use struct device_attribute to fix oops on boot
-------------------------------------------------------------------
Fri Jan 25 23:33:07 CET 2008 - jeffm@suse.de
- scripts/sequence-patch.sh: Updated to include $EXTRA_SYMBOLS in
$PATCH_DIR (e.g.: --symbol=RT creates linux-2.6.24-RT)
-------------------------------------------------------------------
Fri Jan 25 18:03:54 CET 2008 - jeffm@suse.de
- patches.rt/rcu-new-1.patch: Updated context against 2.6.24.
-------------------------------------------------------------------
Fri Jan 25 17:40:39 CET 2008 - olh@suse.de
- add patches.fixes/bootstrap-memoryless-node.patch
slab: fix bootstrap on memoryless node
-------------------------------------------------------------------
Fri Jan 25 01:58:43 CET 2008 - gregkh@suse.de
- refresh allmost all patches to apply cleanly and have a proper
diffstat (except for the xen patches, they were left alone...)
-------------------------------------------------------------------
Fri Jan 25 01:48:56 CET 2008 - gregkh@suse.de
- Update to 2.6.24
-------------------------------------------------------------------
Thu Jan 24 22:55:26 CET 2008 - olh@suse.de
- always skip kernel-ps3 in suse_kernel_module_package rpm macro
-------------------------------------------------------------------
Thu Jan 24 16:33:25 CET 2008 - sdietrich@suse.de
- Update config files: set SYSFS_DEPRECATED for reverse
compatibility with SLERT
-------------------------------------------------------------------
Tue Jan 22 15:43:40 CET 2008 - olh@suse.de
- update patches.arch/ppc-efika-modalias.patch
simplify patch
-------------------------------------------------------------------
Tue Jan 22 13:05:36 CET 2008 - agruen@suse.de
- patches.rpmify/cloneconfig.diff: Adjust to upstream i386 + x86_64
merge (347712).
-------------------------------------------------------------------
Tue Jan 22 09:37:13 CET 2008 - sassmann@suse.de
- add defconfig for ps3 kernel
- add entry for ps3 defconfig in config.conf
- add support for kernels without loadable modules to rpm/kernel-binary.spec.in
- include ps3 target in scripts/tar-up_and_run_mbuild.sh
-------------------------------------------------------------------
Mon Jan 21 22:46:59 CET 2008 - sdietrich@suse.de
- Update config files (RT)
-------------------------------------------------------------------
Mon Jan 21 14:48:45 CET 2008 - sdietrich@suse.de
- Linux-RT 2.6.24-rc8-rt1
-------------------------------------------------------------------
Mon Jan 21 10:45:35 CET 2008 - olh@suse.de
- add patches.arch/ppc-pegasos-pata_via-fixup.patch
call chrp_pci_fixup_vt8231_ata() later to allow pata_via usage
-------------------------------------------------------------------
Mon Jan 21 08:48:02 CET 2008 - aj@suse.de
- Remove unused config/s390/rt.
-------------------------------------------------------------------
Fri Jan 18 23:30:24 CET 2008 - jeffm@suse.de
- patches.rt/irq-flags-unsigned-long.patch: Delete.
-------------------------------------------------------------------
Fri Jan 18 23:21:31 CET 2008 - jeffm@suse.de
- Update to 2.6.24-rc8-git2.
-------------------------------------------------------------------
Fri Jan 18 17:14:28 CET 2008 - jeffm@suse.de
- Enabled 2.6.24-rc8-git1.
-------------------------------------------------------------------
Fri Jan 18 13:40:29 CET 2008 - jbenc@suse.cz
- patches.fixes/rt2x00-remove-duplicate-id.patch: Delete. The patch
is not correct, there exist two cards with different chipsets but
the same USB ID.
-------------------------------------------------------------------
Fri Jan 18 11:56:54 CET 2008 - jbenc@suse.cz
- patches.fixes/rt2x00-remove-duplicate-id.patch: rt2x00: remove
duplicate USB ID (350956).
-------------------------------------------------------------------
Fri Jan 18 10:49:25 CET 2008 - olh@suse.de
- sync powerpc vanilla with default .config to enable libata
-------------------------------------------------------------------
Fri Jan 18 10:19:43 CET 2008 - olh@suse.de
- add patches.arch/ppc-pegasos-mv643xx_eth-modalias.patch
provide module alias platform:mv643xx_eth
-------------------------------------------------------------------
Thu Jan 17 19:28:39 CET 2008 - jeffm@suse.de
- Update to 2.6.24-rc8-git1.
-------------------------------------------------------------------
Wed Jan 16 17:36:48 CET 2008 - jeffm@suse.de
- Update to 2.6.24-rc8.
-------------------------------------------------------------------
Sun Jan 13 16:48:14 CET 2008 - jeffm@suse.de
- Update to 2.6.24-rc7-git5.
-------------------------------------------------------------------
Fri Jan 11 20:34:52 CET 2008 - jeffm@suse.de
- Update to 2.6.24-rc7-git3.
-------------------------------------------------------------------
Fri Jan 11 12:33:26 CET 2008 - jbeulich@novell.com
- Update Xen patches to c/s 372 and 2.6.24-rc7.
- patches.xen/xen3-aux-at_vector_size.patch: Delete.
- Update Xen config files.
-------------------------------------------------------------------
Thu Jan 10 11:53:37 CET 2008 - olh@suse.de
- update patches.arch/ppc-efika-ethernet-phy.patch
move Forth code to fixup_device_tree_efika
-------------------------------------------------------------------
Wed Jan 9 17:52:44 CET 2008 - schwab@suse.de
- Fix debug package build.
-------------------------------------------------------------------
Wed Jan 9 17:43:26 CET 2008 - oneukum@suse.de
- Update config files. CONFIG_USB_SUSPEND default
-------------------------------------------------------------------
Wed Jan 9 17:05:00 CET 2008 - schwab@suse.de
- Update kdb patches.
-------------------------------------------------------------------
Wed Jan 9 13:46:22 CET 2008 - jbeulich@novell.com
- patches.suse/stack-unwind: Another try...
-------------------------------------------------------------------
Wed Jan 9 13:37:17 CET 2008 - jeffm@suse.de
- Update config files: Enabled CONFIG_SCSI_SAS_ATA. (346990)
-------------------------------------------------------------------
Wed Jan 9 11:36:44 CET 2008 - jbeulich@novell.com
- patches.suse/stack-unwind: Fix CONFIG_FRAME_POINTER build.
-------------------------------------------------------------------
Wed Jan 9 09:49:45 CET 2008 - jbeulich@novell.com
- patches.suse/stack-unwind: DWARF2 EH-frame based stack
unwinding.
- patches.xen/xen3-stack-unwind: DWARF2 EH-frame based stack
unwinding.
- Update config files.
-------------------------------------------------------------------
Tue Jan 8 21:29:36 CET 2008 - jeffm@suse.de
- patches.fixes/seccomp-disable-tsc-option: Fixed so it only applies
to i386, and updated config files.
-------------------------------------------------------------------
Tue Jan 8 21:23:05 CET 2008 - jeffm@suse.de
- patches.fixes/seccomp-disable-tsc-option: [PATCH] seccomp:
make tsc disabling optional (191123).
-------------------------------------------------------------------
Tue Jan 8 16:50:53 CET 2008 - olh@suse.de
- add patches.arch/ppc-efika-ethernet-phy.patch
drop patches.arch/ppc-efika-bestcomm-ethernet.patch
provide phy-handle property for fec_mpc52xx (347234)
-------------------------------------------------------------------
Tue Jan 8 14:18:29 CET 2008 - teheo@suse.de
- patches.drivers/libata-force-cable-type: libata: implement
libata.force_cbl parameter (337610).
-------------------------------------------------------------------
Mon Jan 7 16:47:31 CET 2008 - jeffm@suse.de
- Update to 2.6.24-rc7.
-------------------------------------------------------------------
Mon Jan 7 10:30:30 CET 2008 - teheo@suse.de
- patches.drivers/libata-sata_nv-disable-ADMA: sata_nv: disable
ADMA by default (346508).
-------------------------------------------------------------------
Mon Jan 7 10:11:12 CET 2008 - teheo@suse.de
Bug 347708. port_info for vmw was being assigned to the wrong index.
- patches.drivers/libata-ata_piix-vmw-ign-DMA-err: ata_piix:
ignore ATA_DMA_ERR on vmware ich4 (258256).
-------------------------------------------------------------------
Mon Jan 7 09:39:36 CET 2008 - teheo@suse.de
As the rest of kernel has caught up now, this one is no longer
necessary.
- patches.drivers/libata-fix-up-build-after-upstream-update.patch:
Delete.
-------------------------------------------------------------------
Mon Jan 7 09:36:48 CET 2008 - teheo@suse.de
- patches.drivers/libata-fix-up-build-after-upstream-update.patch:
Delete.
- patches.drivers/libata-ata_piix-vmw-ign-DMA-err: ata_piix:
ignore ATA_DMA_ERR on vmware ich4 (258256).
-------------------------------------------------------------------
Fri Jan 4 17:09:31 CET 2008 - jeffm@suse.de
- Update config files for -vanilla.
-------------------------------------------------------------------
Fri Jan 4 16:49:44 CET 2008 - jeffm@suse.de
- patches.rt/timer-freq-tweaks.patch: Adjusted context.
-------------------------------------------------------------------
Fri Jan 4 16:49:21 CET 2008 - jeffm@suse.de
- Update config files.
-------------------------------------------------------------------
Fri Jan 4 16:37:59 CET 2008 - jeffm@suse.de
- Update to 2.6.24-rc6-git11.
-------------------------------------------------------------------
Wed Jan 2 17:03:25 CET 2008 - jblunck@suse.de
- rpm/kernel-binary.spec.in: Copy debug sources to a proper location.
-------------------------------------------------------------------
Tue Jan 1 22:14:32 CET 2008 - jeffm@suse.de
- Update to 2.6.24-rc6-git7.
-------------------------------------------------------------------
Tue Jan 1 22:11:46 CET 2008 - jeffm@suse.de
- scripts/run_oldconfig.sh: Removed RT symbol from EXTRA_SYMBOLS.
We add it manually when building the patch list. This allows
the script to work with the RT kernel without having to remove
all the other configs from config.conf first.