kernel-source/dtb-armv7l.changes

88017 lines
3.5 MiB

-------------------------------------------------------------------
Tue Dec 14 11:22:56 CET 2021 - jslaby@suse.cz
- Linux 5.15.8 (bsc#1012628).
- bpf: Add selftests to cover packet access corner cases
(bsc#1012628).
- clocksource/drivers/dw_apb_timer_of: Fix probe failure
(bsc#1012628).
- misc: fastrpc: fix improper packet size calculation
(bsc#1012628).
- irqchip: nvic: Fix offset for Interrupt Priority Offsets
(bsc#1012628).
- irqchip/irq-gic-v3-its.c: Force synchronisation when issuing
INVALL (bsc#1012628).
- aio: Fix incorrect usage of eventfd_signal_allowed()
(bsc#1012628).
- irqchip/armada-370-xp: Fix support for Multi-MSI interrupts
(bsc#1012628).
- irqchip/armada-370-xp: Fix return value of
armada_370_xp_msi_alloc() (bsc#1012628).
- irqchip/aspeed-scu: Replace update_bits with write_bits
(bsc#1012628).
- csky: fix typo of fpu config macro (bsc#1012628).
- bus: mhi: core: Add support for forced PM resume (bsc#1012628).
- bus: mhi: pci_generic: Fix device recovery failed issue
(bsc#1012628).
- nvmem: eeprom: at25: fix FRAM byte_len (bsc#1012628).
- misc: rtsx: Avoid mangling IRQ during runtime PM (bsc#1012628).
- iio: accel: kxcjk-1013: Fix possible memory leak in probe and
remove (bsc#1012628).
- iio: ad7768-1: Call iio_trigger_notify_done() on error
(bsc#1012628).
- iio: adc: axp20x_adc: fix charging current reporting on AXP22x
(bsc#1012628).
- iio: adc: stm32: fix a current leak by resetting pcsel before
disabling vdda (bsc#1012628).
- iio: at91-sama5d2: Fix incorrect sign extension (bsc#1012628).
- iio: dln2: Check return value of devm_iio_trigger_register()
(bsc#1012628).
- iio: dln2-adc: Fix lockdep complaint (bsc#1012628).
- iio: itg3200: Call iio_trigger_notify_done() on error
(bsc#1012628).
- iio: kxsd9: Don't return error code in trigger handler
(bsc#1012628).
- iio: ltr501: Don't return error code in trigger handler
(bsc#1012628).
- iio: mma8452: Fix trigger reference couting (bsc#1012628).
- iio: stk3310: Don't return error code in interrupt handler
(bsc#1012628).
- iio: trigger: stm32-timer: fix MODULE_ALIAS (bsc#1012628).
- iio: trigger: Fix reference counting (bsc#1012628).
- iio: gyro: adxrs290: fix data signedness (bsc#1012628).
- xhci: avoid race between disable slot command and host runtime
suspend (bsc#1012628).
- usb: core: config: using bit mask instead of individual bits
(bsc#1012628).
- xhci: Remove CONFIG_USB_DEFAULT_PERSIST to prevent xHCI from
runtime suspending (bsc#1012628).
- usb: core: config: fix validation of wMaxPacketValue entries
(bsc#1012628).
- Revert "usb: dwc3: dwc3-qcom: Enable tx-fifo-resize property
by default" (bsc#1012628).
- USB: gadget: zero allocate endpoint 0 buffers (bsc#1012628).
- USB: gadget: detect too-big endpoint 0 requests (bsc#1012628).
- selftests/fib_tests: Rework fib_rp_filter_test() (bsc#1012628).
- net/qla3xxx: fix an error code in ql_adapter_up() (bsc#1012628).
- net, neigh: clear whole pneigh_entry at alloc time
(bsc#1012628).
- net: fec: only clear interrupt of handling queue in
fec_enet_rx_queue() (bsc#1012628).
- net: altera: set a couple error code in probe() (bsc#1012628).
- net: cdc_ncm: Allow for dwNtbOutMaxSize to be unset or zero
(bsc#1012628).
- tools build: Remove needless libpython-version feature check
that breaks test-all fast path (bsc#1012628).
- dt-bindings: net: Reintroduce PHY no lane swap binding
(bsc#1012628).
- Documentation/locking/locktypes: Update migrate_disable() bits
(bsc#1012628).
- perf tools: Fix SMT detection fast read path (bsc#1012628).
- drm/amd/display: Fix DPIA outbox timeout after S3/S4/reset
(bsc#1012628).
- Revert "PCI: aardvark: Fix support for PCI_ROM_ADDRESS1 on
emulated bridge" (bsc#1012628).
- i40e: Fix NULL pointer dereference in i40e_dbg_dump_desc
(bsc#1012628).
- bpf, sockmap: Re-evaluate proto ops when psock is removed from
sockmap (bsc#1012628).
- mtd: rawnand: fsmc: Fix timing computation (bsc#1012628).
- mtd: rawnand: fsmc: Take instruction delay into account
(bsc#1012628).
- i40e: Fix pre-set max number of queues for VF (bsc#1012628).
- i40e: Fix failed opcode appearing if handling messages from VF
(bsc#1012628).
- clk: qcom: clk-alpha-pll: Don't reconfigure running Trion
(bsc#1012628).
- clk: imx: use module_platform_driver (bsc#1012628).
- hwmon: (dell-smm) Fix warning on /proc/i8k creation error
(bsc#1012628).
- RDMA/hns: Do not destroy QP resources in the hw resetting phase
(bsc#1012628).
- RDMA/hns: Do not halt commands during reset until later
(bsc#1012628).
- ASoC: codecs: wcd934x: return correct value from mixer put
(bsc#1012628).
- ASoC: codecs: wcd934x: handle channel mappping list correctly
(bsc#1012628).
- ASoC: codecs: wsa881x: fix return values from kcontrol put
(bsc#1012628).
- ASoC: qdsp6: q6routing: Fix return value from
msm_routing_put_audio_mixer (bsc#1012628).
- ASoC: rt5682: Fix crash due to out of scope stack vars
(bsc#1012628).
- PM: runtime: Fix pm_runtime_active() kerneldoc comment
(bsc#1012628).
- qede: validate non LSO skb length (bsc#1012628).
- ALSA: usb-audio: Reorder snd_djm_devices[] entries
(bsc#1012628).
- scsi: scsi_debug: Fix buffer size of REPORT ZONES command
(bsc#1012628).
- scsi: pm80xx: Do not call scsi_remove_host() in pm8001_alloc()
(bsc#1012628).
- block: fix ioprio_get(IOPRIO_WHO_PGRP) vs setuid(2)
(bsc#1012628).
- i2c: mpc: Use atomic read and fix break condition (bsc#1012628).
- tracefs: Set all files to the same group ownership as the
mount option (bsc#1012628).
- aio: fix use-after-free due to missing POLLFREE handling
(bsc#1012628).
- aio: keep poll requests on waitqueue until completed
(bsc#1012628).
- signalfd: use wake_up_pollfree() (bsc#1012628).
- binder: use wake_up_pollfree() (bsc#1012628).
- wait: add wake_up_pollfree() (bsc#1012628).
- io_uring: ensure task_work gets run as part of cancelations
(bsc#1012628).
- libata: add horkage for ASMedia 1092 (bsc#1012628).
- drm/syncobj: Deal with signalled fences in
drm_syncobj_find_fence (bsc#1012628).
- thermal: int340x: Fix VCoRefLow MMIO bit offset for TGL
(bsc#1012628).
- clk: qcom: regmap-mux: fix parent clock lookup (bsc#1012628).
- mmc: renesas_sdhi: initialize variable properly when tuning
(bsc#1012628).
- hwmon: (pwm-fan) Ensure the fan going on in .probe()
(bsc#1012628).
- selftests: KVM: avoid failures due to reserved HyperTransport
region (bsc#1012628).
- tracefs: Have new files inherit the ownership of their parent
(bsc#1012628).
- nfsd: Fix nsfd startup race (again) (bsc#1012628).
- nfsd: fix use-after-free due to delegation race (bsc#1012628).
- md: fix update super 1.0 on rdev size change (bsc#1012628).
- perf intel-pt: Fix error timestamp setting on the decoder
error path (bsc#1012628).
- perf intel-pt: Fix missing 'instruction' events with 'q' option
(bsc#1012628).
- perf intel-pt: Fix next 'err' value, walking trace
(bsc#1012628).
- perf intel-pt: Fix state setting when receiving overflow (OVF)
packet (bsc#1012628).
- perf intel-pt: Fix intel_pt_fup_event() assumptions about
setting state type (bsc#1012628).
- perf intel-pt: Fix sync state when a PSB (synchronization)
packet is found (bsc#1012628).
- perf intel-pt: Fix some PGE (packet generation enable/control
flow packets) usage (bsc#1012628).
- btrfs: free exchange changeset on failures (bsc#1012628).
- btrfs: replace the BUG_ON in btrfs_del_root_ref with proper
error handling (bsc#1012628).
- btrfs: fix re-dirty process of tree-log nodes (bsc#1012628).
- btrfs: clear extent buffer uptodate when we fail to write it
(bsc#1012628).
- scsi: qla2xxx: Format log strings only if needed (bsc#1012628).
- cifs: Fix crash on unload of cifs_arc4.ko (bsc#1012628).
- ALSA: pcm: oss: Handle missing errors in
snd_pcm_oss_change_params*() (bsc#1012628).
- ALSA: pcm: oss: Limit the period size to 16MB (bsc#1012628).
- ALSA: pcm: oss: Fix negative period/buffer sizes (bsc#1012628).
- ALSA: hda/realtek: Fix quirk for TongFang PHxTxX1 (bsc#1012628).
- ALSA: hda/realtek - Add headset Mic support for Lenovo ALC897
platform (bsc#1012628).
- ALSA: ctl: Fix copy of updated id with element read/write
(bsc#1012628).
- mm: bdi: initialize bdi_min_ratio when bdi is unregistered
(bsc#1012628).
- mm/slub: fix endianness bug for alloc/free_traces attributes
(bsc#1012628).
- mm/damon/core: fix fake load reports due to uninterruptible
sleeps (bsc#1012628).
- timers: implement usleep_idle_range() (bsc#1012628).
- KVM: x86: Wait for IPIs to be delivered when handling Hyper-V
TLB flush hypercall (bsc#1012628).
- KVM: x86: Ignore sparse banks size for an "all CPUs", non-sparse
IPI req (bsc#1012628).
- KVM: x86: Don't WARN if userspace mucks with RCX during string
I/O exit (bsc#1012628).
- net: mvpp2: fix XDP rx queues registering (bsc#1012628).
- net/sched: fq_pie: prevent dismantle issue (bsc#1012628).
- net: dsa: felix: Fix memory leak in felix_setup_mmio_filtering
(bsc#1012628).
- net: dsa: mv88e6xxx: error handling for serdes_power functions
(bsc#1012628).
- net: bcm4908: Handle dma_set_coherent_mask error codes
(bsc#1012628).
- devlink: fix netns refcount leak in devlink_nl_cmd_reload()
(bsc#1012628).
- IB/hfi1: Correct guard on eager buffer deallocation
(bsc#1012628).
- iavf: Fix reporting when setting descriptor count (bsc#1012628).
- iavf: restore MSI state on reset (bsc#1012628).
- netfilter: conntrack: annotate data-races around ct->timeout
(bsc#1012628).
- netfilter: nft_exthdr: break evaluation if setting TCP option
fails (bsc#1012628).
- udp: using datalen to cap max gso segments (bsc#1012628).
- seg6: fix the iif in the IPv6 socket control block
(bsc#1012628).
- nfp: Fix memory leak in nfp_cpp_area_cache_add() (bsc#1012628).
- bonding: make tx_rebalance_counter an atomic (bsc#1012628).
- ethtool: do not perform operations on net devices being
unregistered (bsc#1012628).
- ice: ignore dropped packets during init (bsc#1012628).
- bpf: Fix the off-by-two error in range markings (bsc#1012628).
- bpf: Make sure bpf_disable_instrumentation() is safe vs
preemption (bsc#1012628).
- bpf, sockmap: Attach map progs to psock early for feature probes
(bsc#1012628).
- bpf, x86: Fix "no previous prototype" warning (bsc#1012628).
- vrf: don't run conntrack on vrf with !dflt qdisc (bsc#1012628).
- selftests: netfilter: add a vrf+conntrack testcase
(bsc#1012628).
- nfc: fix potential NULL pointer deref in nfc_genl_dump_ses_done
(bsc#1012628).
- platform/x86: amd-pmc: Fix s2idle failures on certain AMD
laptops (bsc#1012628).
- x86/sme: Explicitly map new EFI memmap table as encrypted
(bsc#1012628).
- net: dsa: mv88e6xxx: allow use of PHYs on CPU and DSA ports
(bsc#1012628).
- net: dsa: mv88e6xxx: fix "don't use PHY_DETECT on internal
PHY's" (bsc#1012628).
- can: m_can: Disable and ignore ELO interrupt (bsc#1012628).
- can: m_can: pci: fix iomap_read_fifo() and iomap_write_fifo()
(bsc#1012628).
- can: m_can: pci: fix incorrect reference clock rate
(bsc#1012628).
- can: m_can: m_can_read_fifo: fix memory leak in error branch
(bsc#1012628).
- can: pch_can: pch_can_rx_normal: fix use after free
(bsc#1012628).
- can: sja1000: fix use after free in ems_pcmcia_add_card()
(bsc#1012628).
- can: kvaser_pciefd: kvaser_pciefd_rx_error_frame(): increase
correct stats->{rx,tx}_errors counter (bsc#1012628).
- can: kvaser_usb: get CAN clock frequency from device
(bsc#1012628).
- IB/hfi1: Fix leak of rcvhdrtail_dummy_kvaddr (bsc#1012628).
- IB/hfi1: Fix early init panic (bsc#1012628).
- IB/hfi1: Insure use of smp_processor_id() is preempt disabled
(bsc#1012628).
- nft_set_pipapo: Fix bucket load in AVX2 lookup routine for
six 8-bit groups (bsc#1012628).
- platform/x86/intel: hid: add quirk to support Surface Go 3
(bsc#1012628).
- HID: Ignore battery for Elan touchscreen on Asus UX550VE
(bsc#1012628).
- HID: sony: fix error path in probe (bsc#1012628).
- mmc: spi: Add device-tree SPI IDs (bsc#1012628).
- mtd: dataflash: Add device-tree SPI IDs (bsc#1012628).
- HID: check for valid USB device for many HID drivers
(bsc#1012628).
- HID: wacom: fix problems when device is not a valid USB device
(bsc#1012628).
- HID: bigbenff: prevent null pointer dereference (bsc#1012628).
- HID: add USB_HID dependancy on some USB HID drivers
(bsc#1012628).
- HID: add USB_HID dependancy to hid-chicony (bsc#1012628).
- HID: add USB_HID dependancy to hid-prodikeys (bsc#1012628).
- HID: add hid_is_usb() function to make it simpler for USB
detection (bsc#1012628).
- HID: intel-ish-hid: ipc: only enable IRQ wakeup when requested
(bsc#1012628).
- HID: google: add eel USB id (bsc#1012628).
- HID: quirks: Add quirk for the Microsoft Surface 3 type-cover
(bsc#1012628).
- usb: gadget: uvc: fix multiple opens (bsc#1012628).
- commit 3f92609
-------------------------------------------------------------------
Mon Dec 13 11:24:00 CET 2021 - dmueller@suse.com
- kernel-obs-build: inform build service about virtio-serial
Inform the build worker code that this kernel supports virtio-serial,
which improves performance and relability of logging.
- commit 301a3a7
-------------------------------------------------------------------
Mon Dec 13 11:20:18 CET 2021 - dmueller@suse.com
- rpm/*.spec.in: use buildroot macro instead of env variable
The RPM_BUILD_ROOT variable is considered deprecated over
a buildroot macro. future proof the spec files.
- commit e2f6026
-------------------------------------------------------------------
Fri Dec 10 14:13:56 CET 2021 - tiwai@suse.de
- Update BT fix patch for regression with 8087:0026 device (bsc#1193124)
Also corrected the references and patch description
- commit 634695b
-------------------------------------------------------------------
Wed Dec 8 09:54:39 CET 2021 - jslaby@suse.cz
- Linux 5.15.7 (bsc#1012628).
- ALSA: usb-audio: Rename early_playback_start flag with
lowlatency_playback (bsc#1012628).
- ALSA: usb-audio: Disable low-latency playback for free-wheel
mode (bsc#1012628).
- ALSA: usb-audio: Disable low-latency mode for implicit feedback
sync (bsc#1012628).
- ALSA: usb-audio: Check available frames for the next packet size
(bsc#1012628).
- ALSA: usb-audio: Add spinlock to stop_urbs() (bsc#1012628).
- ALSA: usb-audio: Improved lowlatency playback support
(bsc#1012628).
- ALSA: usb-audio: Avoid killing in-flight URBs during draining
(bsc#1012628).
- ALSA: usb-audio: Fix packet size calculation regression
(bsc#1012628).
- ALSA: usb-audio: Less restriction for low-latency playback mode
(bsc#1012628).
- ALSA: usb-audio: Switch back to non-latency mode at a later
point (bsc#1012628).
- ALSA: usb-audio: Don't start stream for capture at prepare
(bsc#1012628).
- gfs2: release iopen glock early in evict (bsc#1012628).
- gfs2: Fix length of holes reported at end-of-file (bsc#1012628).
- powerpc/pseries/ddw: Revert "Extend upper limit for huge DMA
window for persistent memory" (bsc#1012628).
- powerpc/pseries/ddw: Do not try direct mapping with persistent
memory and one window (bsc#1012628).
- drm/sun4i: fix unmet dependency on RESET_CONTROLLER for
PHY_SUN6I_MIPI_DPHY (bsc#1012628).
- mac80211: do not access the IV when it was stripped
(bsc#1012628).
- mac80211: fix throughput LED trigger (bsc#1012628).
- x86/hyperv: Move required MSRs check to initial platform probing
(bsc#1012628).
- net/smc: Transfer remaining wait queue entries during fallback
(bsc#1012628).
- atlantic: Fix OOB read and write in hw_atl_utils_fw_rpc_wait
(bsc#1012628).
- net: return correct error code (bsc#1012628).
- pinctrl: qcom: fix unmet dependencies on GPIOLIB for
GPIOLIB_IRQCHIP (bsc#1012628).
- platform/x86: dell-wmi-descriptor: disable by default
(bsc#1012628).
- platform/x86: thinkpad_acpi: Add support for dual fan control
(bsc#1012628).
- platform/x86: thinkpad_acpi: Fix WWAN device disabled issue
after S3 deep (bsc#1012628).
- s390/setup: avoid using memblock_enforce_memory_limit
(bsc#1012628).
- btrfs: silence lockdep when reading chunk tree during mount
(bsc#1012628).
- btrfs: check-integrity: fix a warning on write caching disabled
disk (bsc#1012628).
- thermal: core: Reset previous low and high trip during thermal
zone init (bsc#1012628).
- scsi: iscsi: Unblock session then wake up error handler
(bsc#1012628).
- net: usb: r8152: Add MAC passthrough support for more Lenovo
Docks (bsc#1012628).
- drm/amd/pm: Remove artificial freq level on Navi1x
(bsc#1012628).
- drm/amd/amdkfd: Fix kernel panic when reset failed and been
triggered again (bsc#1012628).
- drm/amd/amdgpu: fix potential memleak (bsc#1012628).
- ata: ahci: Add Green Sardine vendor ID as board_ahci_mobile
(bsc#1012628).
- ata: libahci: Adjust behavior when StorageD3Enable _DSD is set
(bsc#1012628).
- ethernet: hisilicon: hns: hns_dsaf_misc: fix a possible array
overflow in hns_dsaf_ge_srst_by_port() (bsc#1012628).
- ipv6: check return value of ipv6_skip_exthdr (bsc#1012628).
- net: tulip: de4x5: fix the problem that the array 'lp->phy[8]'
may be out of bound (bsc#1012628).
- net: ethernet: dec: tulip: de4x5: fix possible array overflows
in type3_infoblock() (bsc#1012628).
- perf sort: Fix the 'weight' sort key behavior (bsc#1012628).
- perf sort: Fix the 'ins_lat' sort key behavior (bsc#1012628).
- perf sort: Fix the 'p_stage_cyc' sort key behavior
(bsc#1012628).
- perf inject: Fix ARM SPE handling (bsc#1012628).
- perf hist: Fix memory leak of a perf_hpp_fmt (bsc#1012628).
- perf report: Fix memory leaks around perf_tip() (bsc#1012628).
- tracing: Don't use out-of-sync va_list in event printing
(bsc#1012628).
- net/smc: Avoid warning of possible recursive locking
(bsc#1012628).
- ACPI: Add stubs for wakeup handler functions (bsc#1012628).
- net/tls: Fix authentication failure in CCM mode (bsc#1012628).
- vrf: Reset IPCB/IP6CB when processing outbound pkts in vrf
dev xmit (bsc#1012628).
- kprobes: Limit max data_size of the kretprobe instances
(bsc#1012628).
- ALSA: hda/cs8409: Set PMSG_ON earlier inside cs8409 driver
(bsc#1012628).
- rt2x00: do not mark device gone on EPROTO errors during start
(bsc#1012628).
- ipmi: Move remove_work to dedicated workqueue (bsc#1012628).
- cpufreq: Fix get_cpu_device() failure in add_cpu_dev_symlink()
(bsc#1012628).
- iwlwifi: mvm: retry init flow if failed (bsc#1012628).
- dma-buf: system_heap: Use 'for_each_sgtable_sg' in pages free
flow (bsc#1012628).
- s390/pci: move pseudo-MMIO to prevent MIO overlap (bsc#1012628).
- fget: check that the fd still exists after getting a ref to it
(bsc#1012628).
- sata_fsl: fix UAF in sata_fsl_port_stop when rmmod sata_fsl
(bsc#1012628).
- sata_fsl: fix warning in remove_proc_entry when rmmod sata_fsl
(bsc#1012628).
- scsi: lpfc: Fix non-recovery of remote ports following an
unsolicited LOGO (bsc#1012628).
- scsi: ufs: ufs-pci: Add support for Intel ADL (bsc#1012628).
- ipv6: fix memory leak in fib6_rule_suppress (bsc#1012628).
- drm/amd/display: Allow DSC on supported MST branch devices
(bsc#1012628).
- drm/i915/dp: Perform 30ms delay after source OUI write
(bsc#1012628).
- KVM: fix avic_set_running for preemptable kernels (bsc#1012628).
- KVM: Disallow user memslot with size that exceeds "unsigned
long" (bsc#1012628).
- KVM: x86/mmu: Fix TLB flush range when handling disconnected pt
(bsc#1012628).
- KVM: Ensure local memslot copies operate on up-to-date
arch-specific data (bsc#1012628).
- KVM: x86: ignore APICv if LAPIC is not enabled (bsc#1012628).
- KVM: nVMX: Emulate guest TLB flush on nested VM-Enter with
new vpid12 (bsc#1012628).
- KVM: nVMX: Flush current VPID (L1 vs. L2) for
KVM_REQ_TLB_FLUSH_GUEST (bsc#1012628).
- KVM: nVMX: Abide to KVM_REQ_TLB_FLUSH_GUEST request on nested
vmentry/vmexit (bsc#1012628).
- KVM: VMX: prepare sync_pir_to_irr for running with APICv
disabled (bsc#1012628).
- KVM: x86: Use a stable condition around all VT-d PI paths
(bsc#1012628).
- KVM: MMU: shadow nested paging does not have PKU (bsc#1012628).
- KVM: arm64: Avoid setting the upper 32 bits of TCR_EL2 and
CPTR_EL2 to 1 (bsc#1012628).
- KVM: X86: Use vcpu->arch.walk_mmu for kvm_mmu_invlpg()
(bsc#1012628).
- KVM: x86: check PIR even for vCPUs with disabled APICv
(bsc#1012628).
- tracing/histograms: String compares should not care about
signed values (bsc#1012628).
- net: dsa: mv88e6xxx: Fix application of erratum 4.8 for 88E6393X
(bsc#1012628).
- net: dsa: mv88e6xxx: Drop unnecessary check in
mv88e6393x_serdes_erratum_4_6() (bsc#1012628).
- net: dsa: mv88e6xxx: Save power by disabling SerDes trasmitter
and receiver (bsc#1012628).
- net: dsa: mv88e6xxx: Add fix for erratum 5.2 of 88E6393X family
(bsc#1012628).
- net: dsa: mv88e6xxx: Fix inband AN for 2500base-x on 88E6393X
family (bsc#1012628).
- net: dsa: mv88e6xxx: Link in pcs_get_state() if AN is bypassed
(bsc#1012628).
- wireguard: selftests: increase default dmesg log size
(bsc#1012628).
- wireguard: allowedips: add missing __rcu annotation to satisfy
sparse (bsc#1012628).
- wireguard: selftests: actually test for routing loops
(bsc#1012628).
- wireguard: selftests: rename DEBUG_PI_LIST to DEBUG_PLIST
(bsc#1012628).
- wireguard: device: reset peer src endpoint when netns exits
(bsc#1012628).
- wireguard: receive: use ring buffer for incoming handshakes
(bsc#1012628).
- wireguard: receive: drop handshakes if queue lock is contended
(bsc#1012628).
- wireguard: ratelimiter: use kvcalloc() instead of kvzalloc()
(bsc#1012628).
- i2c: stm32f7: flush TX FIFO upon transfer errors (bsc#1012628).
- i2c: stm32f7: recover the bus on access timeout (bsc#1012628).
- i2c: stm32f7: stop dma transfer in case of NACK (bsc#1012628).
- i2c: cbus-gpio: set atomic transfer callback (bsc#1012628).
- natsemi: xtensa: fix section mismatch warnings (bsc#1012628).
- tcp: fix page frag corruption on page fault (bsc#1012628).
- net: qlogic: qlcnic: Fix a NULL pointer dereference in
qlcnic_83xx_add_rings() (bsc#1012628).
- net: mpls: Fix notifications when deleting a device
(bsc#1012628).
- siphash: use _unaligned version by default (bsc#1012628).
- arm64: ftrace: add missing BTIs (bsc#1012628).
- iwlwifi: fix warnings produced by kernel debug options
(bsc#1012628).
- net/mlx5e: IPsec: Fix Software parser inner l3 type setting
in case of encapsulation (bsc#1012628).
- net/mlx4_en: Fix an use-after-free bug in
mlx4_en_try_alloc_resources() (bsc#1012628).
- selftests: net: Correct case name (bsc#1012628).
- net: dsa: b53: Add SPI ID table (bsc#1012628).
- mt76: mt7915: fix NULL pointer dereference in
mt7915_get_phy_mode (bsc#1012628).
- ASoC: tegra: Fix wrong value type in ADMAIF (bsc#1012628).
- ASoC: tegra: Fix wrong value type in I2S (bsc#1012628).
- ASoC: tegra: Fix wrong value type in DMIC (bsc#1012628).
- ASoC: tegra: Fix wrong value type in DSPK (bsc#1012628).
- ASoC: tegra: Fix kcontrol put callback in ADMAIF (bsc#1012628).
- ASoC: tegra: Fix kcontrol put callback in I2S (bsc#1012628).
- ASoC: tegra: Fix kcontrol put callback in DMIC (bsc#1012628).
- ASoC: tegra: Fix kcontrol put callback in DSPK (bsc#1012628).
- ASoC: tegra: Fix kcontrol put callback in AHUB (bsc#1012628).
- rxrpc: Fix rxrpc_peer leak in rxrpc_look_up_bundle()
(bsc#1012628).
- rxrpc: Fix rxrpc_local leak in rxrpc_lookup_peer()
(bsc#1012628).
- ALSA: intel-dsp-config: add quirk for CML devices based on
ES8336 codec (bsc#1012628).
- net: stmmac: Avoid DMA_CHAN_CONTROL write if no Split Header
support (bsc#1012628).
- net: usb: lan78xx: lan78xx_phy_init(): use PHY_POLL instead of
"0" if no IRQ is available (bsc#1012628).
- net: marvell: mvpp2: Fix the computation of shared CPUs
(bsc#1012628).
- dpaa2-eth: destroy workqueue at the end of remove function
(bsc#1012628).
- octeontx2-af: Fix a memleak bug in rvu_mbox_init()
(bsc#1012628).
- net: annotate data-races on txq->xmit_lock_owner (bsc#1012628).
- ipv4: convert fib_num_tclassid_users to atomic_t (bsc#1012628).
- net/smc: fix wrong list_del in smc_lgr_cleanup_early
(bsc#1012628).
- net/rds: correct socket tunable error in rds_tcp_tune()
(bsc#1012628).
- net/smc: Keep smc_close_final rc during active close
(bsc#1012628).
- drm/msm/a6xx: Allocate enough space for GMU registers
(bsc#1012628).
- drm/msm: Do hw_init() before capturing GPU state (bsc#1012628).
- drm/vc4: kms: Wait for the commit before increasing our clock
rate (bsc#1012628).
- drm/vc4: kms: Fix return code check (bsc#1012628).
- drm/vc4: kms: Add missing drm_crtc_commit_put (bsc#1012628).
- drm/vc4: kms: Clear the HVS FIFO commit pointer once done
(bsc#1012628).
- drm/vc4: kms: Don't duplicate pending commit (bsc#1012628).
- drm/vc4: kms: Fix previous HVS commit wait (bsc#1012628).
- atlantic: Increase delay for fw transactions (bsc#1012628).
- atlatnic: enable Nbase-t speeds with base-t (bsc#1012628).
- atlantic: Fix to display FW bundle version instead of FW mac
version (bsc#1012628).
- atlantic: Add missing DIDs and fix 115c (bsc#1012628).
- Remove Half duplex mode speed capabilities (bsc#1012628).
- atlantic: Fix statistics logic for production hardware
(bsc#1012628).
- atlantic: Remove warn trace message (bsc#1012628).
- KVM: x86/mmu: Skip tlb flush if it has been done in
zap_gfn_range() (bsc#1012628).
- KVM: x86/mmu: Pass parameter flush as false in
kvm_tdp_mmu_zap_collapsible_sptes() (bsc#1012628).
- drm/msm/devfreq: Fix OPP refcnt leak (bsc#1012628).
- drm/msm: Fix mmap to include VM_IO and VM_DONTDUMP
(bsc#1012628).
- drm/msm: Fix wait_fence submitqueue leak (bsc#1012628).
- drm/msm: Restore error return on invalid fence (bsc#1012628).
- ASoC: rk817: Add module alias for rk817-codec (bsc#1012628).
- iwlwifi: Fix memory leaks in error handling path (bsc#1012628).
- KVM: X86: Fix when shadow_root_level=5 && guest root_level<4
(bsc#1012628).
- KVM: SEV: initialize regions_list of a mirror VM (bsc#1012628).
- net/mlx5e: Fix missing IPsec statistics on uplink representor
(bsc#1012628).
- net/mlx5: Move MODIFY_RQT command to ignore list in internal
error state (bsc#1012628).
- net/mlx5: E-switch, Respect BW share of the new group
(bsc#1012628).
- net/mlx5: E-Switch, fix single FDB creation on BlueField
(bsc#1012628).
- net/mlx5: E-Switch, Check group pointer before reading bw_share
value (bsc#1012628).
- KVM: x86/pmu: Fix reserved bits for AMD PerfEvtSeln register
(bsc#1012628).
- KVM: VMX: Set failure code in prepare_vmcs02() (bsc#1012628).
- mctp: Don't let RTM_DELROUTE delete local routes (bsc#1012628).
- Revert "drm/i915: Implement Wa_1508744258" (bsc#1012628).
- io-wq: don't retry task_work creation failure on fatal
conditions (bsc#1012628).
- x86/sev: Fix SEV-ES INS/OUTS instructions for word, dword,
and qword (bsc#1012628).
- x86/entry: Add a fence for kernel entry SWAPGS in
paranoid_entry() (bsc#1012628).
- x86/entry: Use the correct fence macro after swapgs in kernel
CR3 (bsc#1012628).
- x86/xen: Add xenpv_restore_regs_and_return_to_usermode()
(bsc#1012628).
- preempt/dynamic: Fix setup_preempt_mode() return value
(bsc#1012628).
- sched/uclamp: Fix rq->uclamp_max not set on first enqueue
(bsc#1012628).
- KVM: SEV: Return appropriate error codes if SEV-ES scratch
setup fails (bsc#1012628).
- KVM: x86/mmu: Rename slot_handle_leaf to slot_handle_level_4k
(bsc#1012628).
- KVM: x86/mmu: Remove spurious TLB flushes in TDP MMU zap
collapsible path (bsc#1012628).
- net/mlx5e: Rename lro_timeout to packet_merge_timeout
(bsc#1012628).
- net/mlx5e: Rename TIR lro functions to TIR packet merge
functions (bsc#1012628).
- net/mlx5e: Sync TIR params updates against concurrent
create/modify (bsc#1012628).
- serial: 8250_bcm7271: UART errors after resuming from S2
(bsc#1012628).
- parisc: Fix KBUILD_IMAGE for self-extracting kernel
(bsc#1012628).
- parisc: Fix "make install" on newer debian releases
(bsc#1012628).
- parisc: Mark cr16 CPU clocksource unstable on all SMP machines
(bsc#1012628).
- vgacon: Propagate console boot parameters before calling
`vc_resize' (bsc#1012628).
- USB: NO_LPM quirk Lenovo Powered USB-C Travel Hub (bsc#1012628).
- usb: typec: tcpm: Wait in SNK_DEBOUNCED until disconnect
(bsc#1012628).
- usb: cdns3: gadget: fix new urb never complete if ep cancel
previous requests (bsc#1012628).
- usb: cdnsp: Fix a NULL pointer dereference in
cdnsp_endpoint_init() (bsc#1012628).
- x86/tsc: Add a timer to make sure TSC_adjust is always checked
(bsc#1012628).
- x86/tsc: Disable clocksource watchdog for TSC on qualified
platorms (bsc#1012628).
- x86/64/mm: Map all kernel memory into trampoline_pgd
(bsc#1012628).
- tty: serial: msm_serial: Deactivate RX DMA for polling support
(bsc#1012628).
- serial: pl011: Add ACPI SBSA UART match id (bsc#1012628).
- serial: tegra: Change lower tolerance baud rate limit for
tegra20 and tegra30 (bsc#1012628).
- serial: core: fix transmit-buffer reset and memleak
(bsc#1012628).
- serial: 8250_pci: Fix ACCES entries in pci_serial_quirks array
(bsc#1012628).
- serial: 8250_pci: rewrite pericom_do_set_divisor()
(bsc#1012628).
- serial: 8250: Fix RTS modem control while in rs485 mode
(bsc#1012628).
- serial: liteuart: Fix NULL pointer dereference in ->remove()
(bsc#1012628).
- serial: liteuart: fix use-after-free and memleak on unbind
(bsc#1012628).
- serial: liteuart: fix minor-number leak on probe errors
(bsc#1012628).
- ipmi: msghandler: Make symbol 'remove_work_wq' static
(bsc#1012628).
- Refresh patches.suse/suse-hv-guest-os-id.patch.
- commit b92986a
-------------------------------------------------------------------
Fri Dec 3 12:32:42 CET 2021 - tiwai@suse.de
- xhci: Fix commad ring abort, write all 64 bits to CRCR register
(bsc#1192569).
- commit 0f8ae34
-------------------------------------------------------------------
Thu Dec 2 17:25:25 CET 2021 - tiwai@suse.de
- Bluetooth: Apply initial command workaround for more Intel chips
(bsc#83f2dafe2a62).
- commit dc51f96
-------------------------------------------------------------------
Thu Dec 2 07:24:06 CET 2021 - jslaby@suse.cz
- rpm/kernel-binary.spec.in: don't strip vmlinux again (bsc#1193306)
After usrmerge, vmlinux file is not named vmlinux-<version>, but simply
vmlinux. And this is not reflected in STRIP_KEEP_SYMTAB we set.
So fix this by removing the dash...
- commit 83af88d
-------------------------------------------------------------------
Wed Dec 1 09:28:33 CET 2021 - jslaby@suse.cz
- Linux 5.15.6 (bsc#1012628).
- drm/amdgpu/gfx9: switch to golden tsc registers for renoir+
(bsc#1012628).
- drm/amdgpu/gfx10: add wraparound gpu counter check for APUs
as well (bsc#1012628).
- block: avoid to quiesce queue in elevator_init_mq (bsc#1012628).
- blk-mq: cancel blk-mq dispatch work in both blk_cleanup_queue
and disk_release() (bsc#1012628).
- docs: accounting: update delay-accounting.rst reference
(bsc#1012628).
- firmware: arm_scmi: Fix type error in sensor protocol
(bsc#1012628).
- firmware: arm_scmi: Fix type error assignment in voltage
protocol (bsc#1012628).
- io_uring: fix soft lockup when call __io_remove_buffers
(bsc#1012628).
- cifs: nosharesock should be set on new server (bsc#1012628).
- tracing: Check pid filtering when creating events (bsc#1012628).
- ksmbd: Fix an error handling path in 'smb2_sess_setup()'
(bsc#1012628).
- vhost/vsock: fix incorrect used length reported to the guest
(bsc#1012628).
- vdpa_sim: avoid putting an uninitialized iova_domain
(bsc#1012628).
- iommu/amd: Clarify AMD IOMMUv2 initialization messages
(bsc#1012628).
- ceph: properly handle statfs on multifs setups (bsc#1012628).
- cifs: nosharesock should not share socket with future sessions
(bsc#1012628).
- riscv: dts: microchip: drop duplicated MMC/SDHC node
(bsc#1012628).
- riscv: dts: microchip: fix board compatible (bsc#1012628).
- f2fs: set SBI_NEED_FSCK flag when inconsistent node block found
(bsc#1012628).
- f2fs: quota: fix potential deadlock (bsc#1012628).
- iommu/vt-d: Fix unmap_pages support (bsc#1012628).
- iommu/rockchip: Fix PAGE_DESC_HI_MASKs for RK3568 (bsc#1012628).
- sched/scs: Reset task stack state in bringup_cpu()
(bsc#1012628).
- perf: Ignore sigtrap for tracepoints destined for other tasks
(bsc#1012628).
- locking/rwsem: Make handoff bit handling more consistent
(bsc#1012628).
- net: mscc: ocelot: correctly report the timestamping RX filters
in ethtool (bsc#1012628).
- net: mscc: ocelot: don't downgrade timestamping RX filters in
SIOCSHWTSTAMP (bsc#1012628).
- net: hns3: fix incorrect components info of ethtool --reset
command (bsc#1012628).
- net: hns3: fix VF RSS failed problem after PF enable multi-TCs
(bsc#1012628).
- net/smc: Don't call clcsock shutdown twice when smc shutdown
(bsc#1012628).
- net: vlan: fix underflow for the real_dev refcnt (bsc#1012628).
- ethtool: ioctl: fix potential NULL deref in
ethtool_set_coalesce() (bsc#1012628).
- net/sched: sch_ets: don't peek at classes beyond 'nbands'
(bsc#1012628).
- net: stmmac: Disable Tx queues when reconfiguring the interface
(bsc#1012628).
- tls: fix replacing proto_ops (bsc#1012628).
- tls: splice_read: fix accessing pre-processed records
(bsc#1012628).
- tls: splice_read: fix record type check (bsc#1012628).
- MIPS: use 3-level pgtable for 64KB page size on MIPS_VA_BITS_48
(bsc#1012628).
- MIPS: loongson64: fix FTLB configuration (bsc#1012628).
- igb: fix netpoll exit with traffic (bsc#1012628).
- nvmet: use IOCB_NOWAIT only if the filesystem supports it
(bsc#1012628).
- net/smc: Fix loop in smc_listen (bsc#1012628).
- net/smc: Fix NULL pointer dereferencing in smc_vlan_by_tcpsk()
(bsc#1012628).
- net: phylink: Force retrigger in case of latched link-fail
indicator (bsc#1012628).
- net: phylink: Force link down and retrigger resolve on interface
change (bsc#1012628).
- lan743x: fix deadlock in lan743x_phy_link_status_change()
(bsc#1012628).
- tcp_cubic: fix spurious Hystart ACK train detections for
not-cwnd-limited flows (bsc#1012628).
- drm/amd/display: Set plane update flags for all planes in reset
(bsc#1012628).
- drm/amd/display: Fix DPIA outbox timeout after GPU reset
(bsc#1012628).
- PM: hibernate: use correct mode for swsusp_close()
(bsc#1012628).
- net/ncsi : Add payload to be 32-bit aligned to fix dropped
packets (bsc#1012628).
- arm64: uaccess: avoid blocking within critical sections
(bsc#1012628).
- drm/hyperv: Fix device removal on Gen1 VMs (bsc#1012628).
- nvmet-tcp: fix incomplete data digest send (bsc#1012628).
- cpufreq: intel_pstate: Add Ice Lake server to out-of-band IDs
(bsc#1012628).
- net: marvell: mvpp2: increase MTU limit when XDP enabled
(bsc#1012628).
- net: ipa: kill ipa_cmd_pipeline_clear() (bsc#1012628).
- net: ipa: separate disabling setup from modem stop
(bsc#1012628).
- net: ipa: directly disable ipa-setup-ready interrupt
(bsc#1012628).
- mlxsw: spectrum: Protect driver from buggy firmware
(bsc#1012628).
- net/smc: Ensure the active closing peer first closes clcsock
(bsc#1012628).
- i2c: virtio: disable timeout handling (bsc#1012628).
- erofs: fix deadlock when shrink erofs slab (bsc#1012628).
- scsi: scsi_debug: Zero clear zones at reset write pointer
(bsc#1012628).
- scsi: core: sysfs: Fix setting device state to SDEV_RUNNING
(bsc#1012628).
- ice: avoid bpf_prog refcount underflow (bsc#1012628).
- ice: fix vsi->txq_map sizing (bsc#1012628).
- net: nexthop: release IPv6 per-cpu dsts when replacing a
nexthop group (bsc#1012628).
- net: ipv6: add fib6_nh_release_dsts stub (bsc#1012628).
- net: stmmac: retain PTP clock time during SIOCSHWTSTAMP ioctls
(bsc#1012628).
- nfp: checking parameter process for rx-usecs/tx-usecs is invalid
(bsc#1012628).
- ipv6: fix typos in __ip6_finish_output() (bsc#1012628).
- firmware: smccc: Fix check for ARCH_SOC_ID not implemented
(bsc#1012628).
- af_unix: fix regression in read after shutdown (bsc#1012628).
- mptcp: use delegate action to schedule 3rd ack retrans
(bsc#1012628).
- mptcp: fix delack timer (bsc#1012628).
- ALSA: intel-dsp-config: add quirk for JSL devices based on
ES8336 codec (bsc#1012628).
- xen/pvh: add missing prototype to header (bsc#1012628).
- x86/pvh: add prototype for xen_pvh_init() (bsc#1012628).
- iavf: Fix VLAN feature flags after VFR (bsc#1012628).
- iavf: Fix refreshing iavf adapter stats on ethtool request
(bsc#1012628).
- iavf: Prevent changing static ITR values if adaptive moderation
is on (bsc#1012628).
- HID: magicmouse: prevent division by 0 on scroll (bsc#1012628).
- HID: input: set usage type to key on keycode remap
(bsc#1012628).
- HID: input: Fix parsing of HID_CP_CONSUMER_CONTROL fields
(bsc#1012628).
- net: marvell: prestera: fix double free issue on err path
(bsc#1012628).
- net: marvell: prestera: fix brige port operation (bsc#1012628).
- drm/aspeed: Fix vga_pw sysfs output (bsc#1012628).
- drm/vc4: fix error code in vc4_create_object() (bsc#1012628).
- scsi: mpt3sas: Fix incorrect system timestamp (bsc#1012628).
- scsi: mpt3sas: Fix system going into read-only mode
(bsc#1012628).
- scsi: mpt3sas: Fix kernel panic during drive powercycle test
(bsc#1012628).
- scsi: qla2xxx: edif: Fix off by one bug in
qla_edif_app_getfcinfo() (bsc#1012628).
- drm/nouveau/acr: fix a couple NULL vs IS_ERR() checks
(bsc#1012628).
- ARM: socfpga: Fix crash with CONFIG_FORTIRY_SOURCE
(bsc#1012628).
- NFSv42: Don't fail clone() unless the OP_CLONE operation failed
(bsc#1012628).
- ASoC: stm32: i2s: fix 32 bits channel length without mclk
(bsc#1012628).
- firmware: arm_scmi: pm: Propagate return value to caller
(bsc#1012628).
- firmware: arm_scmi: Fix base agent discover response
(bsc#1012628).
- net: ieee802154: handle iftypes as u32 (bsc#1012628).
- ASoC: codecs: lpass-rx-macro: fix HPHR setting CLSH mask
(bsc#1012628).
- ASoC: codecs: wcd934x: return error code correctly from
hw_params (bsc#1012628).
- ASoC: codecs: wcd938x: fix volatile register range
(bsc#1012628).
- ASoC: topology: Add missing rwsem around snd_ctl_remove()
calls (bsc#1012628).
- ASoC: qdsp6: q6asm: fix q6asm_dai_prepare error handling
(bsc#1012628).
- ASoC: qdsp6: q6routing: Conditionally reset FrontEnd Mixer
(bsc#1012628).
- ARM: dts: bcm2711: Fix PCIe interrupts (bsc#1012628).
- ARM: dts: BCM5301X: Add interrupt properties to GPIO node
(bsc#1012628).
- ARM: dts: BCM5301X: Fix I2C controller interrupt (bsc#1012628).
- firmware: arm_scmi: Fix null de-reference on error path
(bsc#1012628).
- media: v4l2-core: fix VIDIOC_DQEVENT handling on non-x86
(bsc#1012628).
- netfilter: flowtable: fix IPv6 tunnel addr match (bsc#1012628).
- netfilter: ipvs: Fix reuse connection if RS weight is 0
(bsc#1012628).
- netfilter: ctnetlink: do not erase error code with EINVAL
(bsc#1012628).
- netfilter: ctnetlink: fix filtering with CTA_TUPLE_REPLY
(bsc#1012628).
- ASoC: SOF: Intel: hda: fix hotplug when only codec is suspended
(bsc#1012628).
- proc/vmcore: fix clearing user buffer by properly using
clear_user() (bsc#1012628).
- drm/amd/display: Fix OLED brightness control on eDP
(bsc#1012628).
- PCI: aardvark: Fix link training (bsc#1012628).
- PCI: aardvark: Simplify initialization of rootcap on virtual
bridge (bsc#1012628).
- PCI: aardvark: Implement re-issuing config requests on CRS
response (bsc#1012628).
- PCI: aardvark: Deduplicate code in advk_pcie_rd_conf()
(bsc#1012628).
- NFSv42: Fix pagecache invalidation after COPY/CLONE
(bsc#1012628).
- iomap: Fix inline extent handling in iomap_readpage
(bsc#1012628).
- powerpc/32: Fix hardlockup on vmap stack overflow (bsc#1012628).
- cpufreq: intel_pstate: Fix active mode offline/online EPP
handling (bsc#1012628).
- arm64: mm: Fix VM_BUG_ON(mm != &init_mm) for trans_pgd
(bsc#1012628).
- mdio: aspeed: Fix "Link is Down" issue (bsc#1012628).
- mmc: sdhci: Fix ADMA for PAGE_SIZE >= 64KiB (bsc#1012628).
- mmc: sdhci-esdhc-imx: disable CMDQ support (bsc#1012628).
- tracing: Fix pid filtering when triggers are attached
(bsc#1012628).
- tracing/uprobe: Fix uprobe_perf_open probes iteration
(bsc#1012628).
- KVM: PPC: Book3S HV: Prevent POWER7/8 TLB flush flushing SLB
(bsc#1012628).
- ksmbd: fix memleak in get_file_stream_info() (bsc#1012628).
- ksmbd: contain default data stream even if xattr is empty
(bsc#1012628).
- ksmbd: downgrade addition info error msg to debug in
smb2_get_info_sec() (bsc#1012628).
- drm/nouveau: recognise GA106 (bsc#1012628).
- drm/amdgpu/pm: fix powerplay OD interface (bsc#1012628).
- drm/amdgpu: IH process reset count when restart (bsc#1012628).
- io_uring: fix link traversal locking (bsc#1012628).
- io_uring: fail cancellation for EXITING tasks (bsc#1012628).
- io_uring: correct link-list traversal locking (bsc#1012628).
- xen: detect uninitialized xenbus in xenbus_init (bsc#1012628).
- xen: don't continue xenstore initialization in case of errors
(bsc#1012628).
- fuse: release pipe buf after last use (bsc#1012628).
- staging: r8188eu: fix a memory leak in rtw_wx_read32()
(bsc#1012628).
- staging: r8188eu: use GFP_ATOMIC under spinlock (bsc#1012628).
- staging: r8188eu: Fix breakage introduced when 5G code was
removed (bsc#1012628).
- staging: r8188eu: Use kzalloc() with GFP_ATOMIC in atomic
context (bsc#1012628).
- staging: rtl8192e: Fix use after free in
_rtl92e_pci_disconnect() (bsc#1012628).
- staging: greybus: Add missing rwsem around snd_ctl_remove()
calls (bsc#1012628).
- staging/fbtft: Fix backlight (bsc#1012628).
- HID: wacom: Use "Confidence" flag to prevent reporting invalid
contacts (bsc#1012628).
- Revert "parisc: Fix backtrace to always include init funtion
names" (bsc#1012628).
- media: cec: copy sequence field for the reply (bsc#1012628).
- ALSA: hda/realtek: Fix LED on HP ProBook 435 G7 (bsc#1012628).
- ALSA: hda/realtek: Add quirk for ASRock NUC Box 1100
(bsc#1012628).
- ALSA: ctxfi: Fix out-of-range access (bsc#1012628).
- binder: fix test regression due to sender_euid change
(bsc#1012628).
- usb: hub: Fix locking issues with address0_mutex (bsc#1012628).
- usb: hub: Fix usb enumeration issue due to address0 race
(bsc#1012628).
- usb: xhci: tegra: Check padctrl interrupt presence in device
tree (bsc#1012628).
- usb: typec: fusb302: Fix masking of comparator and bc_lvl
interrupts (bsc#1012628).
- usb: chipidea: ci_hdrc_imx: fix potential error pointer
dereference in probe (bsc#1012628).
- net: nexthop: fix null pointer dereference when IPv6 is not
enabled (bsc#1012628).
- net: usb: Correct PHY handling of smsc95xx (bsc#1012628).
- usb: dwc3: gadget: Fix null pointer exception (bsc#1012628).
- usb: dwc3: gadget: Check for L1/L2/U3 for Start Transfer
(bsc#1012628).
- usb: dwc3: gadget: Ignore NoStream after End Transfer
(bsc#1012628).
- usb: dwc3: core: Revise GHWPARAMS9 offset (bsc#1012628).
- usb: dwc3: leave default DMA for PCI devices (bsc#1012628).
- usb: dwc2: hcd_queue: Fix use of floating point literal
(bsc#1012628).
- usb: dwc2: gadget: Fix ISOC flow for elapsed frames
(bsc#1012628).
- USB: serial: option: add Fibocom FM101-GL variants
(bsc#1012628).
- USB: serial: option: add Telit LE910S1 0x9200 composition
(bsc#1012628).
- USB: serial: pl2303: fix GC type detection (bsc#1012628).
- ACPI: CPPC: Add NULL pointer check to cppc_get_perf()
(bsc#1012628).
- ACPI: Get acpi_device's parent from the parent field
(bsc#1012628).
- scsi: sd: Fix sd_do_mode_sense() buffer length handling
(bsc#1012628).
- commit 30c5f4d
-------------------------------------------------------------------
Wed Dec 1 09:25:41 CET 2021 - tiwai@suse.de
- mwifiex: Fix skb_over_panic in mwifiex_usb_recv()
(CVE-2021-43976 bsc#1192847).
- commit c216596
-------------------------------------------------------------------
Fri Nov 26 15:48:59 CET 2021 - msuchanek@suse.de
- constraints: Build aarch64 on recent ARMv8.1 builders.
Request asimdrdm feature which is available only on recent ARMv8.1 CPUs.
This should prevent scheduling the kernel on an older slower builder.
- commit 60fc53f
-------------------------------------------------------------------
Thu Nov 25 10:33:37 CET 2021 - jslaby@suse.cz
- Linux 5.15.5 (bsc#1012628).
- arm64: zynqmp: Do not duplicate flash partition label property
(bsc#1012628).
- arm64: zynqmp: Fix serial compatible string (bsc#1012628).
- clk: sunxi-ng: Unregister clocks/resets when unbinding
(bsc#1012628).
- ARM: dts: sunxi: Fix OPPs node name (bsc#1012628).
- arm64: dts: allwinner: h5: Fix GPU thermal zone node name
(bsc#1012628).
- arm64: dts: allwinner: a100: Fix thermal zone node name
(bsc#1012628).
- staging: wfx: ensure IRQ is ready before enabling it
(bsc#1012628).
- ARM: dts: BCM5301X: Fix nodes names (bsc#1012628).
- ARM: dts: BCM5301X: Fix MDIO mux binding (bsc#1012628).
- ARM: dts: NSP: Fix mpcore, mmc node names (bsc#1012628).
- arm64: dts: broadcom: bcm4908: Move reboot syscon out of bus
(bsc#1012628).
- scsi: pm80xx: Fix memory leak during rmmod (bsc#1012628).
- scsi: lpfc: Fix list_add() corruption in lpfc_drain_txq()
(bsc#1012628).
- ASoC: mediatek: mt8195: Add missing of_node_put() (bsc#1012628).
- arm64: dts: hisilicon: fix arm,sp805 compatible string
(bsc#1012628).
- RDMA/bnxt_re: Check if the vlan is valid before reporting
(bsc#1012628).
- bus: ti-sysc: Add quirk handling for reinit on context lost
(bsc#1012628).
- bus: ti-sysc: Use context lost quirk for otg (bsc#1012628).
- usb: musb: tusb6010: check return value after calling
platform_get_resource() (bsc#1012628).
- usb: typec: tipd: Remove WARN_ON in tps6598x_block_read
(bsc#1012628).
- ARM: dts: ux500: Skomer regulator fixes (bsc#1012628).
- staging: rtl8723bs: remove possible deadlock when disconnect
(v2) (bsc#1012628).
- staging: rtl8723bs: remove a second possible deadlock
(bsc#1012628).
- staging: rtl8723bs: remove a third possible deadlock
(bsc#1012628).
- ARM: BCM53016: Specify switch ports for Meraki MR32
(bsc#1012628).
- arm64: dts: qcom: msm8998: Fix CPU/L2 idle state latency and
residency (bsc#1012628).
- arm64: dts: qcom: ipq6018: Fix qcom,controlled-remotely property
(bsc#1012628).
- arm64: dts: qcom: ipq8074: Fix qcom,controlled-remotely property
(bsc#1012628).
- arm64: dts: qcom: sdm845: Fix qcom,controlled-remotely property
(bsc#1012628).
- arm64: dts: freescale: fix arm,sp805 compatible string
(bsc#1012628).
- arm64: dts: ls1012a: Add serial alias for ls1012a-rdb
(bsc#1012628).
- RDMA/rxe: Separate HW and SW l/rkeys (bsc#1012628).
- ASoC: SOF: Intel: hda-dai: fix potential locking issue
(bsc#1012628).
- scsi: core: Fix scsi_mode_sense() buffer length handling
(bsc#1012628).
- ALSA: usb-audio: disable implicit feedback sync for Behringer
UFX1204 and UFX1604 (bsc#1012628).
- clk: imx: imx6ul: Move csi_sel mux to correct base register
(bsc#1012628).
- ASoC: es8316: Use IRQF_NO_AUTOEN when requesting the IRQ
(bsc#1012628).
- ASoC: rt5651: Use IRQF_NO_AUTOEN when requesting the IRQ
(bsc#1012628).
- ASoC: nau8824: Add DMI quirk mechanism for active-high
jack-detect (bsc#1012628).
- scsi: advansys: Fix kernel pointer leak (bsc#1012628).
- scsi: smartpqi: Add controller handshake during kdump
(bsc#1012628).
- arm64: dts: imx8mm-kontron: Fix reset delays for ethernet PHY
(bsc#1012628).
- ALSA: intel-dsp-config: add quirk for APL/GLK/TGL devices
based on ES8336 codec (bsc#1012628).
- ASoC: Intel: soc-acpi: add missing quirk for TGL SDCA single
amp (bsc#1012628).
- ASoC: Intel: sof_sdw: add missing quirk for Dell SKU 0A45
(bsc#1012628).
- firmware_loader: fix pre-allocated buf built-in firmware use
(bsc#1012628).
- HID: multitouch: disable sticky fingers for UPERFECT Y
(bsc#1012628).
- ALSA: usb-audio: Add support for the Pioneer DJM 750MK2
Mixer/Soundcard (bsc#1012628).
- ARM: dts: omap: fix gpmc,mux-add-data type (bsc#1012628).
- usb: host: ohci-tmio: check return value after calling
platform_get_resource() (bsc#1012628).
- ASoC: rt5682: fix a little pop while playback (bsc#1012628).
- ARM: dts: ls1021a: move thermal-zones node out of soc/
(bsc#1012628).
- ARM: dts: ls1021a-tsn: use generic "jedec,spi-nor" compatible
for flash (bsc#1012628).
- ALSA: ISA: not for M68K (bsc#1012628).
- iommu/vt-d: Do not falsely log intel_iommu is unsupported
kernel option (bsc#1012628).
- tty: tty_buffer: Fix the softlockup issue in flush_to_ldisc
(bsc#1012628).
- MIPS: sni: Fix the build (bsc#1012628).
- scsi: scsi_debug: Fix out-of-bound read in resp_readcap16()
(bsc#1012628).
- scsi: scsi_debug: Fix out-of-bound read in resp_report_tgtpgs()
(bsc#1012628).
- scsi: target: Fix ordered tag handling (bsc#1012628).
- scsi: target: Fix alua_tg_pt_gps_count tracking (bsc#1012628).
- iio: imu: st_lsm6dsx: Avoid potential array overflow in
st_lsm6dsx_set_odr() (bsc#1012628).
- RDMA/core: Use kvzalloc when allocating the struct ib_port
(bsc#1012628).
- scsi: lpfc: Fix use-after-free in lpfc_unreg_rpi() routine
(bsc#1012628).
- scsi: lpfc: Fix link down processing to address NULL pointer
dereference (bsc#1012628).
- scsi: lpfc: Allow fabric node recovery if recovery is in
progress before devloss (bsc#1012628).
- memory: tegra20-emc: Add runtime dependency on devfreq governor
module (bsc#1012628).
- powerpc/5200: dts: fix memory node unit name (bsc#1012628).
- ARM: dts: qcom: fix memory and mdio nodes naming for RB3011
(bsc#1012628).
- arm64: dts: qcom: Fix node name of rpm-msg-ram device nodes
(bsc#1012628).
- ALSA: gus: fix null pointer dereference on pointer block
(bsc#1012628).
- ALSA: usb-audio: fix null pointer dereference on pointer cs_desc
(bsc#1012628).
- clk: at91: sama7g5: remove prescaler part of master clock
(bsc#1012628).
- iommu/dart: Initialize DART_STREAMS_ENABLE (bsc#1012628).
- powerpc/dcr: Use cmplwi instead of 3-argument cmpli
(bsc#1012628).
- powerpc/8xx: Fix Oops with STRICT_KERNEL_RWX without
DEBUG_RODATA_TEST (bsc#1012628).
- sh: check return code of request_irq (bsc#1012628).
- maple: fix wrong return value of maple_bus_init() (bsc#1012628).
- f2fs: fix up f2fs_lookup tracepoints (bsc#1012628).
- f2fs: fix to use WHINT_MODE (bsc#1012628).
- f2fs: fix wrong condition to trigger background checkpoint
correctly (bsc#1012628).
- sh: fix kconfig unmet dependency warning for FRAME_POINTER
(bsc#1012628).
- sh: math-emu: drop unused functions (bsc#1012628).
- sh: define __BIG_ENDIAN for math-emu (bsc#1012628).
- f2fs: compress: disallow disabling compress on non-empty
compressed file (bsc#1012628).
- f2fs: fix incorrect return value in f2fs_sanity_check_ckpt()
(bsc#1012628).
- clk: ingenic: Fix bugs with divided dividers (bsc#1012628).
- clk/ast2600: Fix soc revision for AHB (bsc#1012628).
- clk: qcom: gcc-msm8996: Drop (again) gcc_aggre1_pnoc_ahb_clk
(bsc#1012628).
- KVM: arm64: Fix host stage-2 finalization (bsc#1012628).
- mips: BCM63XX: ensure that CPU_SUPPORTS_32BIT_KERNEL is set
(bsc#1012628).
- MIPS: boot/compressed/: add __bswapdi2() to target for ZSTD
decompression (bsc#1012628).
- sched/core: Mitigate race
cpus_share_cache()/update_top_cache_domain() (bsc#1012628).
- sched/fair: Prevent dead task groups from regaining cfs_rq's
(bsc#1012628).
- perf/x86/vlbr: Add c->flags to vlbr event constraints
(bsc#1012628).
- blkcg: Remove extra blkcg_bio_issue_init (bsc#1012628).
- tracing/histogram: Do not copy the fixed-size char array field
over the field size (bsc#1012628).
- perf bpf: Avoid memory leak from perf_env__insert_btf()
(bsc#1012628).
- perf bench futex: Fix memory leak of perf_cpu_map__new()
(bsc#1012628).
- perf tests: Remove bash construct from
record+zstd_comp_decomp.sh (bsc#1012628).
- drm/nouveau: hdmigv100.c: fix corrupted HDMI Vendor InfoFrame
(bsc#1012628).
- bpf: Fix inner map state pruning regression (bsc#1012628).
- samples/bpf: Fix summary per-sec stats in xdp_sample_user
(bsc#1012628).
- net/ipa: ipa_resource: Fix wrong for loop range (bsc#1012628).
- tcp: Fix uninitialized access in skb frags array for Rx 0cp
(bsc#1012628).
- tracing: Add length protection to histogram string copies
(bsc#1012628).
- nl80211: fix radio statistics in survey dump (bsc#1012628).
- mac80211: fix monitor_sdata RCU/locking assertions
(bsc#1012628).
- net: ipa: HOLB register sometimes must be written twice
(bsc#1012628).
- net: ipa: disable HOLB drop when updating timer (bsc#1012628).
- selftests: gpio: fix gpio compiling error (bsc#1012628).
- net: bnx2x: fix variable dereferenced before check
(bsc#1012628).
- bnxt_en: reject indirect blk offload when hw-tc-offload is off
(bsc#1012628).
- tipc: only accept encrypted MSG_CRYPTO msgs (bsc#1012628).
- sock: fix /proc/net/sockstat underflow in sk_clone_lock()
(bsc#1012628).
- net/smc: Make sure the link_id is unique (bsc#1012628).
- NFSD: Fix exposure in nfsd4_decode_bitmap() (bsc#1012628).
- iavf: Fix return of set the new channel count (bsc#1012628).
- iavf: check for null in iavf_fix_features (bsc#1012628).
- iavf: free q_vectors before queues in iavf_disable_vf
(bsc#1012628).
- iavf: don't clear a lock we don't hold (bsc#1012628).
- iavf: Fix failure to exit out from last all-multicast mode
(bsc#1012628).
- iavf: prevent accidental free of filter structure (bsc#1012628).
- iavf: validate pointers (bsc#1012628).
- iavf: Fix for the false positive ASQ/ARQ errors while issuing
VF reset (bsc#1012628).
- iavf: Fix for setting queues to 0 (bsc#1012628).
- iavf: Restore VLAN filters after link down (bsc#1012628).
- bpf: Fix toctou on read-only map's constant scalar tracking
(bsc#1012628).
- MIPS: generic/yamon-dt: fix uninitialized variable error
(bsc#1012628).
- mips: bcm63xx: add support for clk_get_parent() (bsc#1012628).
- mips: lantiq: add support for clk_get_parent() (bsc#1012628).
- gpio: rockchip: needs GENERIC_IRQ_CHIP to fix build errors
(bsc#1012628).
- platform/x86: hp_accel: Fix an error handling path in
'lis3lv02d_probe()' (bsc#1012628).
- platform/x86: think-lmi: Abort probe on analyze failure
(bsc#1012628).
- udp: Validate checksum in udp_read_sock() (bsc#1012628).
- btrfs: make 1-bit bit-fields of scrub_page unsigned int
(bsc#1012628).
- RDMA/core: Set send and receive CQ before forwarding to the
driver (bsc#1012628).
- net/mlx5e: kTLS, Fix crash in RX resync flow (bsc#1012628).
- net/mlx5e: Wait for concurrent flow deletion during neigh/fib
events (bsc#1012628).
- net/mlx5: E-Switch, Fix resetting of encap mode when entering
switchdev (bsc#1012628).
- net/mlx5e: nullify cq->dbg pointer in mlx5_debug_cq_remove()
(bsc#1012628).
- net/mlx5: Update error handler for UCTX and UMEM (bsc#1012628).
- net/mlx5: E-Switch, rebuild lag only when needed (bsc#1012628).
- net/mlx5e: CT, Fix multiple allocations and memleak of mod acts
(bsc#1012628).
- net/mlx5: Lag, update tracker when state change event received
(bsc#1012628).
- net/mlx5: E-Switch, return error if encap isn't supported
(bsc#1012628).
- scsi: ufs: core: Improve SCSI abort handling (bsc#1012628).
- scsi: core: sysfs: Fix hang when device state is set via sysfs
(bsc#1012628).
- scsi: ufs: core: Fix task management completion timeout race
(bsc#1012628).
- scsi: ufs: core: Fix another task management completion race
(bsc#1012628).
- net: mvmdio: fix compilation warning (bsc#1012628).
- net: sched: act_mirred: drop dst for the direction from egress
to ingress (bsc#1012628).
- net: dpaa2-eth: fix use-after-free in dpaa2_eth_remove
(bsc#1012628).
- net: virtio_net_hdr_to_skb: count transport header in UFO
(bsc#1012628).
- i40e: Fix correct max_pkt_size on VF RX queue (bsc#1012628).
- i40e: Fix NULL ptr dereference on VSI filter sync (bsc#1012628).
- i40e: Fix changing previously set num_queue_pairs for PFs
(bsc#1012628).
- i40e: Fix ping is lost after configuring ADq on VF
(bsc#1012628).
- RDMA/mlx4: Do not fail the registration on port stats
(bsc#1012628).
- i40e: Fix warning message and call stack during rmmod i40e
driver (bsc#1012628).
- i40e: Fix creation of first queue by omitting it if is not
power of two (bsc#1012628).
- i40e: Fix display error code in dmesg (bsc#1012628).
- NFC: reorganize the functions in nci_request (bsc#1012628).
- NFC: reorder the logic in nfc_{un,}register_device
(bsc#1012628).
- NFC: add NCI_UNREG flag to eliminate the race (bsc#1012628).
- e100: fix device suspend/resume (bsc#1012628).
- ptp: ocp: Fix a couple NULL vs IS_ERR() checks (bsc#1012628).
- tools build: Fix removal of feature-sync-compare-and-swap
feature detection (bsc#1012628).
- riscv: fix building external modules (bsc#1012628).
- KVM: PPC: Book3S HV: Use GLOBAL_TOC for
kvmppc_h_set_dabr/xdabr() (bsc#1012628).
- powerpc: clean vdso32 and vdso64 directories (bsc#1012628).
- powerpc/pseries: rename numa_dist_table to form2_distances
(bsc#1012628).
- powerpc/pseries: Fix numa FORM2 parsing fallback code
(bsc#1012628).
- pinctrl: qcom: sdm845: Enable dual edge errata (bsc#1012628).
- pinctrl: qcom: sm8350: Correct UFS and SDC offsets
(bsc#1012628).
- perf/x86/intel/uncore: Fix filter_tid mask for CHA events on
Skylake Server (bsc#1012628).
- perf/x86/intel/uncore: Fix IIO event constraints for Skylake
Server (bsc#1012628).
- perf/x86/intel/uncore: Fix IIO event constraints for Snowridge
(bsc#1012628).
- s390/kexec: fix return code handling (bsc#1012628).
- blk-cgroup: fix missing put device in error path from
blkg_conf_pref() (bsc#1012628).
- dmaengine: remove debugfs #ifdef (bsc#1012628).
- tun: fix bonding active backup with arp monitoring
(bsc#1012628).
- Revert "mark pstore-blk as broken" (bsc#1012628).
- pstore/blk: Use "%lu" to format unsigned long (bsc#1012628).
- hexagon: export raw I/O routines for modules (bsc#1012628).
- hexagon: clean up timer-regs.h (bsc#1012628).
- tipc: check for null after calling kmemdup (bsc#1012628).
- ipc: WARN if trying to remove ipc object which is absent
(bsc#1012628).
- shm: extend forced shm destroy to support objects from several
IPC nses (bsc#1012628).
- mm: kmemleak: slob: respect SLAB_NOLEAKTRACE flag (bsc#1012628).
- hugetlb, userfaultfd: fix reservation restore on userfaultfd
error (bsc#1012628).
- kmap_local: don't assume kmap PTEs are linear arrays in memory
(bsc#1012628).
- mm/damon/dbgfs: use '__GFP_NOWARN' for user-specified size
buffer allocation (bsc#1012628).
- mm/damon/dbgfs: fix missed use of damon_dbgfs_lock
(bsc#1012628).
- x86/boot: Pull up cmdline preparation and early param parsing
(bsc#1012628).
- x86/sgx: Fix free page accounting (bsc#1012628).
- x86/hyperv: Fix NULL deref in set_hv_tscchange_cb() if Hyper-V
setup fails (bsc#1012628).
- KVM: x86: Assume a 64-bit hypercall for guests with protected
state (bsc#1012628).
- KVM: x86: Fix uninitialized eoi_exit_bitmap usage in
vcpu_load_eoi_exitmap() (bsc#1012628).
- KVM: x86/mmu: include EFER.LMA in extended mmu role
(bsc#1012628).
- KVM: x86/xen: Fix get_attr of KVM_XEN_ATTR_TYPE_SHARED_INFO
(bsc#1012628).
- powerpc/signal32: Fix sigset_t copy (bsc#1012628).
- powerpc/xive: Change IRQ domain to a tree domain (bsc#1012628).
- powerpc/8xx: Fix pinned TLBs with CONFIG_STRICT_KERNEL_RWX
(bsc#1012628).
- Revert "drm/i915/tgl/dsi: Gate the ddi clocks after pll mapping"
(bsc#1012628).
- Revert "parisc: Reduce sigreturn trampoline to 3 instructions"
(bsc#1012628).
- ata: libata: improve ata_read_log_page() error message
(bsc#1012628).
- ata: libata: add missing ata_identify_page_supported() calls
(bsc#1012628).
- scsi: qla2xxx: Fix mailbox direction flags in
qla2xxx_get_adapter_id() (bsc#1012628).
- pinctrl: ralink: include 'ralink_regs.h' in 'pinctrl-mt7620.c'
(bsc#1012628).
- s390/setup: avoid reserving memory above identity mapping
(bsc#1012628).
- s390/boot: simplify and fix kernel memory layout setup
(bsc#1012628).
- s390/vdso: filter out -mstack-guard and -mstack-size
(bsc#1012628).
- s390/kexec: fix memory leak of ipl report buffer (bsc#1012628).
- s390/dump: fix copying to user-space of swapped kdump oldmem
(bsc#1012628).
- block: Check ADMIN before NICE for IOPRIO_CLASS_RT
(bsc#1012628).
- fbdev: Prevent probing generic drivers if a FB is already
registered (bsc#1012628).
- KVM: SEV: Disallow COPY_ENC_CONTEXT_FROM if target has created
vCPUs (bsc#1012628).
- KVM: nVMX: don't use vcpu->arch.efer when checking host state
on nested state load (bsc#1012628).
- drm/cma-helper: Release non-coherent memory with
dma_free_noncoherent() (bsc#1012628).
- printk: restore flushing of NMI buffers on remote CPUs after
NMI backtraces (bsc#1012628).
- udf: Fix crash after seekdir (bsc#1012628).
- spi: fix use-after-free of the add_lock mutex (bsc#1012628).
- net: stmmac: socfpga: add runtime suspend/resume callback for
stratix10 platform (bsc#1012628).
- Drivers: hv: balloon: Use VMBUS_RING_SIZE() wrapper for
dm_ring_size (bsc#1012628).
- btrfs: fix memory ordering between normal and ordered work
functions (bsc#1012628).
- fs: handle circular mappings correctly (bsc#1012628).
- net: stmmac: Fix signed/unsigned wreckage (bsc#1012628).
- parisc/sticon: fix reverse colors (bsc#1012628).
- cfg80211: call cfg80211_stop_ap when switch from P2P_GO type
(bsc#1012628).
- mac80211: fix radiotap header generation (bsc#1012628).
- mac80211: drop check for DONT_REORDER in
__ieee80211_select_queue (bsc#1012628).
- drm/amd/display: Update swizzle mode enums (bsc#1012628).
- drm/amd/display: Limit max DSC target bpp for specific monitors
(bsc#1012628).
- drm/i915/guc: Fix outstanding G2H accounting (bsc#1012628).
- drm/i915/guc: Don't enable scheduling on a banned context,
guc_id invalid, not registered (bsc#1012628).
- drm/i915/guc: Workaround reset G2H is received after schedule
done G2H (bsc#1012628).
- drm/i915/guc: Don't drop ce->guc_active.lock when unwinding
context (bsc#1012628).
- drm/i915/guc: Unwind context requests in reverse order
(bsc#1012628).
- drm/udl: fix control-message timeout (bsc#1012628).
- drm/prime: Fix use after free in mmap with drm_gem_ttm_mmap
(bsc#1012628).
- drm/nouveau: Add a dedicated mutex for the clients list
(bsc#1012628).
- drm/nouveau: use drm_dev_unplug() during device removal
(bsc#1012628).
- drm/nouveau: clean up all clients on device removal
(bsc#1012628).
- drm/i915/dp: Ensure sink rate values are always valid
(bsc#1012628).
- drm/i915/dp: Ensure max link params are always valid
(bsc#1012628).
- drm/i915: Fix type1 DVI DP dual mode adapter heuristic for
modern platforms (bsc#1012628).
- drm/amdgpu: fix set scaling mode Full/Full aspect/Center not
works on vga and dvi connectors (bsc#1012628).
- drm/amd/pm: avoid duplicate powergate/ungate setting
(bsc#1012628).
- signal: Implement force_fatal_sig (bsc#1012628).
- exit/syscall_user_dispatch: Send ordinary signals on failure
(bsc#1012628).
- signal/powerpc: On swapcontext failure force SIGSEGV
(bsc#1012628).
- signal/s390: Use force_sigsegv in default_trap_handler
(bsc#1012628).
- signal/sparc32: Exit with a fatal signal when
try_to_clear_window_buffer fails (bsc#1012628).
- signal/sparc32: In setup_rt_frame and setup_fram use
force_fatal_sig (bsc#1012628).
- signal/vm86_32: Properly send SIGSEGV when the vm86 state
cannot be saved (bsc#1012628).
- signal/x86: In emulate_vsyscall force a signal instead of
calling do_exit (bsc#1012628).
- signal: Replace force_sigsegv(SIGSEGV) with
force_fatal_sig(SIGSEGV) (bsc#1012628).
- signal: Don't always set SA_IMMUTABLE for forced signals
(bsc#1012628).
- signal: Replace force_fatal_sig with force_exit_sig when in
doubt (bsc#1012628).
- hugetlbfs: flush TLBs correctly after huge_pmd_unshare
(bsc#1012628).
- RDMA/netlink: Add __maybe_unused to static inline in C file
(bsc#1012628).
- bpf: Forbid bpf_ktime_get_coarse_ns and bpf_timer_* in tracing
progs (bsc#1012628).
- selinux: fix NULL-pointer dereference when hashtab allocation
fails (bsc#1012628).
- ASoC: DAPM: Cover regression by kctl change notification fix
(bsc#1012628).
- ASoC: rsnd: fixup DMAEngine API (bsc#1012628).
- usb: max-3421: Use driver data instead of maintaining a list
of bound devices (bsc#1012628).
- ice: Fix VF true promiscuous mode (bsc#1012628).
- ice: Delete always true check of PF pointer (bsc#1012628).
- fs: export an inode_update_time helper (bsc#1012628).
- btrfs: update device path inode time instead of bd_inode
(bsc#1012628).
- net: add and use skb_unclone_keeptruesize() helper
(bsc#1012628).
- x86/Kconfig: Fix an unused variable error in dell-smm-hwmon
(bsc#1012628).
- ALSA: hda: hdac_ext_stream: fix potential locking issues
(bsc#1012628).
- ALSA: hda: hdac_stream: fix potential locking issue in
snd_hdac_stream_assign() (bsc#1012628).
- Update config files.
- commit 83fc974
-------------------------------------------------------------------
Tue Nov 23 09:10:36 CET 2021 - msuchanek@suse.de
- kernel-source.spec: install-kernel-tools also required on 15.4
- commit 6cefb55
-------------------------------------------------------------------
Mon Nov 22 06:37:17 CET 2021 - jslaby@suse.cz
- Linux 5.15.4 (bsc#1012628).
- string: uninline memcpy_and_pad (bsc#1012628).
- Revert "drm: fb_helper: improve CONFIG_FB dependency"
(bsc#1012628).
- Revert "drm: fb_helper: fix CONFIG_FB dependency" (bsc#1012628).
- btrfs: introduce btrfs_is_data_reloc_root (bsc#1012628).
- btrfs: zoned: add a dedicated data relocation block group
(bsc#1012628).
- btrfs: zoned: only allow one process to add pages to a
relocation inode (bsc#1012628).
- btrfs: zoned: use regular writes for relocation (bsc#1012628).
- btrfs: check for relocation inodes on zoned btrfs in
should_nocow (bsc#1012628).
- btrfs: zoned: allow preallocation for relocation inodes
(bsc#1012628).
- fortify: Explicitly disable Clang support (bsc#1012628).
- block: Add a helper to validate the block size (bsc#1012628).
- loop: Use blk_validate_block_size() to validate block size
(bsc#1012628).
- Bluetooth: btusb: Add support for TP-Link UB500 Adapter
(bsc#1012628).
- parisc/entry: fix trace test in syscall exit path (bsc#1012628).
- PCI/MSI: Deal with devices lying about their MSI mask capability
(bsc#1012628).
- PCI: Add MSI masking quirk for Nvidia ION AHCI (bsc#1012628).
- perf/core: Avoid put_page() when GUP fails (bsc#1012628).
- thermal: Fix NULL pointer dereferences in of_thermal_ functions
(bsc#1012628).
- Revert "ACPI: scan: Release PM resources blocked by unused
objects" (bsc#1012628).
- Update config files.
- commit f51b3ce
-------------------------------------------------------------------
Fri Nov 19 07:08:33 CET 2021 - jslaby@suse.cz
- blacklist.conf: add one arm64's w/o prerequisites
- commit 404f576
-------------------------------------------------------------------
Fri Nov 19 07:08:26 CET 2021 - jslaby@suse.cz
- samples/bpf: Fix incorrect use of strlen in xdp_redirect_cpu
(git-fixes).
- commit a48a8e6
-------------------------------------------------------------------
Fri Nov 19 07:07:48 CET 2021 - jslaby@suse.cz
- selftests: net: switch to socat in the GSO GRE test (git-fixes).
- KVM: Fix steal time asm constraints (git-fixes).
- commit 24aa787
-------------------------------------------------------------------
Thu Nov 18 20:53:01 CET 2021 - jslaby@suse.cz
- Linux 5.15.3 (bsc#1012628).
- xhci: Fix USB 3.1 enumeration issues by increasing roothub
power-on-good delay (bsc#1012628).
- usb: xhci: Enable runtime-pm by default on AMD Yellow Carp
platform (bsc#1012628).
- Input: iforce - fix control-message timeout (bsc#1012628).
- Input: elantench - fix misreporting trackpoint coordinates
(bsc#1012628).
- libata: fix read log timeout value (bsc#1012628).
- ocfs2: fix data corruption on truncate (bsc#1012628).
- scsi: scsi_ioctl: Validate command size (bsc#1012628).
- scsi: core: Avoid leaving shost->last_reset with stale value
if EH does not run (bsc#1012628).
- scsi: core: Remove command size deduction from
scsi_setup_scsi_cmnd() (bsc#1012628).
- scsi: lpfc: Don't release final kref on Fport node while ABTS
outstanding (bsc#1012628).
- scsi: lpfc: Fix FCP I/O flush functionality for TMF routines
(bsc#1012628).
- scsi: qla2xxx: Fix crash in NVMe abort path (bsc#1012628).
- scsi: qla2xxx: Fix kernel crash when accessing port_speed
sysfs file (bsc#1012628).
- scsi: qla2xxx: Fix use after free in eh_abort path
(bsc#1012628).
- ce/gf100: fix incorrect CE0 address calculation on some GPUs
(bsc#1012628).
- char: xillybus: fix msg_ep UAF in xillyusb_probe()
(bsc#1012628).
- mmc: mtk-sd: Add wait dma stop done flow (bsc#1012628).
- mmc: dw_mmc: Dont wait for DRTO on Write RSP error
(bsc#1012628).
- exfat: fix incorrect loading of i_blocks for large files
(bsc#1012628).
- io-wq: remove worker to owner tw dependency (bsc#1012628).
- parisc: Fix set_fixmap() on PA1.x CPUs (bsc#1012628).
- parisc: Fix ptrace check on syscall return (bsc#1012628).
- tpm: Check for integer overflow in tpm2_map_response_body()
(bsc#1012628).
- firmware/psci: fix application of sizeof to pointer
(bsc#1012628).
- crypto: s5p-sss - Add error handling in s5p_aes_probe()
(bsc#1012628).
- media: rkvdec: Do not override sizeimage for output format
(bsc#1012628).
- media: ite-cir: IR receiver stop working after receive overflow
(bsc#1012628).
- media: rkvdec: Support dynamic resolution changes (bsc#1012628).
- media: ir-kbd-i2c: improve responsiveness of hauppauge zilog
receivers (bsc#1012628).
- media: v4l2-ioctl: Fix check_ext_ctrls (bsc#1012628).
- ALSA: hda/realtek: Fix mic mute LED for the HP Spectre x360 14
(bsc#1012628).
- ALSA: hda/realtek: Add a quirk for HP OMEN 15 mute LED
(bsc#1012628).
- ALSA: hda/realtek: Add quirk for Clevo PC70HS (bsc#1012628).
- ALSA: hda/realtek: Headset fixup for Clevo NH77HJQ
(bsc#1012628).
- ALSA: hda/realtek: Add a quirk for Acer Spin SP513-54N
(bsc#1012628).
- ALSA: hda/realtek: Add quirk for ASUS UX550VE (bsc#1012628).
- ALSA: hda/realtek: Add quirk for HP EliteBook 840 G7 mute LED
(bsc#1012628).
- ALSA: ua101: fix division by zero at probe (bsc#1012628).
- ALSA: 6fire: fix control and bulk message timeouts
(bsc#1012628).
- ALSA: line6: fix control and interrupt message timeouts
(bsc#1012628).
- ALSA: mixer: oss: Fix racy access to slots (bsc#1012628).
- ALSA: mixer: fix deadlock in snd_mixer_oss_set_volume
(bsc#1012628).
- ALSA: usb-audio: Line6 HX-Stomp XL USB_ID for 48k-fixed quirk
(bsc#1012628).
- ALSA: usb-audio: Add registration quirk for JBL Quantum 400
(bsc#1012628).
- ALSA: hda: Free card instance properly at probe errors
(bsc#1012628).
- ALSA: synth: missing check for possible NULL after the call
to kstrdup (bsc#1012628).
- ALSA: pci: rme: Fix unaligned buffer addresses (bsc#1012628).
- ALSA: PCM: Fix NULL dereference at mmap checks (bsc#1012628).
- ALSA: timer: Fix use-after-free problem (bsc#1012628).
- ALSA: timer: Unconditionally unlink slave instances, too
(bsc#1012628).
- Revert "ext4: enforce buffer head state assertion in
ext4_da_map_blocks" (bsc#1012628).
- ext4: fix lazy initialization next schedule time computation
in more granular unit (bsc#1012628).
- ext4: ensure enough credits in ext4_ext_shift_path_extents
(bsc#1012628).
- ext4: refresh the ext4_ext_path struct after dropping i_data_sem
(bsc#1012628).
- fuse: fix page stealing (bsc#1012628).
- x86/sme: Use #define USE_EARLY_PGTABLE_L5 in
mem_encrypt_identity.c (bsc#1012628).
- x86/cpu: Fix migration safety with X86_BUG_NULL_SEL
(bsc#1012628).
- x86/irq: Ensure PI wakeup handler is unregistered before module
unload (bsc#1012628).
- x86/iopl: Fake iopl(3) CLI/STI usage (bsc#1012628).
- btrfs: clear MISSING device status bit in btrfs_close_one_device
(bsc#1012628).
- btrfs: fix lost error handling when replaying directory deletes
(bsc#1012628).
- btrfs: call btrfs_check_rw_degradable only if there is a
missing device (bsc#1012628).
- KVM: x86/mmu: Drop a redundant, broken remote TLB flush
(bsc#1012628).
- KVM: VMX: Unregister posted interrupt wakeup handler on hardware
unsetup (bsc#1012628).
- KVM: PPC: Tick accounting should defer vtime accounting 'til
after IRQ handling (bsc#1012628).
- ia64: kprobes: Fix to pass correct trampoline address to the
handler (bsc#1012628).
- selinux: fix race condition when computing ocontext SIDs
(bsc#1012628).
- ipmi:watchdog: Set panic count to proper value on a panic
(bsc#1012628).
- md/raid1: only allocate write behind bio for WriteMostly device
(bsc#1012628).
- hwmon: (pmbus/lm25066) Add offset coefficients (bsc#1012628).
- regulator: s5m8767: do not use reset value as DVS voltage if
GPIO DVS is disabled (bsc#1012628).
- regulator: dt-bindings: samsung,s5m8767: correct
s5m8767,pmic-buck-default-dvs-idx property (bsc#1012628).
- EDAC/sb_edac: Fix top-of-high-memory value for Broadwell/Haswell
(bsc#1012628).
- mwifiex: fix division by zero in fw download path (bsc#1012628).
- ath6kl: fix division by zero in send path (bsc#1012628).
- ath6kl: fix control-message timeout (bsc#1012628).
- ath10k: fix control-message timeout (bsc#1012628).
- ath10k: fix division by zero in send path (bsc#1012628).
- PCI: Mark Atheros QCA6174 to avoid bus reset (bsc#1012628).
- rtl8187: fix control-message timeouts (bsc#1012628).
- evm: mark evm_fixmode as __ro_after_init (bsc#1012628).
- ifb: Depend on netfilter alternatively to tc (bsc#1012628).
- platform/surface: aggregator_registry: Add support for Surface
Laptop Studio (bsc#1012628).
- mt76: mt7615: fix skb use-after-free on mac reset (bsc#1012628).
- HID: surface-hid: Use correct event registry for managing HID
events (bsc#1012628).
- HID: surface-hid: Allow driver matching for target ID 1 devices
(bsc#1012628).
- wcn36xx: Fix HT40 capability for 2Ghz band (bsc#1012628).
- wcn36xx: Fix tx_status mechanism (bsc#1012628).
- wcn36xx: Fix (QoS) null data frame bitrate/modulation
(bsc#1012628).
- PM: sleep: Do not let "syscore" devices runtime-suspend during
system transitions (bsc#1012628).
- mwifiex: Read a PCI register after writing the TX ring write
pointer (bsc#1012628).
- mwifiex: Try waking the firmware until we get an interrupt
(bsc#1012628).
- libata: fix checking of DMA state (bsc#1012628).
- dma-buf: fix and rework dma_buf_poll v7 (bsc#1012628).
- wcn36xx: handle connection loss indication (bsc#1012628).
- rsi: fix occasional initialisation failure with BT coex
(bsc#1012628).
- rsi: fix key enabled check causing unwanted encryption for
vap_id > 0 (bsc#1012628).
- rsi: fix rate mask set leading to P2P failure (bsc#1012628).
- rsi: Fix module dev_oper_mode parameter description
(bsc#1012628).
- perf/x86/intel/uncore: Support extra IMC channel on Ice Lake
server (bsc#1012628).
- perf/x86/intel/uncore: Fix invalid unit check (bsc#1012628).
- perf/x86/intel/uncore: Fix Intel ICX IIO event constraints
(bsc#1012628).
- RDMA/qedr: Fix NULL deref for query_qp on the GSI QP
(bsc#1012628).
- ASoC: tegra: Set default card name for Trimslice (bsc#1012628).
- ASoC: tegra: Restore AC97 support (bsc#1012628).
- signal: Remove the bogus sigkill_pending in ptrace_stop
(bsc#1012628).
- memory: renesas-rpc-if: Correct QSPI data transfer in Manual
mode (bsc#1012628).
- signal/mips: Update (_save|_restore)_fp_context to fail with
-EFAULT (bsc#1012628).
- signal: Add SA_IMMUTABLE to ensure forced siganls do not get
changed (bsc#1012628).
- soc: samsung: exynos-pmu: Fix compilation when nothing selects
CONFIG_MFD_CORE (bsc#1012628).
- soc: fsl: dpio: replace smp_processor_id with
raw_smp_processor_id (bsc#1012628).
- soc: fsl: dpio: use the combined functions to protect critical
zone (bsc#1012628).
- mtd: rawnand: socrates: Keep the driver compatible with on-die
ECC engines (bsc#1012628).
- mctp: handle the struct sockaddr_mctp padding fields
(bsc#1012628).
- power: supply: max17042_battery: Prevent int underflow in
set_soc_threshold (bsc#1012628).
- power: supply: max17042_battery: use VFSOC for capacity when
no rsns (bsc#1012628).
- iio: core: fix double free in iio_device_unregister_sysfs()
(bsc#1012628).
- iio: core: check return value when calling dev_set_name()
(bsc#1012628).
- KVM: arm64: Extract ESR_ELx.EC only (bsc#1012628).
- KVM: x86: Fix recording of guest steal time / preempted status
(bsc#1012628).
- KVM: x86: Add helper to consolidate core logic of SET_CPUID{2}
flows (bsc#1012628).
- KVM: nVMX: Query current VMCS when determining if MSR bitmaps
are in use (bsc#1012628).
- KVM: nVMX: Handle dynamic MSR intercept toggling (bsc#1012628).
- can: peak_usb: always ask for BERR reporting for PCAN-USB
devices (bsc#1012628).
- can: mcp251xfd: mcp251xfd_irq(): add missing
can_rx_offload_threaded_irq_finish() in case of bus off
(bsc#1012628).
- can: j1939: j1939_tp_cmd_recv(): ignore abort message in the
BAM transport (bsc#1012628).
- can: j1939: j1939_can_recv(): ignore messages with invalid
source address (bsc#1012628).
- can: j1939: j1939_tp_cmd_recv(): check the dst address of
TP.CM_BAM (bsc#1012628).
- iio: adc: tsc2046: fix scan interval warning (bsc#1012628).
- powerpc/85xx: Fix oops when mpc85xx_smp_guts_ids node cannot
be found (bsc#1012628).
- io_uring: honour zeroes as io-wq worker limits (bsc#1012628).
- ring-buffer: Protect ring_buffer_reset() from reentrancy
(bsc#1012628).
- serial: core: Fix initializing and restoring termios speed
(bsc#1012628).
- ifb: fix building without CONFIG_NET_CLS_ACT (bsc#1012628).
- xen/balloon: add late_initcall_sync() for initial ballooning
done (bsc#1012628).
- ovl: fix use after free in struct ovl_aio_req (bsc#1012628).
- ovl: fix filattr copy-up failure (bsc#1012628).
- PCI: pci-bridge-emul: Fix emulation of W1C bits (bsc#1012628).
- PCI: cadence: Add cdns_plat_pcie_probe() missing return
(bsc#1012628).
- cxl/pci: Fix NULL vs ERR_PTR confusion (bsc#1012628).
- PCI: aardvark: Do not clear status bits of masked interrupts
(bsc#1012628).
- PCI: aardvark: Fix checking for link up via LTSSM state
(bsc#1012628).
- PCI: aardvark: Do not unmask unused interrupts (bsc#1012628).
- PCI: aardvark: Fix reporting Data Link Layer Link Active
(bsc#1012628).
- PCI: aardvark: Fix configuring Reference clock (bsc#1012628).
- PCI: aardvark: Fix return value of MSI domain .alloc() method
(bsc#1012628).
- PCI: aardvark: Read all 16-bits from PCIE_MSI_PAYLOAD_REG
(bsc#1012628).
- PCI: aardvark: Fix support for bus mastering and PCI_COMMAND
on emulated bridge (bsc#1012628).
- PCI: aardvark: Fix support for PCI_BRIDGE_CTL_BUS_RESET on
emulated bridge (bsc#1012628).
- PCI: aardvark: Set PCI Bridge Class Code to PCI Bridge
(bsc#1012628).
- PCI: aardvark: Fix support for PCI_ROM_ADDRESS1 on emulated
bridge (bsc#1012628).
- quota: check block number when reading the block in quota file
(bsc#1012628).
- quota: correct error number in free_dqentry() (bsc#1012628).
- cifs: To match file servers, make sure the server hostname
matches (bsc#1012628).
- cifs: set a minimum of 120s for next dns resolution
(bsc#1012628).
- mfd: simple-mfd-i2c: Select MFD_CORE to fix build error
(bsc#1012628).
- pinctrl: core: fix possible memory leak in pinctrl_enable()
(bsc#1012628).
- coresight: cti: Correct the parameter for pm_runtime_put
(bsc#1012628).
- coresight: trbe: Fix incorrect access of the sink specific data
(bsc#1012628).
- coresight: trbe: Defer the probe on offline CPUs (bsc#1012628).
- iio: buffer: check return value of kstrdup_const()
(bsc#1012628).
- iio: buffer: Fix memory leak in
iio_buffers_alloc_sysfs_and_mask() (bsc#1012628).
- iio: buffer: Fix memory leak in
__iio_buffer_alloc_sysfs_and_mask() (bsc#1012628).
- iio: buffer: Fix memory leak in
iio_buffer_register_legacy_sysfs_groups() (bsc#1012628).
- drivers: iio: dac: ad5766: Fix dt property name (bsc#1012628).
- iio: dac: ad5446: Fix ad5622_write() return value (bsc#1012628).
- iio: ad5770r: make devicetree property reading consistent
(bsc#1012628).
- Documentation:devicetree:bindings:iio:dac: Fix val
(bsc#1012628).
- USB: serial: keyspan: fix memleak on probe errors (bsc#1012628).
- serial: 8250: fix racy uartclk update (bsc#1012628).
- ksmbd: set unique value to volume serial field in
FS_VOLUME_INFORMATION (bsc#1012628).
- io-wq: serialize hash clear with wakeup (bsc#1012628).
- serial: 8250: Fix reporting real baudrate value in c_ospeed
field (bsc#1012628).
- Revert "serial: 8250: Fix reporting real baudrate value in
c_ospeed field" (bsc#1012628).
- most: fix control-message timeouts (bsc#1012628).
- USB: iowarrior: fix control-message timeouts (bsc#1012628).
- USB: chipidea: fix interrupt deadlock (bsc#1012628).
- power: supply: max17042_battery: Clear status bits in interrupt
handler (bsc#1012628).
- component: do not leave master devres group open after bind
(bsc#1012628).
- dma-buf: WARN on dmabuf release with pending attachments
(bsc#1012628).
- drm: panel-orientation-quirks: Update the Lenovo Ideapad D330
quirk (v2) (bsc#1012628).
- drm: panel-orientation-quirks: Add quirk for KD Kurio Smart
C15200 2-in-1 (bsc#1012628).
- drm: panel-orientation-quirks: Add quirk for the Samsung Galaxy
Book 10.6 (bsc#1012628).
- Bluetooth: fix use-after-free error in lock_sock_nested()
(bsc#1012628).
- Bluetooth: call sock_hold earlier in sco_conn_del (bsc#1012628).
- drm/panel-orientation-quirks: add Valve Steam Deck
(bsc#1012628).
- rcutorture: Avoid problematic critical section nesting on
PREEMPT_RT (bsc#1012628).
- platform/x86: wmi: do not fail if disabling fails (bsc#1012628).
- drm/amdgpu: move iommu_resume before ip init/resume
(bsc#1012628).
- MIPS: lantiq: dma: add small delay after reset (bsc#1012628).
- MIPS: lantiq: dma: reset correct number of channel
(bsc#1012628).
- locking/lockdep: Avoid RCU-induced noinstr fail (bsc#1012628).
- net: sched: update default qdisc visibility after Tx queue
cnt changes (bsc#1012628).
- ACPI: resources: Add DMI-based legacy IRQ override quirk
(bsc#1012628).
- rcu-tasks: Move RTGS_WAIT_CBS to beginning of
rcu_tasks_kthread() loop (bsc#1012628).
- smackfs: Fix use-after-free in netlbl_catmap_walk()
(bsc#1012628).
- ath11k: Align bss_chan_info structure with firmware
(bsc#1012628).
- crypto: aesni - check walk.nbytes instead of err (bsc#1012628).
- x86/mm/64: Improve stack overflow warnings (bsc#1012628).
- x86: Increase exception stack sizes (bsc#1012628).
- mwifiex: Run SET_BSS_MODE when changing from P2P to STATION
vif-type (bsc#1012628).
- mwifiex: Properly initialize private structure on interface
type changes (bsc#1012628).
- spi: Check we have a spi_device_id for each DT compatible
(bsc#1012628).
- fscrypt: allow 256-bit master keys with AES-256-XTS
(bsc#1012628).
- drm/amdgpu: Fix MMIO access page fault (bsc#1012628).
- drm/amd/display: Fix null pointer dereference for encoders
(bsc#1012628).
- selftests: net: fib_nexthops: Wait before checking reported
idle time (bsc#1012628).
- ath11k: Avoid reg rules update during firmware recovery
(bsc#1012628).
- ath11k: add handler for scan event WMI_SCAN_EVENT_DEQUEUED
(bsc#1012628).
- ath11k: Change DMA_FROM_DEVICE to DMA_TO_DEVICE when map
reinjected packets (bsc#1012628).
- ath10k: high latency fixes for beacon buffer (bsc#1012628).
- octeontx2-pf: Enable promisc/allmulti match MCAM entries
(bsc#1012628).
- media: mt9p031: Fix corrupted frame after restarting stream
(bsc#1012628).
- media: netup_unidvb: handle interrupt properly according to
the firmware (bsc#1012628).
- media: atomisp: Fix error handling in probe (bsc#1012628).
- media: stm32: Potential NULL pointer dereference in
dcmi_irq_thread() (bsc#1012628).
- media: uvcvideo: Set capability in s_param (bsc#1012628).
- media: uvcvideo: Return -EIO for control errors (bsc#1012628).
- media: uvcvideo: Set unique vdev name based in type
(bsc#1012628).
- media: vidtv: Fix memory leak in remove (bsc#1012628).
- media: s5p-mfc: fix possible null-pointer dereference in
s5p_mfc_probe() (bsc#1012628).
- media: s5p-mfc: Add checking to s5p_mfc_probe() (bsc#1012628).
- media: videobuf2: rework vb2_mem_ops API (bsc#1012628).
- media: imx: set a media_device bus_info string (bsc#1012628).
- media: rcar-vin: Use user provided buffers when starting
(bsc#1012628).
- media: mceusb: return without resubmitting URB in case of
-EPROTO error (bsc#1012628).
- ia64: don't do IA64_CMPXCHG_DEBUG without CONFIG_PRINTK
(bsc#1012628).
- rtw88: fix RX clock gate setting while fifo dump (bsc#1012628).
- brcmfmac: Add DMI nvram filename quirk for Cyberbook T116 tablet
(bsc#1012628).
- media: rcar-csi2: Add checking to rcsi2_start_receiver()
(bsc#1012628).
- ipmi: Disable some operations during a panic (bsc#1012628).
- fs/proc/uptime.c: Fix idle time reporting in /proc/uptime
(bsc#1012628).
- kselftests/sched: cleanup the child processes (bsc#1012628).
- ACPICA: Avoid evaluating methods too early during system resume
(bsc#1012628).
- cpufreq: Make policy min/max hard requirements (bsc#1012628).
- ice: Move devlink port to PF/VF struct (bsc#1012628).
- media: imx-jpeg: Fix possible null pointer dereference
(bsc#1012628).
- media: ipu3-imgu: imgu_fmt: Handle properly try (bsc#1012628).
- media: ipu3-imgu: VIDIOC_QUERYCAP: Fix bus_info (bsc#1012628).
- media: usb: dvd-usb: fix uninit-value bug in
dibusb_read_eeprom_byte() (bsc#1012628).
- net-sysfs: try not to restart the syscall if it will fail
eventually (bsc#1012628).
- drm/amdkfd: rm BO resv on validation to avoid deadlock
(bsc#1012628).
- tracefs: Have tracefs directories not set OTH permission bits
by default (bsc#1012628).
- tracing: Disable "other" permission bits in the tracefs files
(bsc#1012628).
- ath: dfs_pattern_detector: Fix possible null-pointer dereference
in channel_detector_create() (bsc#1012628).
- KVM: arm64: Propagate errors from __pkvm_prot_finalize hypercall
(bsc#1012628).
- mmc: moxart: Fix reference count leaks in moxart_probe
(bsc#1012628).
- iov_iter: Fix iov_iter_get_pages{,_alloc} page fault return
value (bsc#1012628).
- ACPI: battery: Accept charges over the design capacity as full
(bsc#1012628).
- ACPI: scan: Release PM resources blocked by unused objects
(bsc#1012628).
- drm/amd/display: fix null pointer deref when plugging in display
(bsc#1012628).
- drm/amdkfd: fix resume error when iommu disabled in Picasso
(bsc#1012628).
- net: phy: micrel: make *-skew-ps check more lenient
(bsc#1012628).
- leaking_addresses: Always print a trailing newline
(bsc#1012628).
- thermal/core: Fix null pointer dereference in thermal_release()
(bsc#1012628).
- drm/msm: prevent NULL dereference in
msm_gpu_crashstate_capture() (bsc#1012628).
- thermal/drivers/tsens: Add timeout to get_temp_tsens_valid
(bsc#1012628).
- block: bump max plugged deferred size from 16 to 32
(bsc#1012628).
- floppy: fix calling platform_device_unregister() on invalid
drives (bsc#1012628).
- md: update superblock after changing rdev flags in state_store
(bsc#1012628).
- memstick: r592: Fix a UAF bug when removing the driver
(bsc#1012628).
- locking/rwsem: Disable preemption for spinning region
(bsc#1012628).
- lib/xz: Avoid overlapping memcpy() with invalid input with
in-place decompression (bsc#1012628).
- lib/xz: Validate the value before assigning it to an enum
variable (bsc#1012628).
- workqueue: make sysfs of unbound kworker cpumask more clever
(bsc#1012628).
- tracing/cfi: Fix cmp_entries_* functions signature mismatch
(bsc#1012628).
- mt76: mt7915: fix an off-by-one bound check (bsc#1012628).
- mwl8k: Fix use-after-free in mwl8k_fw_state_machine()
(bsc#1012628).
- iwlwifi: change all JnP to NO-160 configuration (bsc#1012628).
- block: remove inaccurate requeue check (bsc#1012628).
- media: allegro: ignore interrupt if mailbox is not initialized
(bsc#1012628).
- drm/amdgpu/pm: properly handle sclk for profiling modes on
vangogh (bsc#1012628).
- nvmet: fix use-after-free when a port is removed (bsc#1012628).
- nvmet-rdma: fix use-after-free when a port is removed
(bsc#1012628).
- nvmet-tcp: fix use-after-free when a port is removed
(bsc#1012628).
- nvme: drop scan_lock and always kick requeue list when removing
namespaces (bsc#1012628).
- samples/bpf: Fix application of sizeof to pointer (bsc#1012628).
- arm64: vdso32: suppress error message for 'make mrproper'
(bsc#1012628).
- PM: hibernate: Get block device exclusively in swsusp_check()
(bsc#1012628).
- selftests: kvm: fix mismatched fclose() after popen()
(bsc#1012628).
- selftests/bpf: Fix perf_buffer test on system with offline cpus
(bsc#1012628).
- iwlwifi: mvm: disable RX-diversity in powersave (bsc#1012628).
- smackfs: use __GFP_NOFAIL for smk_cipso_doi() (bsc#1012628).
- ARM: clang: Do not rely on lr register for stacktrace
(bsc#1012628).
- gre/sit: Don't generate link-local addr if addr_gen_mode is
IN6_ADDR_GEN_MODE_NONE (bsc#1012628).
- can: bittiming: can_fixup_bittiming(): change type of tseg1
and alltseg to unsigned int (bsc#1012628).
- gfs2: Cancel remote delete work asynchronously (bsc#1012628).
- gfs2: Fix glock_hash_walk bugs (bsc#1012628).
- ARM: 9136/1: ARMv7-M uses BE-8, not BE-32 (bsc#1012628).
- tools/latency-collector: Use correct size when writing
queue_full_warning (bsc#1012628).
- vrf: run conntrack only in context of lower/physdev for locally
generated packets (bsc#1012628).
- net: annotate data-race in neigh_output() (bsc#1012628).
- ACPI: AC: Quirk GK45 to skip reading _PSR (bsc#1012628).
- ACPI: resources: Add one more Medion model in IRQ override quirk
(bsc#1012628).
- btrfs: reflink: initialize return value to 0 in
btrfs_extent_same() (bsc#1012628).
- btrfs: do not take the uuid_mutex in btrfs_rm_device
(bsc#1012628).
- spi: bcm-qspi: Fix missing clk_disable_unprepare() on error
in bcm_qspi_probe() (bsc#1012628).
- wcn36xx: Correct band/freq reporting on RX (bsc#1012628).
- wcn36xx: Fix packet drop on resume (bsc#1012628).
- Revert "wcn36xx: Enable firmware link monitoring" (bsc#1012628).
- ftrace: do CPU checking after preemption disabled (bsc#1012628).
- inet: remove races in inet{6}_getname() (bsc#1012628).
- x86/hyperv: Protect set_hv_tscchange_cb() against getting
preempted (bsc#1012628).
- drm/amd/display: dcn20_resource_construct reduce scope of FPU
enabled (bsc#1012628).
- selftests/core: fix conflicting types compile error for
close_range() (bsc#1012628).
- perf/x86/intel: Fix ICL/SPR INST_RETIRED.PREC_DIST encodings
(bsc#1012628).
- parisc: fix warning in flush_tlb_all (bsc#1012628).
- task_stack: Fix end_of_stack() for architectures with
upwards-growing stack (bsc#1012628).
- erofs: don't trigger WARN() when decompression fails
(bsc#1012628).
- parisc/unwind: fix unwinder when CONFIG_64BIT is enabled
(bsc#1012628).
- parisc/kgdb: add kgdb_roundup() to make kgdb work with idle
polling (bsc#1012628).
- netfilter: conntrack: set on IPS_ASSURED if flows enters
internal stream state (bsc#1012628).
- selftests/bpf: Fix strobemeta selftest regression (bsc#1012628).
- fbdev/efifb: Release PCI device's runtime PM ref during FB
destroy (bsc#1012628).
- drm/bridge: anx7625: Propagate errors from sp_tx_rst_aux()
(bsc#1012628).
- perf/x86/intel/uncore: Fix Intel SPR CHA event constraints
(bsc#1012628).
- perf/x86/intel/uncore: Fix Intel SPR IIO event constraints
(bsc#1012628).
- perf/x86/intel/uncore: Fix Intel SPR M2PCIE event constraints
(bsc#1012628).
- perf/x86/intel/uncore: Fix Intel SPR M3UPI event constraints
(bsc#1012628).
- drm/bridge: it66121: Initialize {device,vendor}_ids
(bsc#1012628).
- drm/bridge: it66121: Wait for next bridge to be probed
(bsc#1012628).
- Bluetooth: fix init and cleanup of sco_conn.timeout_work
(bsc#1012628).
- libbpf: Don't crash on object files with no symbol tables
(bsc#1012628).
- Bluetooth: hci_uart: fix GPF in h5_recv (bsc#1012628).
- rcu: Fix existing exp request check in
sync_sched_exp_online_cleanup() (bsc#1012628).
- MIPS: lantiq: dma: fix burst length for DEU (bsc#1012628).
- x86/xen: Mark cpu_bringup_and_idle() as dead_end_function
(bsc#1012628).
- objtool: Handle __sanitize_cov*() tail calls (bsc#1012628).
- net/mlx5: Publish and unpublish all devlink parameters at once
(bsc#1012628).
- drm/v3d: fix wait for TMU write combiner flush (bsc#1012628).
- crypto: sm4 - Do not change section of ck and sbox
(bsc#1012628).
- virtio-gpu: fix possible memory allocation failure
(bsc#1012628).
- lockdep: Let lock_is_held_type() detect recursive read as read
(bsc#1012628).
- net: net_namespace: Fix undefined member in key_remove_domain()
(bsc#1012628).
- net: phylink: don't call netif_carrier_off() with NULL netdev
(bsc#1012628).
- drm: bridge: it66121: Fix return value it66121_probe
(bsc#1012628).
- spi: Fixed division by zero warning (bsc#1012628).
- cgroup: Make rebind_subsystems() disable v2 controllers all
at once (bsc#1012628).
- wcn36xx: Fix Antenna Diversity Switching (bsc#1012628).
- wilc1000: fix possible memory leak in cfg_scan_result()
(bsc#1012628).
- Bluetooth: btmtkuart: fix a memleak in mtk_hci_wmt_sync
(bsc#1012628).
- drm/amdgpu: Fix crash on device remove/driver unload
(bsc#1012628).
- drm/amd/display: Pass display_pipe_params_st as const in DML
(bsc#1012628).
- drm/amdgpu: move amdgpu_virt_release_full_gpu to fini_early
stage (bsc#1012628).
- crypto: caam - disable pkc for non-E SoCs (bsc#1012628).
- crypto: qat - power up 4xxx device (bsc#1012628).
- Bluetooth: hci_h5: Fix (runtime)suspend issues on RTL8723BS HCIs
(bsc#1012628).
- bnxt_en: Check devlink allocation and registration status
(bsc#1012628).
- qed: Don't ignore devlink allocation failures (bsc#1012628).
- rxrpc: Fix _usecs_to_jiffies() by using usecs_to_jiffies()
(bsc#1012628).
- mptcp: do not shrink snd_nxt when recovering (bsc#1012628).
- fortify: Fix dropped strcpy() compile-time write overflow check
(bsc#1012628).
- mac80211: twt: don't use potentially unaligned pointer
(bsc#1012628).
- cfg80211: always free wiphy specific regdomain (bsc#1012628).
- net/mlx5: Accept devlink user input after driver initialization
complete (bsc#1012628).
- net: dsa: rtl8366rb: Fix off-by-one bug (bsc#1012628).
- net: dsa: rtl8366: Fix a bug in deleting VLANs (bsc#1012628).
- bpf/tests: Fix error in tail call limit tests (bsc#1012628).
- ath11k: fix some sleeping in atomic bugs (bsc#1012628).
- ath11k: Avoid race during regd updates (bsc#1012628).
- ath11k: fix packet drops due to incorrect 6 GHz freq value in
rx status (bsc#1012628).
- ath11k: Fix memory leak in ath11k_qmi_driver_event_work
(bsc#1012628).
- gve: DQO: avoid unused variable warnings (bsc#1012628).
- ath10k: Fix missing frame timestamp for beacon/probe-resp
(bsc#1012628).
- ath10k: sdio: Add missing BH locking around napi_schdule()
(bsc#1012628).
- drm/ttm: stop calling tt_swapin in vm_access (bsc#1012628).
- arm64: mm: update max_pfn after memory hotplug (bsc#1012628).
- drm/amdgpu: fix warning for overflow check (bsc#1012628).
- libbpf: Fix skel_internal.h to set errno on loader retval <
0 (bsc#1012628).
- media: em28xx: add missing em28xx_close_extension (bsc#1012628).
- media: meson-ge2d: Fix rotation parameter changes detection in
'ge2d_s_ctrl()' (bsc#1012628).
- media: cxd2880-spi: Fix a null pointer dereference on error
handling path (bsc#1012628).
- media: ttusb-dec: avoid release of non-acquired mutex
(bsc#1012628).
- media: dvb-usb: fix ununit-value in az6027_rc_query
(bsc#1012628).
- media: imx258: Fix getting clock frequency (bsc#1012628).
- media: v4l2-ioctl: S_CTRL output the right value (bsc#1012628).
- media: mtk-vcodec: venc: fix return value when start_streaming
fails (bsc#1012628).
- media: TDA1997x: handle short reads of hdmi info frame
(bsc#1012628).
- media: mtk-vpu: Fix a resource leak in the error handling path
of 'mtk_vpu_probe()' (bsc#1012628).
- media: imx-jpeg: Fix the error handling path of
'mxc_jpeg_probe()' (bsc#1012628).
- media: i2c: ths8200 needs V4L2_ASYNC (bsc#1012628).
- media: sun6i-csi: Allow the video device to be open multiple
times (bsc#1012628).
- media: radio-wl1273: Avoid card name truncation (bsc#1012628).
- media: si470x: Avoid card name truncation (bsc#1012628).
- media: tm6000: Avoid card name truncation (bsc#1012628).
- media: cx23885: Fix snd_card_free call on null card pointer
(bsc#1012628).
- media: atmel: fix the ispck initialization (bsc#1012628).
- scs: Release kasan vmalloc poison in scs_free process
(bsc#1012628).
- kprobes: Do not use local variable when creating debugfs file
(bsc#1012628).
- crypto: ecc - fix CRYPTO_DEFAULT_RNG dependency (bsc#1012628).
- drm: fb_helper: fix CONFIG_FB dependency (bsc#1012628).
- cpuidle: Fix kobject memory leaks in error paths (bsc#1012628).
- media: em28xx: Don't use ops->suspend if it is NULL
(bsc#1012628).
- ath10k: Don't always treat modem stop events as crashes
(bsc#1012628).
- ath9k: Fix potential interrupt storm on queue reset
(bsc#1012628).
- PM: EM: Fix inefficient states detection (bsc#1012628).
- x86/insn: Use get_unaligned() instead of memcpy() (bsc#1012628).
- EDAC/amd64: Handle three rank interleaving mode (bsc#1012628).
- rcu: Always inline rcu_dynticks_task*_{enter,exit}()
(bsc#1012628).
- rcu: Fix rcu_dynticks_curr_cpu_in_eqs() vs noinstr
(bsc#1012628).
- netfilter: nft_dynset: relax superfluous check on set updates
(bsc#1012628).
- media: venus: fix vpp frequency calculation for decoder
(bsc#1012628).
- media: dvb-frontends: mn88443x: Handle errors of
clk_prepare_enable() (bsc#1012628).
- crypto: ccree - avoid out-of-range warnings from clang
(bsc#1012628).
- crypto: qat - detect PFVF collision after ACK (bsc#1012628).
- crypto: qat - disregard spurious PFVF interrupts (bsc#1012628).
- hwrng: mtk - Force runtime pm ops for sleep ops (bsc#1012628).
- ima: fix deadlock when traversing "ima_default_rules"
(bsc#1012628).
- b43legacy: fix a lower bounds test (bsc#1012628).
- b43: fix a lower bounds test (bsc#1012628).
- gve: Recover from queue stall due to missed IRQ (bsc#1012628).
- gve: Track RX buffer allocation failures (bsc#1012628).
- mmc: sdhci-omap: Fix NULL pointer exception if regulator is
not configured (bsc#1012628).
- mmc: sdhci-omap: Fix context restore (bsc#1012628).
- memstick: avoid out-of-range warning (bsc#1012628).
- memstick: jmb38x_ms: use appropriate free function in
jmb38x_ms_alloc_host() (bsc#1012628).
- net, neigh: Fix NTF_EXT_LEARNED in combination with NTF_USE
(bsc#1012628).
- hwmon: Fix possible memleak in __hwmon_device_register()
(bsc#1012628).
- hwmon: (pmbus/lm25066) Let compiler determine outer dimension
of lm25066_coeff (bsc#1012628).
- ath10k: fix max antenna gain unit (bsc#1012628).
- kernel/sched: Fix sched_fork() access an invalid
sched_task_group (bsc#1012628).
- net: fealnx: fix build for UML (bsc#1012628).
- net: intel: igc_ptp: fix build for UML (bsc#1012628).
- net: tulip: winbond-840: fix build for UML (bsc#1012628).
- tcp: switch orphan_count to bare per-cpu counters (bsc#1012628).
- crypto: octeontx2 - set assoclen in aead_do_fallback()
(bsc#1012628).
- thermal/core: fix a UAF bug in
__thermal_cooling_device_register() (bsc#1012628).
- drm/msm/dsi: do not enable irq handler before powering up the
host (bsc#1012628).
- drm/msm: Fix potential Oops in a6xx_gmu_rpmh_init()
(bsc#1012628).
- drm/msm: potential error pointer dereference in init()
(bsc#1012628).
- drm/msm: unlock on error in get_sched_entity() (bsc#1012628).
- drm/msm: fix potential NULL dereference in cleanup
(bsc#1012628).
- drm/msm: uninitialized variable in msm_gem_import()
(bsc#1012628).
- net: stream: don't purge sk_error_queue in
sk_stream_kill_queues() (bsc#1012628).
- thermal/drivers/qcom/lmh: make QCOM_LMH depends on QCOM_SCM
(bsc#1012628).
- mailbox: Remove WARN_ON for async_cb.cb in cmdq_exec_done
(bsc#1012628).
- media: ivtv: fix build for UML (bsc#1012628).
- media: ir_toy: assignment to be16 should be of correct type
(bsc#1012628).
- mmc: mxs-mmc: disable regulator on error and in the remove
function (bsc#1012628).
- io-wq: Remove duplicate code in io_workqueue_create()
(bsc#1012628).
- block: ataflop: fix breakage introduced at blk-mq refactoring
(bsc#1012628).
- blk-wbt: prevent NULL pointer dereference in wb_timer_fn
(bsc#1012628).
- platform/x86: thinkpad_acpi: Fix bitwise vs. logical warning
(bsc#1012628).
- mailbox: mtk-cmdq: Validate alias_id on probe (bsc#1012628).
- mailbox: mtk-cmdq: Fix local clock ID usage (bsc#1012628).
- ACPI: PM: Turn off unused wakeup power resources (bsc#1012628).
- ACPI: PM: Fix sharing of wakeup power resources (bsc#1012628).
- drm/amdkfd: Fix an inappropriate error handling in allloc
memory of gpu (bsc#1012628).
- mt76: mt7921: fix endianness in mt7921_mcu_tx_done_event
(bsc#1012628).
- mt76: mt7915: fix endianness warning in mt7915_mac_add_txs_skb
(bsc#1012628).
- mt76: mt7921: fix endianness warning in mt7921_update_txs
(bsc#1012628).
- mt76: mt7615: fix endianness warning in mt7615_mac_write_txwi
(bsc#1012628).
- mt76: mt7915: fix info leak in mt7915_mcu_set_pre_cal()
(bsc#1012628).
- mt76: connac: fix mt76_connac_gtk_rekey_tlv usage (bsc#1012628).
- mt76: fix build error implicit enumeration conversion
(bsc#1012628).
- mt76: mt7921: fix survey-dump reporting (bsc#1012628).
- mt76: mt76x02: fix endianness warnings in mt76x02_mac.c
(bsc#1012628).
- mt76: mt7921: Fix out of order process by invalid event pkt
(bsc#1012628).
- mt76: mt7915: fix potential overflow of eeprom page index
(bsc#1012628).
- mt76: mt7915: fix bit fields for HT rate idx (bsc#1012628).
- mt76: mt7921: fix dma hang in rmmod (bsc#1012628).
- mt76: connac: fix GTK rekey offload failure on WPA mixed mode
(bsc#1012628).
- mt76: overwrite default reg_ops if necessary (bsc#1012628).
- mt76: mt7921: report HE MU radiotap (bsc#1012628).
- mt76: mt7921: fix firmware usage of RA info using legacy rates
(bsc#1012628).
- mt76: mt7921: fix kernel warning from cfg80211_calculate_bitrate
(bsc#1012628).
- mt76: mt7921: always wake device if necessary in debugfs
(bsc#1012628).
- mt76: mt7915: fix hwmon temp sensor mem use-after-free
(bsc#1012628).
- mt76: mt7615: fix hwmon temp sensor mem use-after-free
(bsc#1012628).
- mt76: mt7915: fix possible infinite loop release semaphore
(bsc#1012628).
- mt76: mt7921: fix retrying release semaphore without end
(bsc#1012628).
- mt76: mt7615: fix monitor mode tear down crash (bsc#1012628).
- mt76: connac: fix possible NULL pointer dereference in
mt76_connac_get_phy_mode_v2 (bsc#1012628).
- mt76: mt7915: fix sta_rec_wtbl tag len (bsc#1012628).
- mt76: mt7915: fix muar_idx in mt7915_mcu_alloc_sta_req()
(bsc#1012628).
- rsi: stop thread firstly in rsi_91x_init() error handling
(bsc#1012628).
- mwifiex: Send DELBA requests according to spec (bsc#1012628).
- iwlwifi: mvm: reset PM state on unsuccessful resume
(bsc#1012628).
- iwlwifi: pnvm: don't kmemdup() more than we have (bsc#1012628).
- iwlwifi: pnvm: read EFI data only if long enough (bsc#1012628).
- net: enetc: unmap DMA in enetc_send_cmd() (bsc#1012628).
- phy: micrel: ksz8041nl: do not use power down mode
(bsc#1012628).
- nbd: Fix use-after-free in pid_show (bsc#1012628).
- nvme-rdma: fix error code in nvme_rdma_setup_ctrl (bsc#1012628).
- PM: hibernate: fix sparse warnings (bsc#1012628).
- clocksource/drivers/timer-ti-dm: Select TIMER_OF (bsc#1012628).
- x86/sev: Fix stack type check in vc_switch_off_ist()
(bsc#1012628).
- drm/msm: Fix potential NULL dereference in DPU SSPP
(bsc#1012628).
- drm/msm/dsi: fix wrong type in msm_dsi_host (bsc#1012628).
- crypto: tcrypt - fix skcipher multi-buffer tests for 1420B
blocks (bsc#1012628).
- smackfs: use netlbl_cfg_cipsov4_del() for deleting cipso_v4_doi
(bsc#1012628).
- KVM: selftests: Fix nested SVM tests when built with clang
(bsc#1012628).
- libbpf: Fix memory leak in btf__dedup() (bsc#1012628).
- bpftool: Avoid leaking the JSON writer prepared for program
metadata (bsc#1012628).
- libbpf: Fix overflow in BTF sanity checks (bsc#1012628).
- libbpf: Fix BTF header parsing checks (bsc#1012628).
- mt76: mt7615: mt7622: fix ibss and meshpoint (bsc#1012628).
- s390/gmap: validate VMA in __gmap_zap() (bsc#1012628).
- s390/gmap: don't unconditionally call pte_unmap_unlock()
in __gmap_zap() (bsc#1012628).
- s390/mm: validate VMA in PGSTE manipulation functions
(bsc#1012628).
- s390/mm: fix VMA and page table handling code in storage key
handling functions (bsc#1012628).
- s390/uv: fully validate the VMA before calling follow_page()
(bsc#1012628).
- KVM: s390: pv: avoid double free of sida page (bsc#1012628).
- KVM: s390: pv: avoid stalls for kvm_s390_pv_init_vm
(bsc#1012628).
- irq: mips: avoid nested irq_enter() (bsc#1012628).
- net: dsa: avoid refcount warnings when ->port_{fdb,mdb}_del
returns error (bsc#1012628).
- ARM: 9142/1: kasan: work around LPAE build warning
(bsc#1012628).
- ath10k: fix module load regression with iram-recovery feature
(bsc#1012628).
- block: ataflop: more blk-mq refactoring fixes (bsc#1012628).
- blk-cgroup: synchronize blkg creation against policy
deactivation (bsc#1012628).
- libbpf: Fix off-by-one bug in bpf_core_apply_relo()
(bsc#1012628).
- tpm: fix Atmel TPM crash caused by too frequent queries
(bsc#1012628).
- tpm_tis_spi: Add missing SPI ID (bsc#1012628).
- libbpf: Fix endianness detection in
BPF_CORE_READ_BITFIELD_PROBED() (bsc#1012628).
- tcp: don't free a FIN sk_buff in tcp_remove_empty_skb()
(bsc#1012628).
- tracing: Fix missing trace_boot_init_histograms kstrdup NULL
checks (bsc#1012628).
- cpufreq: intel_pstate: Fix cpu->pstate.turbo_freq initialization
(bsc#1012628).
- spi: spi-rpc-if: Check return value of rpcif_sw_init()
(bsc#1012628).
- samples/kretprobes: Fix return value if register_kretprobe()
failed (bsc#1012628).
- KVM: s390: Fix handle_sske page fault handling (bsc#1012628).
- libertas_tf: Fix possible memory leak in probe and disconnect
(bsc#1012628).
- libertas: Fix possible memory leak in probe and disconnect
(bsc#1012628).
- wcn36xx: add proper DMA memory barriers in rx path
(bsc#1012628).
- wcn36xx: Fix discarded frames due to wrong sequence number
(bsc#1012628).
- bpf: Avoid races in __bpf_prog_run() for 32bit arches
(bsc#1012628).
- bpf: Fixes possible race in update_prog_stats() for 32bit arches
(bsc#1012628).
- wcn36xx: Channel list update before hardware scan (bsc#1012628).
- drm/amdgpu: fix a potential memory leak in
amdgpu_device_fini_sw() (bsc#1012628).
- drm/amdgpu/gmc6: fix DMA mask from 44 to 40 bits (bsc#1012628).
- selftests/bpf: Fix fd cleanup in sk_lookup test (bsc#1012628).
- selftests/bpf: Fix memory leak in test_ima (bsc#1012628).
- sctp: allow IP fragmentation when PLPMTUD enters Error state
(bsc#1012628).
- sctp: reset probe_timer in sctp_transport_pl_update
(bsc#1012628).
- sctp: subtract sctphdr len in sctp_transport_pl_hlen
(bsc#1012628).
- sctp: return true only for pathmtu update in
sctp_transport_pl_toobig (bsc#1012628).
- net: amd-xgbe: Toggle PLL settings during rate change
(bsc#1012628).
- ipmi: kcs_bmc: Fix a memory leak in the error handling path of
'kcs_bmc_serio_add_device()' (bsc#1012628).
- nfp: fix NULL pointer access when scheduling dim work
(bsc#1012628).
- nfp: fix potential deadlock when canceling dim work
(bsc#1012628).
- net: phylink: avoid mvneta warning when setting pause parameters
(bsc#1012628).
- net: bridge: fix uninitialized variables when BRIDGE_CFM is
disabled (bsc#1012628).
- selftests: net: bridge: update IGMP/MLD membership interval
value (bsc#1012628).
- crypto: pcrypt - Delay write to padata->info (bsc#1012628).
- selftests/bpf: Fix fclose/pclose mismatch in test_progs
(bsc#1012628).
- udp6: allow SO_MARK ctrl msg to affect routing (bsc#1012628).
- ibmvnic: don't stop queue in xmit (bsc#1012628).
- ibmvnic: Process crqs after enabling interrupts (bsc#1012628).
- ibmvnic: delay complete() (bsc#1012628).
- selftests: mptcp: fix proto type in link_failure tests
(bsc#1012628).
- skmsg: Lose offset info in sk_psock_skb_ingress (bsc#1012628).
- cgroup: Fix rootcg cpu.stat guest double counting (bsc#1012628).
- bpf: Fix propagation of bounds from 64-bit min/max into 32-bit
and var_off (bsc#1012628).
- bpf: Fix propagation of signed bounds from 64-bit min/max into
32-bit (bsc#1012628).
- of: unittest: fix EXPECT text for gpio hog errors (bsc#1012628).
- cpufreq: Fix parameter in parse_perf_domain() (bsc#1012628).
- staging: r8188eu: fix memory leak in rtw_set_key (bsc#1012628).
- arm64: dts: meson: sm1: add Ethernet PHY reset line for
ODROID-C4/HC4 (bsc#1012628).
- iio: st_sensors: disable regulators after device unregistration
(bsc#1012628).
- RDMA/rxe: Fix wrong port_cap_flags (bsc#1012628).
- ARM: dts: BCM5301X: Fix memory nodes names (bsc#1012628).
- arm64: dts: broadcom: bcm4908: Fix UART clock name
(bsc#1012628).
- clk: mvebu: ap-cpu-clk: Fix a memory leak in error handling
paths (bsc#1012628).
- scsi: pm80xx: Fix lockup in outbound queue management
(bsc#1012628).
- scsi: qla2xxx: edif: Use link event to wake up app
(bsc#1012628).
- scsi: lpfc: Fix NVMe I/O failover to non-optimized path
(bsc#1012628).
- ARM: s3c: irq-s3c24xx: Fix return value check for
s3c24xx_init_intc() (bsc#1012628).
- arm64: dts: rockchip: Fix GPU register width for RK3328
(bsc#1012628).
- ARM: dts: qcom: msm8974: Add xo_board reference clock to DSI0
PHY (bsc#1012628).
- RDMA/bnxt_re: Fix query SRQ failure (bsc#1012628).
- arm64: dts: ti: k3-j721e-main: Fix "max-virtual-functions"
in PCIe EP nodes (bsc#1012628).
- arm64: dts: ti: k3-j721e-main: Fix "bus-range" upto 256 bus
number for PCIe (bsc#1012628).
- arm64: dts: ti: j7200-main: Fix "vendor-id"/"device-id"
properties of pcie node (bsc#1012628).
- arm64: dts: ti: j7200-main: Fix "bus-range" upto 256 bus number
for PCIe (bsc#1012628).
- arm64: dts: meson-g12a: Fix the pwm regulator supply properties
(bsc#1012628).
- arm64: dts: meson-g12b: Fix the pwm regulator supply properties
(bsc#1012628).
- arm64: dts: meson-sm1: Fix the pwm regulator supply properties
(bsc#1012628).
- bus: ti-sysc: Fix timekeeping_suspended warning on resume
(bsc#1012628).
- ARM: dts: at91: tse850: the emac<->phy interface is rmii
(bsc#1012628).
- arm64: dts: qcom: sc7180: Base dynamic CPU power coefficients
in reality (bsc#1012628).
- soc: qcom: llcc: Disable MMUHWT retention (bsc#1012628).
- arm64: dts: qcom: sc7280: fix display port phy reg property
(bsc#1012628).
- scsi: dc395: Fix error case unwinding (bsc#1012628).
- MIPS: loongson64: make CPU_LOONGSON64 depends on MIPS_FP_SUPPORT
(bsc#1012628).
- JFS: fix memleak in jfs_mount (bsc#1012628).
- pinctrl: renesas: rzg2l: Fix missing port register 21h
(bsc#1012628).
- ASoC: wcd9335: Use correct version to initialize Class H
(bsc#1012628).
- arm64: dts: qcom: msm8916: Fix Secondary MI2S bit clock
(bsc#1012628).
- arm64: dts: renesas: beacon: Fix Ethernet PHY mode
(bsc#1012628).
- iommu/mediatek: Fix out-of-range warning with clang
(bsc#1012628).
- arm64: dts: qcom: pm8916: Remove wrong reg-names for rtc@6000
(bsc#1012628).
- iommu/dma: Fix sync_sg with swiotlb (bsc#1012628).
- iommu/dma: Fix arch_sync_dma for map (bsc#1012628).
- ALSA: hda: Reduce udelay() at SKL+ position reporting
(bsc#1012628).
- ALSA: hda: Use position buffer for SKL+ again (bsc#1012628).
- ALSA: usb-audio: Fix possible race at sync of urb completions
(bsc#1012628).
- soundwire: debugfs: use controller id and link_id for debugfs
(bsc#1012628).
- power: reset: at91-reset: check properly the return value of
devm_of_iomap (bsc#1012628).
- scsi: ufs: core: Fix ufshcd_probe_hba() prototype to match
the definition (bsc#1012628).
- scsi: ufs: core: Stop clearing UNIT ATTENTIONS (bsc#1012628).
- scsi: megaraid_sas: Fix concurrent access to ISR between IRQ
polling and real interrupt (bsc#1012628).
- scsi: pm80xx: Fix misleading log statement in
pm8001_mpi_get_nvmd_resp() (bsc#1012628).
- driver core: Fix possible memory leak in device_link_add()
(bsc#1012628).
- arm: dts: omap3-gta04a4: accelerometer irq fix (bsc#1012628).
- ASoC: SOF: topology: do not power down primary core during
topology removal (bsc#1012628).
- iio: st_pressure_spi: Add missing entries SPI to device ID table
(bsc#1012628).
- soc/tegra: Fix an error handling path in
tegra_powergate_power_up() (bsc#1012628).
- memory: fsl_ifc: fix leak of irq and nand_irq in
fsl_ifc_ctrl_probe (bsc#1012628).
- clk: at91: check pmc node status before registering syscore ops
(bsc#1012628).
- powerpc/mem: Fix arch/powerpc/mm/mem.c:53:12: error: no previous
prototype for 'create_section_mapping' (bsc#1012628).
- video: fbdev: chipsfb: use memset_io() instead of memset()
(bsc#1012628).
- powerpc: fix unbalanced node refcount in check_kvm_guest()
(bsc#1012628).
- powerpc/paravirt: correct preempt debug splat in
vcpu_is_preempted() (bsc#1012628).
- serial: 8250_dw: Drop wrong use of ACPI_PTR() (bsc#1012628).
- usb: gadget: hid: fix error code in do_config() (bsc#1012628).
- =?UTF-8?q?power:=20supply:=20rt5033=5Fbattery:=20Change?=
=?UTF-8?q?=20voltage=20values=20to=20=C2=B5V?= (bsc#1012628).
- power: supply: max17040: fix null-ptr-deref in max17040_probe()
(bsc#1012628).
- scsi: csiostor: Uninitialized data in csio_ln_vnp_read_cbfn()
(bsc#1012628).
- RDMA/mlx4: Return missed an error if device doesn't support
steering (bsc#1012628).
- usb: musb: select GENERIC_PHY instead of depending on it
(bsc#1012628).
- staging: most: dim2: do not double-register the same device
(bsc#1012628).
- staging: ks7010: select CRYPTO_HASH/CRYPTO_MICHAEL_MIC
(bsc#1012628).
- RDMA/core: Set sgtable nents when using ib_dma_virt_map_sg()
(bsc#1012628).
- dyndbg: make dyndbg a known cli param (bsc#1012628).
- powerpc/perf: Fix cycles/instructions as PM_CYC/PM_INST_CMPL
in power10 (bsc#1012628).
- pinctrl: renesas: checker: Fix off-by-one bug in drive register
check (bsc#1012628).
- ARM: dts: stm32: Reduce DHCOR SPI NOR frequency to 50 MHz
(bsc#1012628).
- ARM: dts: stm32: fix STUSB1600 Type-C irq level on
stm32mp15xx-dkx (bsc#1012628).
- ARM: dts: stm32: fix SAI sub nodes register range (bsc#1012628).
- ARM: dts: stm32: fix AV96 board SAI2 pin muxing on stm32mp15
(bsc#1012628).
- ASoC: cs42l42: Always configure both ASP TX channels
(bsc#1012628).
- ASoC: cs42l42: Correct some register default values
(bsc#1012628).
- ASoC: cs42l42: Defer probe if request_threaded_irq() returns
EPROBE_DEFER (bsc#1012628).
- soc: qcom: rpmhpd: Make power_on actually enable the domain
(bsc#1012628).
- soc: qcom: socinfo: add two missing PMIC IDs (bsc#1012628).
- iio: buffer: Fix double-free in
iio_buffers_alloc_sysfs_and_mask() (bsc#1012628).
- usb: typec: STUSB160X should select REGMAP_I2C (bsc#1012628).
- iio: adis: do not disabe IRQs in 'adis_init()' (bsc#1012628).
- soundwire: bus: stop dereferencing invalid slave pointer
(bsc#1012628).
- scsi: ufs: ufshcd-pltfrm: Fix memory leak due to probe defer
(bsc#1012628).
- scsi: lpfc: Wait for successful restart of SLI3 adapter during
host sg_reset (bsc#1012628).
- serial: imx: fix detach/attach of serial console (bsc#1012628).
- usb: dwc2: drd: fix dwc2_force_mode call in dwc2_ovr_init
(bsc#1012628).
- usb: dwc2: drd: fix dwc2_drd_role_sw_set when clock could be
disabled (bsc#1012628).
- usb: dwc2: drd: reset current session before setting the new
one (bsc#1012628).
- powerpc/booke: Disable STRICT_KERNEL_RWX, DEBUG_PAGEALLOC and
KFENCE (bsc#1012628).
- usb: dwc3: gadget: Skip resizing EP's TX FIFO if already resized
(bsc#1012628).
- firmware: qcom_scm: Fix error retval in
__qcom_scm_is_call_available() (bsc#1012628).
- soc: qcom: rpmhpd: fix sm8350_mxc's peer domain (bsc#1012628).
- soc: qcom: apr: Add of_node_put() before return (bsc#1012628).
- arm64: dts: qcom: pmi8994: Fix "eternal"->"external" typo in
WLED node (bsc#1012628).
- arm64: dts: qcom: sdm845: Use RPMH_CE_CLK macro directly
(bsc#1012628).
- arm64: dts: qcom: sdm845: Fix Qualcomm crypto engine bus clock
(bsc#1012628).
- pinctrl: equilibrium: Fix function addition in multiple groups
(bsc#1012628).
- ASoC: topology: Fix stub for snd_soc_tplg_component_remove()
(bsc#1012628).
- phy: qcom-qusb2: Fix a memory leak on probe (bsc#1012628).
- phy: ti: gmii-sel: check of_get_address() for failure
(bsc#1012628).
- phy: qcom-qmp: another fix for the sc8180x PCIe definition
(bsc#1012628).
- phy: qcom-snps: Correct the FSEL_MASK (bsc#1012628).
- phy: Sparx5 Eth SerDes: Fix return value check in
sparx5_serdes_probe() (bsc#1012628).
- serial: xilinx_uartps: Fix race condition causing stuck TX
(bsc#1012628).
- clk: at91: sam9x60-pll: use DIV_ROUND_CLOSEST_ULL (bsc#1012628).
- clk: at91: clk-master: check if div or pres is zero
(bsc#1012628).
- clk: at91: clk-master: fix prescaler logic (bsc#1012628).
- HID: u2fzero: clarify error check and length calculations
(bsc#1012628).
- HID: u2fzero: properly handle timeouts in usb_submit_urb
(bsc#1012628).
- powerpc/nohash: Fix __ptep_set_access_flags() and
ptep_set_wrprotect() (bsc#1012628).
- powerpc/book3e: Fix set_memory_x() and set_memory_nx()
(bsc#1012628).
- powerpc/44x/fsp2: add missing of_node_put (bsc#1012628).
- powerpc/xmon: fix task state output (bsc#1012628).
- ALSA: oxfw: fix functional regression for Mackie Onyx 1640i
in v5.14 or later (bsc#1012628).
- iommu/dma: Fix incorrect error return on iommu deferred attach
(bsc#1012628).
- powerpc: Don't provide __kernel_map_pages() without
ARCH_SUPPORTS_DEBUG_PAGEALLOC (bsc#1012628).
- ASoC: cs42l42: Correct configuring of switch inversion from
ts-inv (bsc#1012628).
- RDMA/hns: Fix initial arm_st of CQ (bsc#1012628).
- RDMA/hns: Modify the value of MAX_LP_MSG_LEN to meet hardware
compatibility (bsc#1012628).
- ASoC: rsnd: Fix an error handling path in 'rsnd_node_count()'
(bsc#1012628).
- serial: cpm_uart: Protect udbg definitions by
CONFIG_SERIAL_CPM_CONSOLE (bsc#1012628).
- virtio_ring: check desc == NULL when using indirect with packed
(bsc#1012628).
- vdpa/mlx5: Fix clearing of VIRTIO_NET_F_MAC feature bit
(bsc#1012628).
- mips: cm: Convert to bitfield API to fix out-of-bounds access
(bsc#1012628).
- power: supply: bq27xxx: Fix kernel crash on IRQ handler register
error (bsc#1012628).
- RDMA/core: Require the driver to set the IOVA correctly during
rereg_mr (bsc#1012628).
- apparmor: fix error check (bsc#1012628).
- rpmsg: Fix rpmsg_create_ept return when RPMSG config is not
defined (bsc#1012628).
- mtd: rawnand: intel: Fix potential buffer overflow in probe
(bsc#1012628).
- nfsd: don't alloc under spinlock in rpc_parse_scope_id
(bsc#1012628).
- rtc: ds1302: Add SPI ID table (bsc#1012628).
- rtc: ds1390: Add SPI ID table (bsc#1012628).
- rtc: pcf2123: Add SPI ID table (bsc#1012628).
- remoteproc: imx_rproc: Fix TCM io memory type (bsc#1012628).
- i2c: i801: Use PCI bus rescan mutex to protect P2SB access
(bsc#1012628).
- dmaengine: idxd: move out percpu_ref_exit() to ensure it's
outside submission (bsc#1012628).
- rtc: mcp795: Add SPI ID table (bsc#1012628).
- Input: ariel-pwrbutton - add SPI device ID table (bsc#1012628).
- i2c: mediatek: fixing the incorrect register offset
(bsc#1012628).
- NFS: Default change_attr_type to NFS4_CHANGE_TYPE_IS_UNDEFINED
(bsc#1012628).
- NFS: Don't set NFS_INO_DATA_INVAL_DEFER and NFS_INO_INVALID_DATA
(bsc#1012628).
- NFS: Ignore the directory size when marking for revalidation
(bsc#1012628).
- NFS: Fix dentry verifier races (bsc#1012628).
- pnfs/flexfiles: Fix misplaced barrier in
nfs4_ff_layout_prepare_ds (bsc#1012628).
- drm/bridge/lontium-lt9611uxc: fix provided connector suport
(bsc#1012628).
- drm/plane-helper: fix uninitialized variable reference
(bsc#1012628).
- PCI: aardvark: Don't spam about PIO Response Status
(bsc#1012628).
- PCI: aardvark: Fix preserving PCI_EXP_RTCTL_CRSSVE flag on
emulated bridge (bsc#1012628).
- opp: Fix return in _opp_add_static_v2() (bsc#1012628).
- NFS: Fix deadlocks in nfs_scan_commit_list() (bsc#1012628).
- sparc: Add missing "FORCE" target when using if_changed
(bsc#1012628).
- fs: orangefs: fix error return code of
orangefs_revalidate_lookup() (bsc#1012628).
- Input: st1232 - increase "wait ready" timeout (bsc#1012628).
- drm/bridge: nwl-dsi: Add atomic_get_input_bus_fmts
(bsc#1012628).
- mtd: spi-nor: hisi-sfc: Remove excessive clk_disable_unprepare()
(bsc#1012628).
- PCI: uniphier: Serialize INTx masking/unmasking and fix the
bit operation (bsc#1012628).
- mtd: rawnand: arasan: Prevent an unsupported configuration
(bsc#1012628).
- mtd: core: don't remove debugfs directory if device is in use
(bsc#1012628).
- remoteproc: Fix a memory leak in an error handling path in
'rproc_handle_vdev()' (bsc#1012628).
- rtc: rv3032: fix error handling in rv3032_clkout_set_rate()
(bsc#1012628).
- dmaengine: at_xdmac: call at_xdmac_axi_config() on resume path
(bsc#1012628).
- dmaengine: at_xdmac: fix AT_XDMAC_CC_PERID() macro
(bsc#1012628).
- dmaengine: stm32-dma: fix stm32_dma_get_max_width (bsc#1012628).
- NFS: Fix up commit deadlocks (bsc#1012628).
- NFS: Fix an Oops in pnfs_mark_request_commit() (bsc#1012628).
- Fix user namespace leak (bsc#1012628).
- auxdisplay: img-ascii-lcd: Fix lock-up when displaying empty
string (bsc#1012628).
- auxdisplay: ht16k33: Connect backlight to fbdev (bsc#1012628).
- auxdisplay: ht16k33: Fix frame buffer device blanking
(bsc#1012628).
- soc: fsl: dpaa2-console: free buffer before returning from
dpaa2_console_read (bsc#1012628).
- netfilter: nfnetlink_queue: fix OOB when mac header was cleared
(bsc#1012628).
- dmaengine: dmaengine_desc_callback_valid(): Check for
`callback_result` (bsc#1012628).
- dmaengine: tegra210-adma: fix pm runtime unbalance
(bsc#1012628).
- dmanegine: idxd: fix resource free ordering on driver removal
(bsc#1012628).
- dmaengine: idxd: reconfig device after device reset command
(bsc#1012628).
- signal/sh: Use force_sig(SIGKILL) instead of
do_group_exit(SIGKILL) (bsc#1012628).
- m68k: set a default value for MEMORY_RESERVE (bsc#1012628).
- watchdog: f71808e_wdt: fix inaccurate report in WDIOC_GETTIMEOUT
(bsc#1012628).
- ar7: fix kernel builds for compiler test (bsc#1012628).
- scsi: target: core: Remove from tmr_list during LUN unlink
(bsc#1012628).
- scsi: qla2xxx: Relogin during fabric disturbance (bsc#1012628).
- scsi: qla2xxx: Fix gnl list corruption (bsc#1012628).
- scsi: qla2xxx: Turn off target reset during issue_lip
(bsc#1012628).
- scsi: qla2xxx: edif: Fix app start fail (bsc#1012628).
- scsi: qla2xxx: edif: Fix app start delay (bsc#1012628).
- scsi: qla2xxx: edif: Flush stale events and msgs on session down
(bsc#1012628).
- scsi: qla2xxx: edif: Increase ELS payload (bsc#1012628).
- scsi: qla2xxx: edif: Fix EDIF bsg (bsc#1012628).
- NFSv4: Fix a regression in nfs_set_open_stateid_locked()
(bsc#1012628).
- dmaengine: idxd: fix resource leak on dmaengine driver disable
(bsc#1012628).
- i2c: xlr: Fix a resource leak in the error handling path of
'xlr_i2c_probe()' (bsc#1012628).
- gpio: realtek-otto: fix GPIO line IRQ offset (bsc#1012628).
- xen-pciback: Fix return in pm_ctrl_init() (bsc#1012628).
- nbd: fix max value for 'first_minor' (bsc#1012628).
- nbd: fix possible overflow for 'first_minor' in nbd_dev_add()
(bsc#1012628).
- io-wq: fix max-workers not correctly set on multi-node system
(bsc#1012628).
- net: davinci_emac: Fix interrupt pacing disable (bsc#1012628).
- kselftests/net: add missed icmp.sh test to Makefile
(bsc#1012628).
- kselftests/net: add missed setup_loopback.sh/setup_veth.sh to
Makefile (bsc#1012628).
- kselftests/net: add missed SRv6 tests (bsc#1012628).
- kselftests/net: add missed vrf_strict_mode_test.sh test to
Makefile (bsc#1012628).
- kselftests/net: add missed toeplitz.sh/toeplitz_client.sh to
Makefile (bsc#1012628).
- ethtool: fix ethtool msg len calculation for pause stats
(bsc#1012628).
- openrisc: fix SMP tlb flush NULL pointer dereference
(bsc#1012628).
- net: vlan: fix a UAF in vlan_dev_real_dev() (bsc#1012628).
- net: dsa: felix: fix broken VLAN-tagged PTP under VLAN-aware
bridge (bsc#1012628).
- ice: Fix replacing VF hardware MAC to existing MAC filter
(bsc#1012628).
- ice: Fix not stopping Tx queues for VFs (bsc#1012628).
- kdb: Adopt scheduler's task classification (bsc#1012628).
- ACPI: PMIC: Fix intel_pmic_regs_handler() read accesses
(bsc#1012628).
- PCI: j721e: Fix j721e_pcie_probe() error path (bsc#1012628).
- nvdimm/btt: do not call del_gendisk() if not needed
(bsc#1012628).
- scsi: bsg: Fix errno when scsi_bsg_register_queue() fails
(bsc#1012628).
- scsi: ufs: ufshpb: Use proper power management API
(bsc#1012628).
- scsi: ufs: core: Fix NULL pointer dereference (bsc#1012628).
- scsi: ufs: ufshpb: Properly handle max-single-cmd (bsc#1012628).
- selftests: net: properly support IPv6 in GSO GRE test
(bsc#1012628).
- drm/nouveau/svm: Fix refcount leak bug and missing check
against null bug (bsc#1012628).
- nvdimm/pmem: cleanup the disk if pmem_release_disk() is yet
assigned (bsc#1012628).
- block/ataflop: use the blk_cleanup_disk() helper (bsc#1012628).
- block/ataflop: add registration bool before calling
del_gendisk() (bsc#1012628).
- block/ataflop: provide a helper for cleanup up an atari disk
(bsc#1012628).
- ataflop: remove ataflop_probe_lock mutex (bsc#1012628).
- PCI: Do not enable AtomicOps on VFs (bsc#1012628).
- cpufreq: intel_pstate: Clear HWP desired on suspend/shutdown
and offline (bsc#1012628).
- net: phy: fix duplex out of sync problem while changing settings
(bsc#1012628).
- block: fix device_add_disk() kobject_create_and_add() error
handling (bsc#1012628).
- drm/ttm: remove ttm_bo_vm_insert_huge() (bsc#1012628).
- bonding: Fix a use-after-free problem when
bond_sysfs_slave_add() failed (bsc#1012628).
- octeontx2-pf: select CONFIG_NET_DEVLINK (bsc#1012628).
- ALSA: memalloc: Catch call with NULL snd_dma_buffer pointer
(bsc#1012628).
- mfd: core: Add missing of_node_put for loop iteration
(bsc#1012628).
- mfd: cpcap: Add SPI device ID table (bsc#1012628).
- mfd: sprd: Add SPI device ID table (bsc#1012628).
- mfd: altera-sysmgr: Fix a mistake caused by resource_size
conversion (bsc#1012628).
- ACPI: PM: Fix device wakeup power reference counting error
(bsc#1012628).
- libbpf: Fix lookup_and_delete_elem_flags error reporting
(bsc#1012628).
- selftests/bpf/xdp_redirect_multi: Put the logs to tmp folder
(bsc#1012628).
- selftests/bpf/xdp_redirect_multi: Use arping to accurate the
arp number (bsc#1012628).
- selftests/bpf/xdp_redirect_multi: Give tcpdump a chance to
terminate cleanly (bsc#1012628).
- selftests/bpf/xdp_redirect_multi: Limit the tests in netns
(bsc#1012628).
- drm: fb_helper: improve CONFIG_FB dependency (bsc#1012628).
- Revert "drm/imx: Annotate dma-fence critical section in commit
path" (bsc#1012628).
- drm/amdgpu/powerplay: fix sysfs_emit/sysfs_emit_at handling
(bsc#1012628).
- can: etas_es58x: es58x_rx_err_msg(): fix memory leak in error
path (bsc#1012628).
- can: mcp251xfd: mcp251xfd_chip_start(): fix error handling
for mcp251xfd_chip_rx_int_enable() (bsc#1012628).
- mm/zsmalloc.c: close race window between zs_pool_dec_isolated()
and zs_unregister_migration() (bsc#1012628).
- zram: off by one in read_block_state() (bsc#1012628).
- perf bpf: Add missing free to bpf_event__print_bpf_prog_info()
(bsc#1012628).
- llc: fix out-of-bound array index in llc_sk_dev_hash()
(bsc#1012628).
- nfc: pn533: Fix double free when pn533_fill_fragment_skbs()
fails (bsc#1012628).
- litex_liteeth: Fix a double free in the remove function
(bsc#1012628).
- arm64: arm64_ftr_reg->name may not be a human-readable string
(bsc#1012628).
- arm64: pgtable: make __pte_to_phys/__phys_to_pte_val inline
functions (bsc#1012628).
- bpf, sockmap: Remove unhash handler for BPF sockmap usage
(bsc#1012628).
- bpf, sockmap: Fix race in ingress receive verdict with redirect
to self (bsc#1012628).
- bpf: sockmap, strparser, and tls are reusing qdisc_skb_cb and
colliding (bsc#1012628).
- bpf, sockmap: sk_skb data_end access incorrect when src_reg =
dst_reg (bsc#1012628).
- dmaengine: stm32-dma: fix burst in case of unaligned memory
address (bsc#1012628).
- dmaengine: stm32-dma: avoid 64-bit division in
stm32_dma_get_max_width (bsc#1012628).
- gve: Fix off by one in gve_tx_timeout() (bsc#1012628).
- drm/i915/fb: Fix rounding error in subsampled plane size
calculation (bsc#1012628).
- init: make unknown command line param message clearer
(bsc#1012628).
- seq_file: fix passing wrong private data (bsc#1012628).
- drm/amdgpu: fix uvd crash on Polaris12 during driver unloading
(bsc#1012628).
- net: dsa: mv88e6xxx: Don't support >1G speeds on 6191X on
ports other than 10 (bsc#1012628).
- net/sched: sch_taprio: fix undefined behavior in
ktime_mono_to_any (bsc#1012628).
- net: hns3: fix ROCE base interrupt vector initialization bug
(bsc#1012628).
- net: hns3: fix pfc packet number incorrect after querying pfc
parameters (bsc#1012628).
- net: hns3: fix kernel crash when unload VF while it is being
reset (bsc#1012628).
- net: hns3: allow configure ETS bandwidth of all TCs
(bsc#1012628).
- net: stmmac: allow a tc-taprio base-time of zero (bsc#1012628).
- net: ethernet: ti: cpsw_ale: Fix access to un-initialized memory
(bsc#1012628).
- net: marvell: mvpp2: Fix wrong SerDes reconfiguration order
(bsc#1012628).
- vsock: prevent unnecessary refcnt inc for nonblocking connect
(bsc#1012628).
- net/smc: fix sk_refcnt underflow on linkdown and fallback
(bsc#1012628).
- cxgb4: fix eeprom len when diagnostics not implemented
(bsc#1012628).
- selftests/net: udpgso_bench_rx: fix port argument (bsc#1012628).
- thermal: int340x: fix build on 32-bit targets (bsc#1012628).
- smb3: do not error on fsync when readonly (bsc#1012628).
- ARM: 9155/1: fix early early_iounmap() (bsc#1012628).
- ARM: 9156/1: drop cc-option fallbacks for architecture selection
(bsc#1012628).
- parisc: Fix backtrace to always include init funtion names
(bsc#1012628).
- parisc: Flush kernel data mapping in set_pte_at() when
installing pte for user page (bsc#1012628).
- MIPS: fix duplicated slashes for Platform file path
(bsc#1012628).
- MIPS: fix *-pkg builds for loongson2ef platform (bsc#1012628).
- MIPS: Fix assembly error from MIPSr2 code used within
MIPS_ISA_ARCH_LEVEL (bsc#1012628).
- x86/mce: Add errata workaround for Skylake SKX37 (bsc#1012628).
- PCI/MSI: Move non-mask check back into low level accessors
(bsc#1012628).
- PCI/MSI: Destroy sysfs before freeing entries (bsc#1012628).
- KVM: x86: move guest_pv_has out of user_access section
(bsc#1012628).
- posix-cpu-timers: Clear task::posix_cputimers_work in
copy_process() (bsc#1012628).
- irqchip/sifive-plic: Fixup EOI failed when masked (bsc#1012628).
- f2fs: should use GFP_NOFS for directory inodes (bsc#1012628).
- f2fs: include non-compressed blocks in compr_written_block
(bsc#1012628).
- f2fs: fix UAF in f2fs_available_free_memory (bsc#1012628).
- ceph: fix mdsmap decode when there are MDS's beyond max_mds
(bsc#1012628).
- erofs: fix unsafe pagevec reuse of hooked pclusters
(bsc#1012628).
- drm/i915/guc: Fix blocked context accounting (bsc#1012628).
- block: Hold invalidate_lock in BLKDISCARD ioctl (bsc#1012628).
- block: Hold invalidate_lock in BLKZEROOUT ioctl (bsc#1012628).
- block: Hold invalidate_lock in BLKRESETZONE ioctl (bsc#1012628).
- ksmbd: Fix buffer length check in
fsctl_validate_negotiate_info() (bsc#1012628).
- ksmbd: don't need 8byte alignment for request length in
ksmbd_check_message (bsc#1012628).
- dmaengine: ti: k3-udma: Set bchan to NULL if a channel request
fail (bsc#1012628).
- dmaengine: ti: k3-udma: Set r/tchan or rflow to NULL if request
fail (bsc#1012628).
- dmaengine: bestcomm: fix system boot lockups (bsc#1012628).
- net, neigh: Enable state migration between NUD_PERMANENT and
NTF_USE (bsc#1012628).
- 9p/net: fix missing error check in p9_check_errors
(bsc#1012628).
- mm/filemap.c: remove bogus VM_BUG_ON (bsc#1012628).
- memcg: prohibit unconditional exceeding the limit of dying tasks
(bsc#1012628).
- mm, oom: pagefault_out_of_memory: don't force global OOM for
dying tasks (bsc#1012628).
- mm, oom: do not trigger out_of_memory from the #PF
(bsc#1012628).
- mm, thp: lock filemap when truncating page cache (bsc#1012628).
- mm, thp: fix incorrect unmap behavior for private pages
(bsc#1012628).
- mfd: dln2: Add cell for initializing DLN2 ADC (bsc#1012628).
- video: backlight: Drop maximum brightness override for
brightness zero (bsc#1012628).
- bcache: fix use-after-free problem in bcache_device_free()
(bsc#1012628).
- bcache: Revert "bcache: use bvec_virt" (bsc#1012628).
- PM: sleep: Avoid calling put_device() under dpm_list_mtx
(bsc#1012628).
- s390/cpumf: cpum_cf PMU displays invalid value after hotplug
remove (bsc#1012628).
- s390/cio: check the subchannel validity for dev_busid
(bsc#1012628).
- s390/tape: fix timer initialization in tape_std_assign()
(bsc#1012628).
- s390/ap: Fix hanging ioctl caused by orphaned replies
(bsc#1012628).
- s390/cio: make ccw_device_dma_* more robust (bsc#1012628).
- remoteproc: elf_loader: Fix loading segment when is_iomem true
(bsc#1012628).
- remoteproc: Fix the wrong default value of is_iomem
(bsc#1012628).
- remoteproc: imx_rproc: Fix ignoring mapping vdev regions
(bsc#1012628).
- remoteproc: imx_rproc: Fix rsc-table name (bsc#1012628).
- mtd: rawnand: fsmc: Fix use of SM ORDER (bsc#1012628).
- mtd: rawnand: ams-delta: Keep the driver compatible with on-die
ECC engines (bsc#1012628).
- mtd: rawnand: xway: Keep the driver compatible with on-die
ECC engines (bsc#1012628).
- mtd: rawnand: mpc5121: Keep the driver compatible with on-die
ECC engines (bsc#1012628).
- mtd: rawnand: gpio: Keep the driver compatible with on-die
ECC engines (bsc#1012628).
- mtd: rawnand: pasemi: Keep the driver compatible with on-die
ECC engines (bsc#1012628).
- mtd: rawnand: orion: Keep the driver compatible with on-die
ECC engines (bsc#1012628).
- mtd: rawnand: plat_nand: Keep the driver compatible with on-die
ECC engines (bsc#1012628).
- mtd: rawnand: au1550nd: Keep the driver compatible with on-die
ECC engines (bsc#1012628).
- powerpc/vas: Fix potential NULL pointer dereference
(bsc#1012628).
- powerpc/bpf: Fix write protecting JIT code (bsc#1012628).
- powerpc/32e: Ignore ESR in instruction storage interrupt handler
(bsc#1012628).
- powerpc/powernv/prd: Unregister OPAL_MSG_PRD2 notifier during
module unload (bsc#1012628).
- powerpc/security: Use a mutex for interrupt exit code patching
(bsc#1012628).
- powerpc/64s/interrupt: Fix check_return_regs_valid() false
positive (bsc#1012628).
- powerpc/pseries/mobility: ignore ibm, platform-facilities
updates (bsc#1012628).
- powerpc/85xx: fix timebase sync issue when CONFIG_HOTPLUG_CPU=n
(bsc#1012628).
- drm/sun4i: Fix macros in sun8i_csc.h (bsc#1012628).
- PCI: Add PCI_EXP_DEVCTL_PAYLOAD_* macros (bsc#1012628).
- PCI: aardvark: Fix PCIe Max Payload Size setting (bsc#1012628).
- SUNRPC: Partial revert of commit 6f9f17287e78 (bsc#1012628).
- drm/amd/display: Look at firmware version to determine using
dmub on dcn21 (bsc#1012628).
- media: vidtv: move kfree(dvb) to vidtv_bridge_dev_release()
(bsc#1012628).
- cifs: fix memory leak of smb3_fs_context_dup::server_hostname
(bsc#1012628).
- ath10k: fix invalid dma_addr_t token assignment (bsc#1012628).
- mmc: moxart: Fix null pointer dereference on pointer host
(bsc#1012628).
- selftests/x86/iopl: Adjust to the faked iopl CLI/STI usage
(bsc#1012628).
- selftests/bpf: Fix also no-alu32 strobemeta selftest
(bsc#1012628).
- arch/cc: Introduce a function to check for confidential
computing features (bsc#1012628).
- x86/sev: Add an x86 version of cc_platform_has() (bsc#1012628).
- x86/sev: Make the #VC exception stacks part of the default
stacks storage (bsc#1012628).
- media: videobuf2: always set buffer vb2 pointer (bsc#1012628).
- media: videobuf2-dma-sg: Fix buf->vb NULL pointer dereference
(bsc#1012628).
- Update config files.
On armv7hl, set DRM_PANEL_SIMPLE=m to fix link error caused by
9d6366e743f3.
- commit 60fa139
-------------------------------------------------------------------
Wed Nov 17 06:40:00 CET 2021 - jslaby@suse.cz
- Refresh
patches.suse/Input-i8042-Add-deferred-probe-support.patch.
Fix cut & paste error in param description.
- commit 6d1d038
-------------------------------------------------------------------
Tue Nov 16 16:15:34 CET 2021 - iivanov@suse.de
- random: fix crash on multiple early calls to add_bootloader_randomness() (bsc#1184924)
- commit 3f996f1
-------------------------------------------------------------------
Tue Nov 16 14:24:20 CET 2021 - tiwai@suse.de
- ALSA: usb-audio: Fix dB level of Bose Revolve+ SoundLink
(bsc#1192375).
- ALSA: usb-audio: Add minimal-mute notion in dB mapping table
(bsc#1192375).
- ALSA: usb-audio: Use int for dB map values (bsc#1192375).
- commit 0ea1c07
-------------------------------------------------------------------
Tue Nov 16 11:39:22 CET 2021 - tiwai@suse.de
- rtw89: update partition size of firmware header on skb->data
(bsc#1188303).
- commit d879057
-------------------------------------------------------------------
Tue Nov 16 11:37:43 CET 2021 - msuchanek@suse.de
- kernel-*-subpackage: Add dependency on kernel scriptlets (bsc#1192740).
- commit a133bf4
-------------------------------------------------------------------
Tue Nov 16 11:37:11 CET 2021 - tiwai@suse.de
- Drop downstream rtw89 fix patch, to be replaced with the upstream fix
- commit b9cd6cb
-------------------------------------------------------------------
Sat Nov 13 15:10:02 CET 2021 - jslaby@suse.cz
- Linux 5.15.2 (bsc#1012628).
- rsi: fix control-message timeout (bsc#1012628).
- media: staging/intel-ipu3: css: Fix wrong size comparison
imgu_css_fw_init (bsc#1012628).
- staging: r8188eu: fix memleak in rtw_wx_set_enc_ext
(bsc#1012628).
- staging: rtl8192u: fix control-message timeouts (bsc#1012628).
- staging: r8712u: fix control-message timeout (bsc#1012628).
- comedi: vmk80xx: fix bulk and interrupt message timeouts
(bsc#1012628).
- comedi: vmk80xx: fix bulk-buffer overflow (bsc#1012628).
- comedi: vmk80xx: fix transfer-buffer overflows (bsc#1012628).
- comedi: ni_usb6501: fix NULL-deref in command paths
(bsc#1012628).
- comedi: dt9812: fix DMA buffers on stack (bsc#1012628).
- isofs: Fix out of bound access for corrupted isofs image
(bsc#1012628).
- staging: rtl8712: fix use-after-free in rtl8712_dl_fw
(bsc#1012628).
- btrfs: fix lzo_decompress_bio() kmap leakage (bsc#1012628).
- kfence: default to dynamic branch instead of static keys mode
(bsc#1012628).
- kfence: always use static branches to guard kfence_alloc()
(bsc#1012628).
- binder: don't detect sender/target during buffer cleanup
(bsc#1012628).
- binder: use cred instead of task for getsecid (bsc#1012628).
- binder: use cred instead of task for selinux checks
(bsc#1012628).
- binder: use euid from cred instead of using task (bsc#1012628).
- Revert "proc/wchan: use printk format instead of
lookup_symbol_name()" (bsc#1012628).
- usb-storage: Add compatibility quirk flags for iODD 2531/2541
(bsc#1012628).
- usb: musb: Balance list entry in musb_gadget_queue
(bsc#1012628).
- usb: gadget: Mark USB_FSL_QE broken on 64-bit (bsc#1012628).
- usb: ehci: handshake CMD_RUN instead of STS_HALT (bsc#1012628).
- Revert "x86/kvm: fix vcpu-id indexed array sizes" (bsc#1012628).
- KVM: x86: avoid warning with -Wbitwise-instead-of-logical
(bsc#1012628).
- commit 26a203b
-------------------------------------------------------------------
Thu Nov 11 11:31:59 CET 2021 - msuchanek@suse.de
- Fix problem with missing installkernel on Tumbleweed.
- commit 2ed6686
-------------------------------------------------------------------
Thu Nov 11 09:07:33 CET 2021 - mkubecek@suse.cz
- config: refresh
- drop PROFILE_ALL_BRANCHES where not available any more
- commit d11f2e4
-------------------------------------------------------------------
Tue Nov 9 13:00:04 CET 2021 - jeyu@suse.de
- Revert "rpm/config.sh: Compress modules with zstd (jsc#SLE-21256)."
This reverts commit 648b5c7cb84366056aed609528029ae9c75c3d37.
Reported to cause build problems in IBS (boo#1192457).
- commit dcfd611
-------------------------------------------------------------------
Tue Nov 9 12:10:08 CET 2021 - tiwai@suse.de
- Update config files: set CONFIG_FORTIFY_SOURCE=y consistently (bsc#1192476)
- commit 3837451
-------------------------------------------------------------------
Mon Nov 8 14:02:25 CET 2021 - msuchanek@suse.de
- Update config files (bsc#1192456).
CONFIG_IMA_TRUSTED_KEYRING=y
- commit 2251920
-------------------------------------------------------------------
Sun Nov 7 19:50:45 CET 2021 - mkubecek@suse.cz
- series.conf: cleanup
- update upstream reference and move to appropriate section
- patches.suse/ALSA-usb-audio-Restrict-rates-for-the-shared-clocks.patch
- commit 651a971
-------------------------------------------------------------------
Sat Nov 6 20:09:15 CET 2021 - jslaby@suse.cz
- Linux 5.15.1 (bsc#1012628).
- sfc: Fix reading non-legacy supported link modes (bsc#1012628).
- Revert "xhci: Set HCD flag to defer primary roothub
registration" (bsc#1012628).
- Revert "usb: core: hcd: Add support for deferring roothub
registration" (bsc#1012628).
- drm/amdkfd: fix boot failure when iommu is disabled in Picasso
(bsc#1012628).
- Revert "soc: imx: gpcv2: move reset assert after requesting
domain power up" (bsc#1012628).
- ARM: 9120/1: Revert "amba: make use of -1 IRQs warn"
(bsc#1012628).
- Revert "wcn36xx: Disable bmps when encryption is disabled"
(bsc#1012628).
- drm/amdgpu: revert "Add autodump debugfs node for gpu reset v8"
(bsc#1012628).
- drm/amd/display: Revert "Directly retrain link from debugfs"
(bsc#1012628).
- Revert "drm/i915/gt: Propagate change in error status to
children on unhold" (bsc#1012628).
- ALSA: usb-audio: Add quirk for Audient iD14 (bsc#1012628).
- commit 7ecebb2
-------------------------------------------------------------------
Fri Nov 5 15:15:43 CET 2021 - tiwai@suse.de
- Input: i8042 - Add deferred probe support (bsc#1190256).
- commit 5a246e6
-------------------------------------------------------------------
Fri Nov 5 10:55:54 CET 2021 - mkubecek@suse.cz
- update patch metadata
- update upstream reference
- patches.suse/arm64-dts-rockchip-Disable-CDN-DP-on-Pinebook-Pro.patch
- commit aa05ab3
-------------------------------------------------------------------
Fri Nov 5 10:53:53 CET 2021 - mkubecek@suse.cz
- series.conf: cleanup
- move to the section for short lived patches:
- patches.suse/rtw89-Fix-crash-by-loading-compressed-firmware-file.patch
- commit 1fb2e08
-------------------------------------------------------------------
Fri Nov 5 10:19:15 CET 2021 - tiwai@suse.de
- rtw89: Fix crash by loading compressed firmware file
(bsc#1188303).
- commit 42e1103
-------------------------------------------------------------------
Wed Nov 3 17:46:07 CET 2021 - mkubecek@suse.cz
- supported-flag: consolidate a bit more
patches.suse/revert-modpost-remove-get_next_text-and-make-grab-release_-file-s.patch
is a partial revert of mainline commit 75893572d453 ("modpost: remove
get_next_text() and make {grab,release_}file static"); it restores function
get_next_line() which was removed in mainline but we still need it.
As the function is static and only used in code built only with
CONFIG_SUSE_KERNEL_SUPPORTED enabled, compiler issues a warning when
building with CONFIG_SUSE_KERNEL_SUPPORTED disabled. Merge the patch into
patches.suse/add-suse-supported-flag.patch and move the function into
an #ifdef CONFIG_SUSE_KERNEL_SUPPORTED block.
The only effect on expanded tree is moving get_next_line() lower so that it
is only compiled when CONFIG_SUSE_KERNEL_SUPPORTED=n.
- commit 0c612fa
-------------------------------------------------------------------
Wed Nov 3 16:35:52 CET 2021 - tiwai@suse.de
- Input: i8042 - Add quirk for Fujitsu Lifebook T725
(bsc#1191980).
- commit 44f2754
-------------------------------------------------------------------
Wed Nov 3 09:29:23 CET 2021 - mkubecek@suse.cz
- update patch metadata
- update upstream references:
- patches.suse/Bluetooth-sco-Fix-lock_sock-blockage-by-memcpy_from_.patch
- patches.suse/media-firewire-firedtv-avc-fix-a-buffer-overflow-in-.patch
- patches.suse/rtw89-Fix-two-spelling-mistakes-in-debug-messages.patch
- patches.suse/rtw89-Fix-variable-dereferenced-before-check-sta.patch
- patches.suse/rtw89-Remove-redundant-check-of-ret-after-call-to-rt.patch
- patches.suse/rtw89-add-Realtek-802.11ax-driver.patch
- patches.suse/rtw89-fix-error-function-parameter.patch
- patches.suse/rtw89-fix-return-value-check-in-rtw89_cam_send_sec_k.patch
- patches.suse/rtw89-fix-return-value-in-hfc_pub_cfg_chk.patch
- patches.suse/rtw89-remove-duplicate-register-definitions.patch
- patches.suse/rtw89-remove-unneeded-semicolon.patch
- commit 2e35b89
-------------------------------------------------------------------
Mon Nov 1 07:51:41 CET 2021 - tiwai@suse.de
- Refresh patches.suse/iwlwifi-module-firmware-ucode-fix.patch (boo#1191417)
There is one model that contains *-66.ucode. Add the exception.
- commit f0d7a09
-------------------------------------------------------------------
Sun Oct 31 23:59:37 CET 2021 - mkubecek@suse.cz
- Update to 5.15 final
- refresh configs
- drop CONFIG_RESET_PISTACHIO
- commit 2ab31e7
-------------------------------------------------------------------
Sun Oct 31 23:48:08 CET 2021 - mkubecek@suse.cz
- config: update and enable armv6hl
Update armv6hl configs to 5.15-rc7 and enable them. Where possible, values
are taken from armv7hl, the rest is guesswork based on values of similar
config options.
- commit 22c5286
-------------------------------------------------------------------
Sun Oct 31 23:40:56 CET 2021 - mkubecek@suse.cz
- config: update and enable armv7hl
Update armv7hl configs to 5.15-rc7 and enable them. Where possible, values
are taken from arm64, the rest is guesswork based on values of similar
config options.
- commit 3b362e7
-------------------------------------------------------------------
Sun Oct 31 23:29:12 CET 2021 - mkubecek@suse.cz
- config: update and enable arm64
Update arm64 configs to 5.15-rc7 and enable them. Where possible, values
are taken from x86_64, the rest is guesswork based on values of similar
config options.
- commit 482d5b4
-------------------------------------------------------------------
Fri Oct 29 17:33:37 CEST 2021 - tiwai@suse.de
- rtw89: Fix variable dereferenced before check 'sta'
(bsc#1191321).
- rtw89: fix return value in hfc_pub_cfg_chk (bsc#1191321).
- rtw89: remove duplicate register definitions (bsc#1191321).
- rtw89: fix error function parameter (bsc#1191321).
- rtw89: remove unneeded semicolon (bsc#1191321).
- rtw89: fix return value check in rtw89_cam_send_sec_key_cmd()
(bsc#1191321).
- rtw89: Remove redundant check of ret after call to
rtw89_mac_enable_bb_rf (bsc#1191321).
- rtw89: Fix two spelling mistakes in debug messages
(bsc#1191321).
- rtw89: Fix variable dereferenced before check 'sta'
(bsc#1191321).
- rtw89: fix return value in hfc_pub_cfg_chk (bsc#1191321).
- rtw89: remove duplicate register definitions (bsc#1191321).
- rtw89: fix error function parameter (bsc#1191321).
- rtw89: remove unneeded semicolon (bsc#1191321).
- rtw89: fix return value check in rtw89_cam_send_sec_key_cmd()
(bsc#1191321).
- rtw89: Remove redundant check of ret after call to
rtw89_mac_enable_bb_rf (bsc#1191321).
- rtw89: Fix two spelling mistakes in debug messages
(bsc#1191321).
- commit 719bb03
-------------------------------------------------------------------
Thu Oct 28 17:01:59 CEST 2021 - ykaukab@suse.de
- config: arm64: enable dpaa2 restool support
References: bsc#1191190
- commit c86f145
-------------------------------------------------------------------
Wed Oct 27 10:29:29 CEST 2021 - jslaby@suse.cz
- Linux 5.14.15 (bsc#1012628).
- block/mq-deadline: Move dd_queued() to fix defined but not
used warning (bsc#1012628).
- parisc: math-emu: Fix fall-through warnings (bsc#1012628).
- sh: pgtable-3level: fix cast to pointer from integer of
different size (bsc#1012628).
- arm: dts: vexpress-v2p-ca9: Fix the SMB unit-address
(bsc#1012628).
- ARM: dts: at91: sama5d2_som1_ek: disable ISC node by default
(bsc#1012628).
- block: decode QUEUE_FLAG_HCTX_ACTIVE in debugfs output
(bsc#1012628).
- xen/x86: prevent PVH type from getting clobbered (bsc#1012628).
- r8152: avoid to resubmit rx immediately (bsc#1012628).
- drm/amdgpu/display: fix dependencies for DRM_AMD_DC_SI
(bsc#1012628).
- drm/amdgpu: init iommu after amdkfd device init (bsc#1012628).
- xtensa: xtfpga: use CONFIG_USE_OF instead of CONFIG_OF
(bsc#1012628).
- xtensa: xtfpga: Try software restart before simulating CPU reset
(bsc#1012628).
- NFSD: Keep existing listeners on portlist error (bsc#1012628).
- powerpc/lib: Add helper to check if offset is within conditional
branch range (bsc#1012628).
- powerpc/bpf: Validate branch ranges (bsc#1012628).
- powerpc/security: Add a helper to query stf_barrier type
(bsc#1012628).
- powerpc/bpf: Emit stf barrier instruction sequences for
BPF_NOSPEC (bsc#1012628).
- ASoC: pcm512x: Mend accesses to the I2S_1 and I2S_2 registers
(bsc#1012628).
- ASoC: fsl_xcvr: Fix channel swap issue with ARC (bsc#1012628).
- ASoC: pcm179x: Add missing entries SPI to device ID table
(bsc#1012628).
- ASoC: cs4341: Add SPI device ID table (bsc#1012628).
- KVM: arm64: Fix host stage-2 PGD refcount (bsc#1012628).
- KVM: arm64: Release mmap_lock when using VM_SHARED with MTE
(bsc#1012628).
- netfilter: xt_IDLETIMER: fix panic that occurs when timer_type
has garbage value (bsc#1012628).
- netfilter: nf_tables: skip netdev events generated on netns
removal (bsc#1012628).
- dma-debug: fix sg checks in debug_dma_map_sg() (bsc#1012628).
- ASoC: wm8960: Fix clock configuration on slave mode
(bsc#1012628).
- ice: Fix failure to re-add LAN/RDMA Tx queues (bsc#1012628).
- ice: Avoid crash from unnecessary IDA free (bsc#1012628).
- ice: fix getting UDP tunnel entry (bsc#1012628).
- ice: Print the api_patch as part of the fw.mgmt.api
(bsc#1012628).
- netfilter: ip6t_rt: fix rt0_hdr parsing in rt_mt6 (bsc#1012628).
- netfilter: ipvs: make global sysctl readonly in non-init netns
(bsc#1012628).
- sctp: fix transport encap_port update in sctp_vtag_verify
(bsc#1012628).
- lan78xx: select CRC32 (bsc#1012628).
- tcp: md5: Fix overlap between vrf and non-vrf keys
(bsc#1012628).
- ipv6: When forwarding count rx stats on the orig netdev
(bsc#1012628).
- hamradio: baycom_epp: fix build for UML (bsc#1012628).
- net: dsa: lantiq_gswip: fix register definition (bsc#1012628).
- net/sched: act_ct: Fix byte count on fragmented packets
(bsc#1012628).
- NIOS2: irqflags: rename a redefined register name (bsc#1012628).
- net: dsa: Fix an error handling path in
'dsa_switch_parse_ports_of()' (bsc#1012628).
- powerpc/smp: do not decrement idle task preempt count in CPU
offline (bsc#1012628).
- net: hns3: Add configuration of TM QCN error event
(bsc#1012628).
- net: hns3: reset DWRR of unused tc to zero (bsc#1012628).
- net: hns3: add limit ets dwrr bandwidth cannot be 0
(bsc#1012628).
- net: hns3: schedule the polling again when allocation fails
(bsc#1012628).
- net: hns3: fix vf reset workqueue cannot exit (bsc#1012628).
- net: hns3: disable sriov before unload hclge layer
(bsc#1012628).
- net: stmmac: Fix E2E delay mechanism (bsc#1012628).
- ptp: Fix possible memory leak in ptp_clock_register()
(bsc#1012628).
- e1000e: Fix packet loss on Tiger Lake and later (bsc#1012628).
- igc: Update I226_K device ID (bsc#1012628).
- ice: Add missing E810 device ids (bsc#1012628).
- net/mlx5e: IPsec: Fix a misuse of the software parser's fields
(bsc#1012628).
- net/mlx5e: IPsec: Fix work queue entry ethernet segment checksum
flags (bsc#1012628).
- drm/panel: ilitek-ili9881c: Fix sync for Feixin K101-IM2BYL02
panel (bsc#1012628).
- drm/kmb: Work around for higher system clock (bsc#1012628).
- drm/kmb: Remove clearing DPHY regs (bsc#1012628).
- drm/kmb: Disable change of plane parameters (bsc#1012628).
- drm/kmb: Corrected typo in handle_lcd_irq (bsc#1012628).
- drm/kmb: Enable ADV bridge after modeset (bsc#1012628).
- net: enetc: fix ethtool counter name for PM0_TERR (bsc#1012628).
- net: enetc: make sure all traffic classes can send large frames
(bsc#1012628).
- can: rcar_can: fix suspend/resume (bsc#1012628).
- can: peak_usb: pcan_usb_fd_decode_status(): fix back to
ERROR_ACTIVE state notification (bsc#1012628).
- can: peak_pci: peak_pci_remove(): fix UAF (bsc#1012628).
- can: isotp: isotp_sendmsg(): fix return error on FC timeout
on TX path (bsc#1012628).
- can: isotp: isotp_sendmsg(): add result check for
wait_event_interruptible() (bsc#1012628).
- can: isotp: isotp_sendmsg(): fix TX buffer concurrent access
in isotp_sendmsg() (bsc#1012628).
- can: j1939: j1939_tp_rxtimer(): fix errant alert in
j1939_tp_rxtimer (bsc#1012628).
- can: j1939: j1939_netdev_start(): fix UAF for rx_kref of
j1939_priv (bsc#1012628).
- can: j1939: j1939_xtp_rx_dat_one(): cancel session if receive
TP.DT with error length (bsc#1012628).
- can: j1939: j1939_xtp_rx_rts_session_new(): abort TP less than
9 bytes (bsc#1012628).
- ceph: skip existing superblocks that are blocklisted or shut
down when mounting (bsc#1012628).
- ceph: fix handling of "meta" errors (bsc#1012628).
- tracing: Have all levels of checks prevent recursion
(bsc#1012628).
- ocfs2: fix data corruption after conversion from inline format
(bsc#1012628).
- ocfs2: mount fails with buffer overflow in strlen (bsc#1012628).
- mm/userfaultfd: selftests: fix memory corruption with thp
enabled (bsc#1012628).
- userfaultfd: fix a race between writeprotect and exit_mmap()
(bsc#1012628).
- mm/mempolicy: do not allow illegal MPOL_F_NUMA_BALANCING |
MPOL_LOCAL in mbind() (bsc#1012628).
- elfcore: correct reference to CONFIG_UML (bsc#1012628).
- vfs: check fd has read access in kernel_read_file_from_fd()
(bsc#1012628).
- mm/secretmem: fix NULL page->mapping dereference in
page_is_secretmem() (bsc#1012628).
- ALSA: usb-audio: Provide quirk for Sennheiser GSP670 Headset
(bsc#1012628).
- ALSA: hda/realtek: Add quirk for Clevo PC50HS (bsc#1012628).
- ASoC: DAPM: Fix missing kctl change notifications (bsc#1012628).
- ASoC: nau8824: Fix headphone vs headset, button-press detection
no longer working (bsc#1012628).
- blk-cgroup: blk_cgroup_bio_start() should use irq-safe
operations on blkg->iostat_cpu (bsc#1012628).
- audit: fix possible null-pointer dereference in
audit_filter_rules (bsc#1012628).
- net: dsa: mt7530: correct ds->num_ports (bsc#1012628).
- ucounts: Move get_ucounts from cred_alloc_blank to
key_change_session_keyring (bsc#1012628).
- ucounts: Pair inc_rlimit_ucounts with dec_rlimit_ucoutns in
commit_creds (bsc#1012628).
- ucounts: Proper error handling in set_cred_ucounts
(bsc#1012628).
- ucounts: Fix signal ucount refcounting (bsc#1012628).
- KVM: PPC: Book3S HV: Fix stack handling in
idle_kvm_start_guest() (bsc#1012628).
- KVM: PPC: Book3S HV: Make idle_kvm_start_guest() return 0 if
it went to guest (bsc#1012628).
- powerpc/idle: Don't corrupt back chain when going idle
(bsc#1012628).
- mm, slub: fix mismatch between reconstructed freelist depth
and cnt (bsc#1012628).
- mm, slub: fix potential memoryleak in kmem_cache_open()
(bsc#1012628).
- mm, slub: fix potential use-after-free in slab_debugfs_fops
(bsc#1012628).
- mm, slub: fix incorrect memcg slab count for bulk free
(bsc#1012628).
- KVM: nVMX: promptly process interrupts delivered while in
guest mode (bsc#1012628).
- KVM: SEV: Flush cache on non-coherent systems before
RECEIVE_UPDATE_DATA (bsc#1012628).
- KVM: SEV-ES: rename guest_ins_data to sev_pio_data
(bsc#1012628).
- KVM: SEV-ES: clean up kvm_sev_es_ins/outs (bsc#1012628).
- KVM: SEV-ES: keep INS functions together (bsc#1012628).
- KVM: SEV-ES: fix length of string I/O (bsc#1012628).
- KVM: SEV-ES: go over the sev_pio_data buffer in multiple passes
if needed (bsc#1012628).
- KVM: SEV-ES: reduce ghcb_sa_len to 32 bits (bsc#1012628).
- KVM: x86: leave vcpu->arch.pio.count alone in
emulator_pio_in_out (bsc#1012628).
- KVM: x86: check for interrupts before deciding whether to exit
the fast path (bsc#1012628).
- KVM: x86: split the two parts of emulator_pio_in (bsc#1012628).
- KVM: x86: remove unnecessary arguments from
complete_emulator_pio_in (bsc#1012628).
- nfc: nci: fix the UAF of rf_conn_info object (bsc#1012628).
- isdn: cpai: check ctr->cnr to avoid array index out of bound
(bsc#1012628).
- netfilter: Kconfig: use 'default y' instead of 'm' for bool
config option (bsc#1012628).
- selftests: netfilter: remove stray bash debug line
(bsc#1012628).
- net: bridge: mcast: use multicast_membership_interval for IGMPv3
(bsc#1012628).
- KVM: SEV-ES: Set guest_state_protected after VMSA update
(bsc#1012628).
- drm: mxsfb: Fix NULL pointer dereference crash on unload
(bsc#1012628).
- net: hns3: fix the max tx size according to user manual
(bsc#1012628).
- KVM: MMU: Reset mmu->pkru_mask to avoid stale data
(bsc#1012628).
- kunit: fix reference count leak in kfree_at_end (bsc#1012628).
- drm/msm/a6xx: Serialize GMU communication (bsc#1012628).
- gcc-plugins/structleak: add makefile var for disabling
structleak (bsc#1012628).
- iio/test-format: build kunit tests without structleak plugin
(bsc#1012628).
- device property: build kunit tests without structleak plugin
(bsc#1012628).
- thunderbolt: build kunit tests without structleak plugin
(bsc#1012628).
- bitfield: build kunit tests without structleak plugin
(bsc#1012628).
- objtool: Check for gelf_update_rel[a] failures (bsc#1012628).
- objtool: Update section header before relocations (bsc#1012628).
- btrfs: deal with errors when checking if a dir entry exists
during log replay (bsc#1012628).
- net: stmmac: add support for dwmac 3.40a (bsc#1012628).
- ARM: dts: spear3xx: Fix gmac node (bsc#1012628).
- isdn: mISDN: Fix sleeping function called from invalid context
(bsc#1012628).
- platform/x86: intel_scu_ipc: Increase virtual timeout to 10s
(bsc#1012628).
- platform/x86: intel_scu_ipc: Update timeout value in comment
(bsc#1012628).
- ALSA: hda: avoid write to STATESTS if controller is in reset
(bsc#1012628).
- spi: Fix deadlock when adding SPI controllers on SPI buses
(bsc#1012628).
- spi-mux: Fix false-positive lockdep splats (bsc#1012628).
- libperf test evsel: Fix build error on !x86 architectures
(bsc#1012628).
- libperf tests: Fix test_stat_cpu (bsc#1012628).
- perf/x86/msr: Add Sapphire Rapids CPU support (bsc#1012628).
- Input: snvs_pwrkey - add clk handling (bsc#1012628).
- ASoC: codec: wcd938x: Add irq config support (bsc#1012628).
- scsi: iscsi: Fix set_param() handling (bsc#1012628).
- scsi: storvsc: Fix validation for unsolicited incoming packets
(bsc#1012628).
- scsi: mpi3mr: Fix duplicate device entries when scanning
through sysfs (bsc#1012628).
- scsi: qla2xxx: Fix a memory leak in an error path of
qla2x00_process_els() (bsc#1012628).
- mm/thp: decrease nr_thps in file's mapping on THP split
(bsc#1012628).
- sched/scs: Reset the shadow stack when idle_task_exit
(bsc#1012628).
- net: hns3: fix for miscalculation of rx unused desc
(bsc#1012628).
- net/mlx5: Lag, move lag destruction to a workqueue
(bsc#1012628).
- net/mlx5: Lag, change multipath and bonding to be mutually
exclusive (bsc#1012628).
- drm/kmb: Enable alpha blended second plane (bsc#1012628).
- drm/kmb: Limit supported mode to 1080p (bsc#1012628).
- autofs: fix wait name hash calculation in autofs_wait()
(bsc#1012628).
- scsi: core: Fix shost->cmd_per_lun calculation in
scsi_add_host_with_dma() (bsc#1012628).
- s390/pci: cleanup resources only if necessary (bsc#1012628).
- s390/pci: fix zpci_zdev_put() on reserve (bsc#1012628).
- bpf, test, cgroup: Use sk_{alloc,free} for test cases
(bsc#1012628).
- net: mdiobus: Fix memory leak in __mdiobus_register
(bsc#1012628).
- e1000e: Separate TGP board type from SPT (bsc#1012628).
- ARM: 9122/1: select HAVE_FUTEX_CMPXCHG (bsc#1012628).
- pinctrl: stm32: use valid pin identifier in
stm32_pinctrl_resume() (bsc#1012628).
- Update config files.
- commit 3416a5a
-------------------------------------------------------------------
Mon Oct 25 20:41:14 CEST 2021 - mkubecek@suse.cz
- Update to 5.15-rc7
- commit 89a05b7
-------------------------------------------------------------------
Thu Oct 21 07:05:03 CEST 2021 - jslaby@suse.cz
- Update
patches.kernel.org/5.14.14-060-x86-fpu-Mask-out-the-invalid-MXCSR-bits-prope.patch
(bsc#1012628 bsc#1191855).
- commit 2b5383f
-------------------------------------------------------------------
Thu Oct 21 06:25:12 CEST 2021 - jslaby@suse.cz
- Linux 5.14.14 (bsc#1012628).
- ALSA: usb-audio: Add quirk for VF0770 (bsc#1012628).
- ALSA: pcm: Workaround for a wrong offset in SYNC_PTR compat
ioctl (bsc#1012628).
- ALSA: usb-audio: Fix a missing error check in scarlett gen2
mixer (bsc#1012628).
- ALSA: seq: Fix a potential UAF by wrong private_free call order
(bsc#1012628).
- ALSA: hda/realtek: Enable 4-speaker output for Dell Precision
5560 laptop (bsc#1012628).
- ALSA: hda - Enable headphone mic on Dell Latitude laptops with
ALC3254 (bsc#1012628).
- ALSA: hda/realtek: Complete partial device name to avoid
ambiguity (bsc#1012628).
- ALSA: hda/realtek: Add quirk for Clevo X170KM-G (bsc#1012628).
- ALSA: hda/realtek - ALC236 headset MIC recording issue
(bsc#1012628).
- ALSA: hda/realtek: Add quirk for TongFang PHxTxX1 (bsc#1012628).
- ALSA: hda/realtek: Fix for quirk to enable speaker output on
the Lenovo 13s Gen2 (bsc#1012628).
- ALSA: hda/realtek: Fix the mic type detection issue for ASUS
G551JW (bsc#1012628).
- platform/x86: gigabyte-wmi: add support for B550 AORUS ELITE
AX V2 (bsc#1012628).
- platform/x86: amd-pmc: Add alternative acpi id for PMC
controller (bsc#1012628).
- spi: atmel: Fix PDC transfer setup bug (bsc#1012628).
- mtd: rawnand: qcom: Update code word value for raw read
(bsc#1012628).
- nds32/ftrace: Fix Error: invalid operands (*UND* and *UND*
sections) for `^' (bsc#1012628).
- dm: fix mempool NULL pointer race when completing IO
(bsc#1012628).
- ACPI: PM: Include alternate AMDI0005 id in special behaviour
(bsc#1012628).
- dm rq: don't queue request to blk-mq during DM suspend
(bsc#1012628).
- s390: fix strrchr() implementation (bsc#1012628).
- clk: socfpga: agilex: fix duplicate s2f_user0_clk (bsc#1012628).
- csky: don't let sigreturn play with priveleged bits of status
register (bsc#1012628).
- csky: Fixup regs.sr broken in ptrace (bsc#1012628).
- drm/fbdev: Clamp fbdev surface size if too large (bsc#1012628).
- arm64/hugetlb: fix CMA gigantic page order for non-4K PAGE_SIZE
(bsc#1012628).
- drm/nouveau/fifo: Reinstate the correct engine bit programming
(bsc#1012628).
- drm/msm: Do not run snapshot on non-DPU devices (bsc#1012628).
- drm/msm: Avoid potential overflow in timeout_to_jiffies()
(bsc#1012628).
- btrfs: unlock newly allocated extent buffer after error
(bsc#1012628).
- btrfs: deal with errors when replaying dir entry during log
replay (bsc#1012628).
- btrfs: deal with errors when adding inode reference during
log replay (bsc#1012628).
- btrfs: check for error when looking up inode during dir entry
replay (bsc#1012628).
- btrfs: update refs for any root except tree log roots
(bsc#1012628).
- btrfs: fix abort logic in btrfs_replace_file_extents
(bsc#1012628).
- module: fix clang CFI with MODULE_UNLOAD=n (bsc#1012628).
- x86/resctrl: Free the ctrlval arrays when
domain_setup_mon_state() fails (bsc#1012628).
- mei: me: add Ice Lake-N device id (bsc#1012628).
- mei: hbm: drop hbm responses on early shutdown (bsc#1012628).
- USB: xhci: dbc: fix tty registration race (bsc#1012628).
- xhci: guard accesses to ep_state in xhci_endpoint_reset()
(bsc#1012628).
- xhci: add quirk for host controllers that don't update endpoint
DCS (bsc#1012628).
- xhci: Fix command ring pointer corruption while aborting a
command (bsc#1012628).
- xhci: Enable trust tx length quirk for Fresco FL11 USB
controller (bsc#1012628).
- cb710: avoid NULL pointer subtraction (bsc#1012628).
- efi/cper: use stack buffer for error record decoding
(bsc#1012628).
- efi: Change down_interruptible() in virt_efi_reset_system()
to down_trylock() (bsc#1012628).
- usb: musb: dsps: Fix the probe error path (bsc#1012628).
- Input: xpad - add support for another USB ID of Nacon GC-100
(bsc#1012628).
- USB: serial: qcserial: add EM9191 QDL support (bsc#1012628).
- USB: serial: option: add Quectel EC200S-CN module support
(bsc#1012628).
- USB: serial: option: add Telit LE910Cx composition 0x1204
(bsc#1012628).
- USB: serial: option: add prod. id for Quectel EG91
(bsc#1012628).
- misc: fastrpc: Add missing lock before accessing find_vma()
(bsc#1012628).
- virtio: write back F_VERSION_1 before validate (bsc#1012628).
- EDAC/armada-xp: Fix output of uncorrectable error counter
(bsc#1012628).
- nvmem: Fix shift-out-of-bound (UBSAN) with byte size cells
(bsc#1012628).
- virtio-blk: remove unneeded "likely" statements (bsc#1012628).
- Revert "virtio-blk: Add validation for block size in config
space" (bsc#1012628).
- x86/Kconfig: Do not enable AMD_MEM_ENCRYPT_ACTIVE_BY_DEFAULT
automatically (bsc#1012628).
- powerpc/xive: Discard disabled interrupts in get_irqchip_state()
(bsc#1012628).
- iio: adc: aspeed: set driver data when adc probe (bsc#1012628).
- drivers: bus: simple-pm-bus: Add support for probing simple
bus only devices (bsc#1012628).
- driver core: Reject pointless SYNC_STATE_ONLY device links
(bsc#1012628).
- iio: adc: ad7192: Add IRQ flag (bsc#1012628).
- iio: adc: ad7780: Fix IRQ flag (bsc#1012628).
- iio: adc: ad7793: Fix IRQ flag (bsc#1012628).
- iio: adis16480: fix devices that do not support sleep mode
(bsc#1012628).
- iio: adc128s052: Fix the error handling path of 'adc128_probe()'
(bsc#1012628).
- iio: adc: max1027: Fix wrong shift with 12-bit devices
(bsc#1012628).
- iio: adis16475: fix deadlock on frequency set (bsc#1012628).
- iio: mtk-auxadc: fix case IIO_CHAN_INFO_PROCESSED (bsc#1012628).
- iio: light: opt3001: Fixed timeout error when 0 lux
(bsc#1012628).
- iio: accel: fxls8962af: return IRQ_HANDLED when fifo is flushed
(bsc#1012628).
- iio: adc: max1027: Fix the number of max1X31 channels
(bsc#1012628).
- iio: ssp_sensors: add more range checking in
ssp_parse_dataframe() (bsc#1012628).
- iio: ssp_sensors: fix error code in ssp_print_mcu_debug()
(bsc#1012628).
- Input: resistive-adc-touch - fix division by zero error on z1 ==
0 (bsc#1012628).
- eeprom: 93xx46: Add SPI device ID table (bsc#1012628).
- eeprom: 93xx46: fix MODULE_DEVICE_TABLE (bsc#1012628).
- eeprom: at25: Add SPI ID table (bsc#1012628).
- fpga: ice40-spi: Add SPI device ID table (bsc#1012628).
- iio: dac: ti-dac5571: fix an error code in probe()
(bsc#1012628).
- tracing: Fix missing osnoise tracer on max_latency
(bsc#1012628).
- tee: optee: Fix missing devices unregister during optee_remove
(bsc#1012628).
- ARM: dts: bcm2711-rpi-4-b: Fix usb's unit address (bsc#1012628).
- ARM: dts: bcm283x: Fix VEC address for BCM2711 (bsc#1012628).
- ARM: dts: bcm2711: fix MDIO #address- and #size-cells
(bsc#1012628).
- firmware: arm_ffa: Fix __ffa_devices_unregister (bsc#1012628).
- firmware: arm_ffa: Add missing remove callback to ffa_bus_type
(bsc#1012628).
- ARM: dts: bcm2711-rpi-4-b: fix sd_io_1v8_reg regulator states
(bsc#1012628).
- ARM: dts: bcm2711-rpi-4-b: Fix pcie0's unit address formatting
(bsc#1012628).
- clk: renesas: rzg2l: Fix clk status function (bsc#1012628).
- nvme-pci: Fix abort command id (bsc#1012628).
- sctp: account stream padding length for reconf chunk
(bsc#1012628).
- gpio: 74x164: Add SPI device ID table (bsc#1012628).
- gpio: pca953x: Improve bias setting (bsc#1012628).
- net: arc: select CRC32 (bsc#1012628).
- net: korina: select CRC32 (bsc#1012628).
- net/smc: improved fix wait on already cleared link
(bsc#1012628).
- net/mlx5e: Fix memory leak in mlx5_core_destroy_cq() error path
(bsc#1012628).
- net/mlx5e: Mutually exclude RX-FCS and RX-port-timestamp
(bsc#1012628).
- net/mlx5e: Switchdev representors are not vlan challenged
(bsc#1012628).
- net: stmmac: fix get_hw_feature() on old hardware (bsc#1012628).
- net: phy: Do not shutdown PHYs in READY state (bsc#1012628).
- net: dsa: mv88e6xxx: don't use PHY_DETECT on internal PHY's
(bsc#1012628).
- net: dsa: microchip: Added the condition for scheduling
ksz_mib_read_work (bsc#1012628).
- net: dsa: fix spurious error message when unoffloaded port
leaves bridge (bsc#1012628).
- net: encx24j600: check error in devm_regmap_init_encx24j600
(bsc#1012628).
- ethernet: s2io: fix setting mac address during resume
(bsc#1012628).
- vhost-vdpa: Fix the wrong input in config_cb (bsc#1012628).
- nfc: fix error handling of nfc_proto_register() (bsc#1012628).
- NFC: digital: fix possible memory leak in
digital_tg_listen_mdaa() (bsc#1012628).
- NFC: digital: fix possible memory leak in
digital_in_send_sdd_req() (bsc#1012628).
- pata_legacy: fix a couple uninitialized variable bugs
(bsc#1012628).
- ata: ahci_platform: fix null-ptr-deref in
ahci_platform_enable_regulators() (bsc#1012628).
- mlxsw: thermal: Fix out-of-bounds memory accesses (bsc#1012628).
- platform/mellanox: mlxreg-io: Fix argument base in kstrtou32()
call (bsc#1012628).
- platform/mellanox: mlxreg-io: Fix read access of n-bytes size
attributes (bsc#1012628).
- spi: spidev: Add SPI ID table (bsc#1012628).
- spi: bcm-qspi: clear MSPI spifie interrupt during probe
(bsc#1012628).
- drm/panel: olimex-lcd-olinuxino: select CRC32 (bsc#1012628).
- drm/edid: In connector_bad_edid() cap num_of_ext by num_blocks
read (bsc#1012628).
- drm/msm: Fix null pointer dereference on pointer edp
(bsc#1012628).
- drm/msm/mdp5: fix cursor-related warnings (bsc#1012628).
- drm/msm/submit: fix overflow check on 64-bit architectures
(bsc#1012628).
- drm/msm/a6xx: Track current ctx by seqno (bsc#1012628).
- drm/msm/a4xx: fix error handling in a4xx_gpu_init()
(bsc#1012628).
- drm/msm/a3xx: fix error handling in a3xx_gpu_init()
(bsc#1012628).
- drm/msm/dsi: dsi_phy_14nm: Take ready-bit into account in
poll_for_ready (bsc#1012628).
- drm/msm/dsi: Fix an error code in msm_dsi_modeset_init()
(bsc#1012628).
- drm/msm/dsi: fix off by one in dsi_bus_clk_enable error handling
(bsc#1012628).
- acpi/arm64: fix next_platform_timer() section mismatch error
(bsc#1012628).
- platform/x86: intel_scu_ipc: Fix busy loop expiry time
(bsc#1012628).
- mqprio: Correct stats in mqprio_dump_class_stats()
(bsc#1012628).
- mptcp: fix possible stall on recvmsg() (bsc#1012628).
- qed: Fix missing error code in qed_slowpath_start()
(bsc#1012628).
- r8152: select CRC32 and CRYPTO/CRYPTO_HASH/CRYPTO_SHA256
(bsc#1012628).
- ice: fix locking for Tx timestamp tracking flush (bsc#1012628).
- block/rnbd-clt-sysfs: fix a couple uninitialized variable bugs
(bsc#1012628).
- nfp: flow_offload: move flow_indr_dev_register from app init
to app start (bsc#1012628).
- net: mscc: ocelot: make use of all 63 PTP timestamp identifiers
(bsc#1012628).
- net: mscc: ocelot: avoid overflowing the PTP timestamp FIFO
(bsc#1012628).
- net: mscc: ocelot: warn when a PTP IRQ is raised for an unknown
skb (bsc#1012628).
- net: mscc: ocelot: deny TX timestamping of non-PTP packets
(bsc#1012628).
- net: mscc: ocelot: cross-check the sequence id from the
timestamp FIFO with the skb PTP header (bsc#1012628).
- net: dsa: felix: break at first CPU port during init and
teardown (bsc#1012628).
- ionic: don't remove netdev->dev_addr when syncing uc list
(bsc#1012628).
- commit 6859230
-------------------------------------------------------------------
Wed Oct 20 16:19:53 CEST 2021 - jack@suse.cz
- Update tags in patches.suse/readahead-request-tunables.patch (VM
Performance, bsc#548529 bsc#1189955).
- commit b531271
-------------------------------------------------------------------
Wed Oct 20 15:53:19 CEST 2021 - tiwai@suse.de
- media: firewire: firedtv-avc: fix a buffer overflow in
avc_ca_pmt() (CVE-2021-3542 bsc#1184673).
- commit 45f5ddd
-------------------------------------------------------------------
Wed Oct 20 11:25:06 CEST 2021 - jslaby@suse.cz
- x86/fpu: Mask out the invalid MXCSR bits properly (x86_32
breakage).
- commit 565cd48
-------------------------------------------------------------------
Wed Oct 20 11:14:15 CEST 2021 - msuchanek@suse.de
- kernel-binary.spec: Bump dwarves requirement to 1.22.
1.22 is finally released, and it is required for functionality.
- commit c88d345
-------------------------------------------------------------------
Tue Oct 19 11:14:43 CEST 2021 - dmueller@suse.com
- rpm/kernel-obs-build.spec.in: reduce initrd functionality
For building in OBS, we always build inside a virtual machine
that gets a new, freshly created scratch filesystem image. So
we do not need to handle fscks because that ain't gonna happen,
as well as not we do not need to handle microcode update in the
initrd as these only can be run on the host system anyway. We
can also strip and hardlink as an additional optimisation that
should not significantly hurt.
- commit c72c6fc
-------------------------------------------------------------------
Mon Oct 18 15:56:46 CEST 2021 - tiwai@suse.de
- Update upstream commit id for rtw89 patch (bsc#1191321)
- commit 9587a7b
-------------------------------------------------------------------
Mon Oct 18 08:25:00 CEST 2021 - mkubecek@suse.cz
- Update to 5.15-rc6
- refresh configs
- drop SIMPLE_PM_BUS
- commit b7fe390
-------------------------------------------------------------------
Sun Oct 17 12:06:12 CEST 2021 - jslaby@suse.cz
- Linux 5.14.13 (bsc#1012628).
- hwmon: (pmbus/ibm-cffps) max_power_out swap changes
(bsc#1012628).
- io_uring: kill fasync (bsc#1012628).
- sched: Always inline is_percpu_thread() (bsc#1012628).
- perf/core: fix userpage->time_enabled of inactive events
(bsc#1012628).
- scsi: qla2xxx: Fix excessive messages during device logout
(bsc#1012628).
- scsi: virtio_scsi: Fix spelling mistake "Unsupport" ->
"Unsupported" (bsc#1012628).
- scsi: ses: Fix unsigned comparison with less than zero
(bsc#1012628).
- drm/amdgpu: fix gart.bo pin_count leak (bsc#1012628).
- net: sun: SUNVNET_COMMON should depend on INET (bsc#1012628).
- vboxfs: fix broken legacy mount signature checking
(bsc#1012628).
- net: bgmac-platform: handle mac-address deferral (bsc#1012628).
- mac80211: check return value of rhashtable_init (bsc#1012628).
- net: prevent user from passing illegal stab size (bsc#1012628).
- hwmon: (ltc2947) Properly handle errors when looking for the
external clock (bsc#1012628).
- m68k: Handle arrivals of multiple signals correctly
(bsc#1012628).
- pinctrl: qcom: sc7280: Add PM suspend callbacks (bsc#1012628).
- mac80211: Drop frames from invalid MAC address in ad-hoc mode
(bsc#1012628).
- netfilter: nf_nat_masquerade: defer conntrack walk to work queue
(bsc#1012628).
- netfilter: nf_nat_masquerade: make async masq_inet6_event
handling generic (bsc#1012628).
- KVM: arm64: nvhe: Fix missing FORCE for hyp-reloc.S build rule
(bsc#1012628).
- ASoC: SOF: loader: release_firmware() on load failure to avoid
batching (bsc#1012628).
- HID: wacom: Add new Intuos BT (CTL-4100WL/CTL-6100WL) device
IDs (bsc#1012628).
- netfilter: ip6_tables: zero-initialize fragment offset
(bsc#1012628).
- HID: apple: Fix logical maximum and usage maximum of Magic
Keyboard JIS (bsc#1012628).
- ALSA: usb-audio: Unify mixer resume and reset_resume procedure
(bsc#1012628).
- ALSA: oxfw: fix transmission method for Loud models based on
OXFW971 (bsc#1012628).
- ASoC: Intel: sof_sdw: tag SoundWire BEs as non-atomic
(bsc#1012628).
- ext4: correct the error path of ext4_write_inline_data_end()
(bsc#1012628).
- ext4: check and update i_disksize properly (bsc#1012628).
- commit ab3ca44
-------------------------------------------------------------------
Wed Oct 13 20:03:38 CEST 2021 - msuchanek@suse.de
- kernel-spec-macros: Since rpm 4.17 %verbose is unusable (bsc#1191229).
The semantic changed in an incompatible way so invoking the macro now
causes a build failure.
- commit 3e55f55
-------------------------------------------------------------------
Wed Oct 13 10:28:54 CEST 2021 - jslaby@suse.cz
- Linux 5.14.12 (bsc#1012628).
- dsa: tag_dsa: Fix mask for trunked packets (bsc#1012628).
- x86/hpet: Use another crystalball to evaluate HPET usability
(bsc#1012628).
- x86/entry: Clear X86_FEATURE_SMAP when CONFIG_X86_SMAP=n
(bsc#1012628).
- x86/entry: Correct reference to intended CONFIG_64_BIT
(bsc#1012628).
- x86/fpu: Restore the masking out of reserved MXCSR bits
(bsc#1012628).
- x86/sev: Return an error on a returned non-zero
SW_EXITINFO1[31:0] (bsc#1012628).
- x86/Kconfig: Correct reference to MWINCHIP3D (bsc#1012628).
- x86/platform/olpc: Correct ifdef symbol to intended
CONFIG_OLPC_XO15_SCI (bsc#1012628).
- pseries/eeh: Fix the kdump kernel crash during eeh_pseries_init
(bsc#1012628).
- powerpc/32s: Fix kuap_kernel_restore() (bsc#1012628).
- powerpc/64s: Fix unrecoverable MCE calling async handler from
NMI (bsc#1012628).
- powerpc/traps: do not enable irqs in _exception (bsc#1012628).
- powerpc/64s: fix program check interrupt emergency stack path
(bsc#1012628).
- powerpc/bpf ppc32: Fix BPF_SUB when imm == 0x80000000
(bsc#1012628).
- powerpc/bpf ppc32: Do not emit zero extend instruction for
64-bit BPF_END (bsc#1012628).
- powerpc/bpf ppc32: Fix JMP32_JSET_K (bsc#1012628).
- powerpc/bpf ppc32: Fix ALU32 BPF_ARSH operation (bsc#1012628).
- powerpc/bpf: Fix BPF_SUB when imm == 0x80000000 (bsc#1012628).
- powerpc/bpf: Fix BPF_MOD when imm == 1 (bsc#1012628).
- objtool: Make .altinstructions section entry size consistent
(bsc#1012628).
- objtool: Remove reloc symbol type checks in get_alt_entry()
(bsc#1012628).
- scsi: iscsi: Fix iscsi_task use after free (bsc#1012628).
- RISC-V: Include clone3() on rv32 (bsc#1012628).
- i2c: mlxcpld: Modify register setting for 400KHz frequency
(bsc#1012628).
- i2c: mlxcpld: Fix criteria for frequency setting (bsc#1012628).
- bpf, s390: Fix potential memory leak about jit_data
(bsc#1012628).
- riscv/vdso: make arch_setup_additional_pages wait for mmap_sem
for write killable (bsc#1012628).
- riscv/vdso: Move vdso data page up front (bsc#1012628).
- riscv/vdso: Refactor asm/vdso.h (bsc#1012628).
- RISC-V: Fix VDSO build for !MMU (bsc#1012628).
- riscv: explicitly use symbol offsets for VDSO (bsc#1012628).
- i2c: mediatek: Add OFFSET_EXT_CONF setting back (bsc#1012628).
- i2c: acpi: fix resource leak in reconfiguration device addition
(bsc#1012628).
- powerpc/iommu: Report the correct most efficient DMA mask for
PCI devices (bsc#1012628).
- net: prefer socket bound to interface when not in VRF
(bsc#1012628).
- iavf: fix double unlock of crit_lock (bsc#1012628).
- i40e: Fix freeing of uninitialized misc IRQ vector
(bsc#1012628).
- i40e: fix endless loop under rtnl (bsc#1012628).
- gve: report 64bit tx_bytes counter from
gve_handle_report_stats() (bsc#1012628).
- gve: fix gve_get_stats() (bsc#1012628).
- rtnetlink: fix if_nlmsg_stats_size() under estimation
(bsc#1012628).
- gve: Properly handle errors in gve_assign_qpl (bsc#1012628).
- gve: Avoid freeing NULL pointer (bsc#1012628).
- gve: Correct available tx qpl check (bsc#1012628).
- net: stmmac: trigger PCS EEE to turn off on link down
(bsc#1012628).
- net: pcs: xpcs: fix incorrect steps on disable EEE
(bsc#1012628).
- drm/nouveau/debugfs: fix file release memory leak (bsc#1012628).
- drm/nouveau/kms/nv50-: fix file release memory leak
(bsc#1012628).
- drm/nouveau: avoid a use-after-free when BO init fails
(bsc#1012628).
- video: fbdev: gbefb: Only instantiate device when built for IP32
(bsc#1012628).
- drm/panel: abt-y030xx067a: yellow tint fix (bsc#1012628).
- drm/nouveau/fifo/ga102: initialise chid on return from channel
creation (bsc#1012628).
- drm/sun4i: dw-hdmi: Fix HDMI PHY clock setup (bsc#1012628).
- bus: ti-sysc: Use CLKDM_NOAUTO for dra7 dcan1 for errata i893
(bsc#1012628).
- perf jevents: Free the sys_event_tables list after processing
entries (bsc#1012628).
- drm/amdgpu: handle the case of pci_channel_io_frozen only in
amdgpu_pci_resume (bsc#1012628).
- drm/amdkfd: fix a potential ttm->sg memory leak (bsc#1012628).
- ARM: defconfig: gemini: Restore framebuffer (bsc#1012628).
- netlink: annotate data races around nlk->bound (bsc#1012628).
- net: pcs: xpcs: fix incorrect CL37 AN sequence (bsc#1012628).
- net: sfp: Fix typo in state machine debug string (bsc#1012628).
- net/sched: sch_taprio: properly cancel timer from
taprio_destroy() (bsc#1012628).
- net: bridge: fix under estimation in br_get_linkxstats_size()
(bsc#1012628).
- net: bridge: use nla_total_size_64bit() in
br_get_linkxstats_size() (bsc#1012628).
- afs: Fix afs_launder_page() to set correct start file position
(bsc#1012628).
- netfs: Fix READ/WRITE confusion when calling iov_iter_xarray()
(bsc#1012628).
- drm/i915/bdb: Fix version check (bsc#1012628).
- drm/i915/tc: Fix TypeC port init/resume time sanitization
(bsc#1012628).
- drm/i915/jsl: Add W/A 1409054076 for JSL (bsc#1012628).
- drm/i915/audio: Use BIOS provided value for RKL HDA link
(bsc#1012628).
- ARM: imx6: disable the GIC CPU interface before calling
stby-poweroff sequence (bsc#1012628).
- dt-bindings: drm/bridge: ti-sn65dsi86: Fix reg value
(bsc#1012628).
- arm64: dts: ls1028a: fix eSDHC2 node (bsc#1012628).
- arm64: dts: imx8mm-kontron-n801x-som: do not allow to switch
off buck2 (bsc#1012628).
- arm64: dts: imx8: change the spi-nor tx (bsc#1012628).
- ARM: dts: imx: change the spi-nor tx (bsc#1012628).
- ptp_pch: Load module automatically if ID matches (bsc#1012628).
- powerpc/fsl/dts: Fix phy-connection-type for fm1mac3
(bsc#1012628).
- netfilter: nf_tables: honor NLM_F_CREATE and NLM_F_EXCL in
event notification (bsc#1012628).
- MIPS: Revert "add support for buggy MT7621S core detection"
(bsc#1012628).
- net: stmmac: dwmac-rk: Fix ethernet on rk3399 based devices
(bsc#1012628).
- net: mscc: ocelot: fix VCAP filters remaining active after
being deleted (bsc#1012628).
- net_sched: fix NULL deref in fifo_set_limit() (bsc#1012628).
- libbpf: Fix memory leak in strset (bsc#1012628).
- phy: mdio: fix memory leak (bsc#1012628).
- libbpf: Fix segfault in light skeleton for objects without BTF
(bsc#1012628).
- net/mlx5e: Fix the presented RQ index in PTP stats
(bsc#1012628).
- net/mlx5: Fix setting number of EQs of SFs (bsc#1012628).
- net/mlx5: Fix length of irq_index in chars (bsc#1012628).
- net/mlx5: Avoid generating event after PPS out in Real time mode
(bsc#1012628).
- net/mlx5: Force round second at 1PPS out start time
(bsc#1012628).
- net/mlx5: E-Switch, Fix double allocation of acl flow counter
(bsc#1012628).
- net/mlx5e: Keep the value for maximum number of channels in-sync
(bsc#1012628).
- net/mlx5e: IPSEC RX, enable checksum complete (bsc#1012628).
- bpf: Fix integer overflow in prealloc_elems_and_freelist()
(bsc#1012628).
- soc: ti: omap-prm: Fix external abort for am335x pruss
(bsc#1012628).
- bpf, arm: Fix register clobbering in div/mod implementation
(bsc#1012628).
- netfilter: nf_tables: reverse order in rule replacement
expansion (bsc#1012628).
- netfilter: nf_tables: add position handle in event notification
(bsc#1012628).
- netfilter: conntrack: fix boot failure with
nf_conntrack.enable_hooks=1 (bsc#1012628).
- iwlwifi: pcie: add configuration of a Wi-Fi adapter on Dell
XPS 15 (bsc#1012628).
- xtensa: call irqchip_init only when CONFIG_USE_OF is selected
(bsc#1012628).
- xtensa: use CONFIG_USE_OF instead of CONFIG_OF (bsc#1012628).
- arm64: dts: qcom: pm8150: use qcom,pm8998-pon binding
(bsc#1012628).
- ath5k: fix building with LEDS=m (bsc#1012628).
- PCI: hv: Fix sleep while in non-sleep context when removing
child devices from the bus (bsc#1012628).
- ARM: dts: imx6qdl-pico: Fix Ethernet support (bsc#1012628).
- ARM: dts: imx: Fix USB host power regulator polarity on M53Menlo
(bsc#1012628).
- ARM: dts: imx: Add missing pinctrl-names for panel on M53Menlo
(bsc#1012628).
- soc: qcom: mdt_loader: Drop PT_LOAD check on hash segment
(bsc#1012628).
- iwlwifi: mvm: Fix possible NULL dereference (bsc#1012628).
- ARM: at91: pm: do not panic if ram controllers are not enabled
(bsc#1012628).
- Revert "arm64: dts: qcom: sc7280: Fixup the cpufreq node"
(bsc#1012628).
- ARM: dts: qcom: apq8064: Use 27MHz PXO clock as DSI PLL
reference (bsc#1012628).
- soc: qcom: socinfo: Fixed argument passed to platform_set_data()
(bsc#1012628).
- bus: ti-sysc: Add break in switch statement in sysc_init_soc()
(bsc#1012628).
- riscv: Flush current cpu icache before other cpus (bsc#1012628).
- scsi: ufs: core: Fix task management completion (bsc#1012628).
- ARM: dts: qcom: apq8064: use compatible which contains chipid
(bsc#1012628).
- ARM: dts: imx6dl-yapp4: Fix lp5562 LED driver probe
(bsc#1012628).
- ARM: dts: omap3430-sdp: Fix NAND device node (bsc#1012628).
- xen/balloon: fix cancelled balloon action (bsc#1012628).
- SUNRPC: fix sign error causing rpcsec_gss drops (bsc#1012628).
- nfsd4: Handle the NFSv4 READDIR 'dircount' hint being zero
(bsc#1012628).
- nfsd: fix error handling of register_pernet_subsys() in
init_nfsd() (bsc#1012628).
- ovl: fix IOCB_DIRECT if underlying fs doesn't support direct IO
(bsc#1012628).
- ovl: fix missing negative dentry check in ovl_rename()
(bsc#1012628).
- fbdev: simplefb: fix Kconfig dependencies (bsc#1012628).
- Update config files.
- mmc: sdhci-of-at91: replace while loop with read_poll_timeout
(bsc#1012628).
- mmc: sdhci-of-at91: wait for calibration done before proceed
(bsc#1012628).
- mmc: meson-gx: do not use memcpy_to/fromio for dram-access-quirk
(bsc#1012628).
- xen/privcmd: fix error handling in mmap-resource processing
(bsc#1012628).
- drm/i915: Extend the async flip VT-d w/a to skl/bxt
(bsc#1012628).
- drm/i915: Fix runtime pm handling in i915_gem_shrink
(bsc#1012628).
- drm/amd/display: Fix DCN3 B0 DP Alt Mapping (bsc#1012628).
- drm/amd/display: Fix detection of 4 lane for DPALT
(bsc#1012628).
- drm/amd/display: Limit display scaling to up to 4k for DCN 3.1
(bsc#1012628).
- drm/nouveau/ga102-: support ttm buffer moves via copy engine
(bsc#1012628).
- drm/nouveau/kms/tu102-: delay enabling cursor until after
assign_windows (bsc#1012628).
- drm/amdgpu: During s0ix don't wait to signal GFXOFF
(bsc#1012628).
- drm/amd/display: USB4 bring up set correct address
(bsc#1012628).
- drm/amd/display: Fix B0 USB-C DP Alt mode (bsc#1012628).
- usb: typec: tipd: Remove dependency on "connector" child fwnode
(bsc#1012628).
- usb: typec: tcpm: handle SRC_STARTUP state if cc changes
(bsc#1012628).
- usb: typec: tcpci: don't handle vSafe0V event if it's not
enabled (bsc#1012628).
- USB: cdc-acm: fix break reporting (bsc#1012628).
- USB: cdc-acm: fix racy tty buffer accesses (bsc#1012628).
- usb: gadget: f_uac2: fixed EP-IN wMaxPacketSize (bsc#1012628).
- usb: chipidea: ci_hdrc_imx: Also search for 'phys' phandle
(bsc#1012628).
- usb: cdc-wdm: Fix check for WWAN (bsc#1012628).
- Partially revert "usb: Kconfig: using select for USB_COMMON
dependency" (bsc#1012628).
- Update config files.
- commit 7246625
-------------------------------------------------------------------
Mon Oct 11 17:36:41 CEST 2021 - mbrugger@suse.com
- rtw89: add Realtek 802.11ax driver (bsc#1191321).
- commit 4c399ab
-------------------------------------------------------------------
Mon Oct 11 15:49:06 CEST 2021 - tiwai@suse.de
- Enable CONFIG_RTW88_DEBUG and CONFIG_RTW89_DEBUG on debug flavors (bsc#1191321)
- commit d98701e
-------------------------------------------------------------------
Mon Oct 11 08:27:50 CEST 2021 - mkubecek@suse.cz
- Update to 5.15-rc5
- update configs
- FIRMWARE_MEMMAP=y (ppc64, ppc64le, s390x)
- FW_CFG_SYSFS=m (ppc64)
- FB_SIMPLE=n (s390x)
- commit f616781
-------------------------------------------------------------------
Sun Oct 10 10:34:14 CEST 2021 - jslaby@suse.cz
- Linux 5.14.11 (bsc#1012628).
- Revert "ARM: imx6q: drop of_platform_default_populate() from
init_machine" (bsc#1012628).
- Revert "brcmfmac: use ISO3166 country code and 0 rev as
fallback" (bsc#1012628).
- libata: Add ATA_HORKAGE_NO_NCQ_ON_ATI for Samsung 860 and 870
SSD (bsc#1012628).
- perf/x86: Reset destroy callback on event init failure
(bsc#1012628).
- KVM: x86: nSVM: restore int_vector in svm_clear_vintr
(bsc#1012628).
- kvm: x86: Add AMD PMU MSRs to msrs_to_save_all[] (bsc#1012628).
- KVM: x86: reset pdptrs_from_userspace when exiting smm
(bsc#1012628).
- KVM: do not shrink halt_poll_ns below grow_start (bsc#1012628).
- selftests: KVM: Align SMCCC call with the spec in steal_time
(bsc#1012628).
- kasan: always respect CONFIG_KASAN_STACK (bsc#1012628).
- tools/vm/page-types: remove dependency on opt_file for idle
page tracking (bsc#1012628).
- block: don't call rq_qos_ops->done_bio if the bio isn't tracked
(bsc#1012628).
- io_uring: allow conditional reschedule for intensive iterators
(bsc#1012628).
- x86/insn, tools/x86: Fix undefined behavior due to potential
unaligned accesses (bsc#1012628).
- smb3: correct smb3 ACL security descriptor (bsc#1012628).
- irqchip/gic: Work around broken Renesas integration
(bsc#1012628).
- scsi: ses: Retry failed Send/Receive Diagnostic commands
(bsc#1012628).
- thermal/drivers/tsens: Fix wrong check for tzd in irq handlers
(bsc#1012628).
- nvme-fc: avoid race between time out and tear down
(bsc#1012628).
- nvme-fc: update hardware queues before using them (bsc#1012628).
- swiotlb-xen: ensure to issue well-formed XENMEM_exchange
requests (bsc#1012628).
- Xen/gntdev: don't ignore kernel unmapping error (bsc#1012628).
- selftests: kvm: fix get_run_delay() ignoring fscanf() return
warn (bsc#1012628).
- selftests: kvm: move get_run_delay() into lib/test_util
(bsc#1012628).
- selftests:kvm: fix get_trans_hugepagesz() ignoring fscanf()
return warn (bsc#1012628).
- selftests:kvm: fix get_warnings_count() ignoring fscanf()
return warn (bsc#1012628).
- selftests: be sure to make khdr before other targets
(bsc#1012628).
- habanalabs/gaudi: fix LBW RR configuration (bsc#1012628).
- habanalabs: fail collective wait when not supported
(bsc#1012628).
- habanalabs/gaudi: use direct MSI in single mode (bsc#1012628).
- usb: dwc2: check return value after calling
platform_get_resource() (bsc#1012628).
- usb: testusb: Fix for showing the connection speed
(bsc#1012628).
- scsi: elx: efct: Do not hold lock while calling
fc_vport_terminate() (bsc#1012628).
- scsi: sd: Free scsi_disk device via put_device() (bsc#1012628).
- drm/amdkfd: fix svm_migrate_fini warning (bsc#1012628).
- drm/amdkfd: handle svm migrate init error (bsc#1012628).
- ext2: fix sleeping in atomic bugs on error (bsc#1012628).
- platform/x86: gigabyte-wmi: add support for B550I Aorus Pro AX
(bsc#1012628).
- sparc64: fix pci_iounmap() when CONFIG_PCI is not set
(bsc#1012628).
- xen-netback: correct success/error reporting for the
SKB-with-fraglist case (bsc#1012628).
- net: mdio: introduce a shutdown method to mdio device drivers
(bsc#1012628).
- btrfs: fix mount failure due to past and transient device
flush error (bsc#1012628).
- btrfs: replace BUG_ON() in btrfs_csum_one_bio() with proper
error handling (bsc#1012628).
- nfsd: back channel stuck in SEQ4_STATUS_CB_PATH_DOWN
(bsc#1012628).
- platform/x86: touchscreen_dmi: Update info for the Chuwi Hi10
Plus (CWI527) tablet (bsc#1012628).
- platform/x86: touchscreen_dmi: Add info for the Chuwi HiBook
(CWI514) tablet (bsc#1012628).
- afs: Add missing vnode validation checks (bsc#1012628).
- spi: rockchip: handle zero length transfers without timing out
(bsc#1012628).
- commit 834dddd
-------------------------------------------------------------------
Fri Oct 8 15:22:18 CEST 2021 - tiwai@suse.de
- iwlwifi: Fix MODULE_FIRMWARE() for non-existing ucode version
(boo#1191417).
- commit b3fa747
-------------------------------------------------------------------
Thu Oct 7 09:53:27 CEST 2021 - jslaby@suse.cz
- Linux 5.14.10 (bsc#1012628).
- media: hantro: Fix check for single irq (bsc#1012628).
- media: cedrus: Fix SUNXI tile size calculation (bsc#1012628).
- media: s5p-jpeg: rename JPEG marker constants to prevent build
warnings (bsc#1012628).
- ASoC: fsl_sai: register platform component before registering
cpu dai (bsc#1012628).
- ASoC: fsl_esai: register platform component before registering
cpu dai (bsc#1012628).
- ASoC: fsl_micfil: register platform component before registering
cpu dai (bsc#1012628).
- ASoC: fsl_spdif: register platform component before registering
cpu dai (bsc#1012628).
- ASoC: fsl_xcvr: register platform component before registering
cpu dai (bsc#1012628).
- ASoC: mediatek: common: handle NULL case in suspend/resume
function (bsc#1012628).
- scsi: elx: efct: Fix void-pointer-to-enum-cast warning for
efc_nport_topology (bsc#1012628).
- ASoC: SOF: Fix DSP oops stack dump output contents
(bsc#1012628).
- ASoC: SOF: imx: imx8: Bar index is only valid for IRAM and
SRAM types (bsc#1012628).
- ASoC: SOF: imx: imx8m: Bar index is only valid for IRAM and
SRAM types (bsc#1012628).
- pinctrl: qcom: spmi-gpio: correct parent irqspec translation
(bsc#1012628).
- net/mlx4_en: Resolve bad operstate value (bsc#1012628).
- s390/qeth: Fix deadlock in remove_discipline (bsc#1012628).
- s390/qeth: fix deadlock during failing recovery (bsc#1012628).
- m68k: Update ->thread.esp0 before calling syscall_trace()
in ret_from_signal (bsc#1012628).
- NIOS2: fix kconfig unmet dependency warning for
SERIAL_CORE_CONSOLE (bsc#1012628).
- kasan: fix Kconfig check of CC_HAS_WORKING_NOSANITIZE_ADDRESS
(bsc#1012628).
- HID: amd_sfh: Fix potential NULL pointer dereference
(bsc#1012628).
- perf test: Fix DWARF unwind for optimized builds (bsc#1012628).
- perf iostat: Use system-wide mode if the target cpu_list is
unspecified (bsc#1012628).
- perf iostat: Fix Segmentation fault from NULL 'struct
perf_counts_values *' (bsc#1012628).
- watchdog/sb_watchdog: fix compilation problem due to
COMPILE_TEST (bsc#1012628).
- tty: Fix out-of-bound vmalloc access in imageblit (bsc#1012628).
- cpufreq: schedutil: Use kobject release() method to free
sugov_tunables (bsc#1012628).
- scsi: qla2xxx: Changes to support kdump kernel for NVMe BFS
(bsc#1012628).
- drm/amdgpu: adjust fence driver enable sequence (bsc#1012628).
- drm/amdgpu: avoid over-handle of fence driver fini in s3 test
(v2) (bsc#1012628).
- drm/amdgpu: stop scheduler when calling hw_fini (v2)
(bsc#1012628).
- cpufreq: schedutil: Destroy mutex before kobject_put() frees
the memory (bsc#1012628).
- scsi: ufs: ufs-pci: Fix Intel LKF link stability (bsc#1012628).
- ALSA: rawmidi: introduce SNDRV_RAWMIDI_IOCTL_USER_PVERSION
(bsc#1012628).
- ALSA: firewire-motu: fix truncated bytes in message tracepoints
(bsc#1012628).
- ALSA: hda/realtek: Quirks to enable speaker output for Lenovo
Legion 7i 15IMHG05, Yoga 7i 14ITL5/15ITL5, and 13s Gen2 laptops
(bsc#1012628).
- ACPI: NFIT: Use fallback node id when numa info in NFIT table
is incorrect (bsc#1012628).
- fs-verity: fix signed integer overflow with i_size near S64_MAX
(bsc#1012628).
- hwmon: (tmp421) handle I2C errors (bsc#1012628).
- hwmon: (w83793) Fix NULL pointer dereference by removing
unnecessary structure field (bsc#1012628).
- hwmon: (w83792d) Fix NULL pointer dereference by removing
unnecessary structure field (bsc#1012628).
- hwmon: (w83791d) Fix NULL pointer dereference by removing
unnecessary structure field (bsc#1012628).
- gpio: pca953x: do not ignore i2c errors (bsc#1012628).
- scsi: ufs: Fix illegal offset in UPIU event trace (bsc#1012628).
- mac80211: fix use-after-free in CCMP/GCMP RX (bsc#1012628).
- platform/x86/intel: hid: Add DMI switches allow list
(bsc#1012628).
- x86/kvmclock: Move this_cpu_pvti into kvmclock.h (bsc#1012628).
- ptp: Fix ptp_kvm_getcrosststamp issue for x86 ptp_kvm
(bsc#1012628).
- KVM: x86: Fix stack-out-of-bounds memory access from
ioapic_write_indirect() (bsc#1012628).
- KVM: x86: nSVM: don't copy virt_ext from vmcb12 (bsc#1012628).
- KVM: x86: Clear KVM's cached guest CR3 at RESET/INIT
(bsc#1012628).
- KVM: x86: Swap order of CPUID entry "index" vs. "significant
flag" checks (bsc#1012628).
- KVM: nVMX: Filter out all unsupported controls when eVMCS was
activated (bsc#1012628).
- KVM: SEV: Update svm_vm_copy_asid_from for SEV-ES (bsc#1012628).
- KVM: SEV: Pin guest memory for write for RECEIVE_UPDATE_DATA
(bsc#1012628).
- KVM: SEV: Acquire vcpu mutex when updating VMSA (bsc#1012628).
- KVM: SEV: Allow some commands for mirror VM (bsc#1012628).
- KVM: SVM: fix missing sev_decommission in sev_receive_start
(bsc#1012628).
- KVM: nVMX: Fix nested bus lock VM exit (bsc#1012628).
- KVM: VMX: Fix a TSX_CTRL_CPUID_CLEAR field mask issue
(bsc#1012628).
- mmc: renesas_sdhi: fix regression with hard reset on old SDHIs
(bsc#1012628).
- media: ir_toy: prevent device from hanging during transmit
(bsc#1012628).
- RDMA/cma: Do not change route.addr.src_addr.ss_family
(bsc#1012628).
- RDMA/cma: Ensure rdma_addr_cancel() happens before issuing
more requests (bsc#1012628).
- nbd: use shifts rather than multiplies (bsc#1012628).
- drm/amd/display: initialize backlight_ramping_override to false
(bsc#1012628).
- drm/amd/display: Pass PCI deviceid into DC (bsc#1012628).
- drm/amd/display: Fix Display Flicker on embedded panels
(bsc#1012628).
- drm/amdgpu: force exit gfxoff on sdma resume for rmb s0ix
(bsc#1012628).
- drm/amdgpu: check tiling flags when creating FB on GFX8-
(bsc#1012628).
- drm/amdgpu: correct initial cp_hqd_quantum for gfx9
(bsc#1012628).
- interconnect: qcom: sdm660: Fix id of slv_cnoc_mnoc_cfg
(bsc#1012628).
- interconnect: qcom: sdm660: Correct NOC_QOS_PRIORITY shift
and mask (bsc#1012628).
- drm/i915/gvt: fix the usage of ww lock in gvt scheduler
(bsc#1012628).
- ipvs: check that ip_vs_conn_tab_bits is between 8 and 20
(bsc#1012628).
- bpf: Handle return value of BPF_PROG_TYPE_STRUCT_OPS prog
(bsc#1012628).
- IB/cma: Do not send IGMP leaves for sendonly Multicast groups
(bsc#1012628).
- RDMA/cma: Fix listener leak in rdma_cma_listen_on_all() failure
(bsc#1012628).
- bpf, mips: Validate conditional branch offsets (bsc#1012628).
- hwmon: (mlxreg-fan) Return non-zero value when fan current
state is enforced from sysfs (bsc#1012628).
- RDMA/irdma: Skip CQP ring during a reset (bsc#1012628).
- RDMA/irdma: Validate number of CQ entries on create CQ
(bsc#1012628).
- RDMA/irdma: Report correct WC error when transport retry
counter is exceeded (bsc#1012628).
- RDMA/irdma: Report correct WC error when there are MW bind
errors (bsc#1012628).
- netfilter: nf_tables: unlink table before deleting it
(bsc#1012628).
- netfilter: log: work around missing softdep backend module
(bsc#1012628).
- Revert "mac80211: do not use low data rates for data frames
with no ack flag" (bsc#1012628).
- mac80211: Fix ieee80211_amsdu_aggregate frag_tail bug
(bsc#1012628).
- mac80211: limit injected vht mcs/nss in
ieee80211_parse_tx_radiotap (bsc#1012628).
- mac80211: mesh: fix potentially unaligned access (bsc#1012628).
- mac80211-hwsim: fix late beacon hrtimer handling (bsc#1012628).
- driver core: fw_devlink: Add support for
FWNODE_FLAG_NEEDS_CHILD_BOUND_ON_ADD (bsc#1012628).
- net: mdiobus: Set FWNODE_FLAG_NEEDS_CHILD_BOUND_ON_ADD for
mdiobus parents (bsc#1012628).
- sctp: break out if skb_header_pointer returns NULL in
sctp_rcv_ootb (bsc#1012628).
- mptcp: don't return sockets in foreign netns (bsc#1012628).
- mptcp: allow changing the 'backup' bit when no sockets are open
(bsc#1012628).
- RDMA/hns: Work around broken constant propagation in gcc 8
(bsc#1012628).
- hwmon: (tmp421) report /PVLD condition as fault (bsc#1012628).
- hwmon: (tmp421) fix rounding for negative values (bsc#1012628).
- net: enetc: fix the incorrect clearing of IF_MODE bits
(bsc#1012628).
- net: ipv4: Fix rtnexthop len when RTA_FLOW is present
(bsc#1012628).
- smsc95xx: fix stalled rx after link change (bsc#1012628).
- drm/i915/request: fix early tracepoints (bsc#1012628).
- drm/i915: Remove warning from the rps worker (bsc#1012628).
- dsa: mv88e6xxx: 6161: Use chip wide MAX MTU (bsc#1012628).
- dsa: mv88e6xxx: Fix MTU definition (bsc#1012628).
- dsa: mv88e6xxx: Include tagger overhead when setting MTU for
DSA and CPU ports (bsc#1012628).
- e100: fix length calculation in e100_get_regs_len (bsc#1012628).
- e100: fix buffer overrun in e100_get_regs (bsc#1012628).
- RDMA/hfi1: Fix kernel pointer leak (bsc#1012628).
- RDMA/hns: Fix the size setting error when copying CQE in
clean_cq() (bsc#1012628).
- RDMA/hns: Add the check of the CQE size of the user space
(bsc#1012628).
- bpf: Exempt CAP_BPF from checks against bpf_jit_limit
(bsc#1012628).
- libbpf: Fix segfault in static linker for objects without BTF
(bsc#1012628).
- selftests, bpf: Fix makefile dependencies on libbpf
(bsc#1012628).
- selftests, bpf: test_lwt_ip_encap: Really disable rp_filter
(bsc#1012628).
- bpf, x86: Fix bpf mapping of atomic fetch implementation
(bsc#1012628).
- net: ks8851: fix link error (bsc#1012628).
- ionic: fix gathering of debug stats (bsc#1012628).
- Revert "block, bfq: honor already-setup queue merges"
(bsc#1012628).
- scsi: csiostor: Add module softdep on cxgb4 (bsc#1012628).
- ixgbe: Fix NULL pointer dereference in ixgbe_xdp_setup
(bsc#1012628).
- net: hns3: do not allow call hns3_nic_net_open repeatedly
(bsc#1012628).
- net: hns3: remove tc enable checking (bsc#1012628).
- net: hns3: don't rollback when destroy mqprio fail
(bsc#1012628).
- net: hns3: fix mixed flag HCLGE_FLAG_MQPRIO_ENABLE and
HCLGE_FLAG_DCB_ENABLE (bsc#1012628).
- net: hns3: fix show wrong state when add existing uc mac address
(bsc#1012628).
- net: hns3: reconstruct function hns3_self_test (bsc#1012628).
- net: hns3: fix always enable rx vlan filter problem after
selftest (bsc#1012628).
- net: hns3: disable firmware compatible features when uninstall
PF (bsc#1012628).
- net: phy: bcm7xxx: Fixed indirect MMD operations (bsc#1012628).
- net: sched: flower: protect fl_walk() with rcu (bsc#1012628).
- net: stmmac: fix EEE init issue when paired with EEE capable
PHYs (bsc#1012628).
- af_unix: fix races in sk_peer_pid and sk_peer_cred accesses
(bsc#1012628).
- objtool: Teach get_alt_entry() about more relocation types
(bsc#1012628).
- perf/x86/intel: Update event constraints for ICX (bsc#1012628).
- sched/fair: Add ancestors of unthrottled undecayed cfs_rq
(bsc#1012628).
- sched/fair: Null terminate buffer when updating tunable_scaling
(bsc#1012628).
- hwmon: (occ) Fix P10 VRM temp sensors (bsc#1012628).
- hwmon: (pmbus/mp2975) Add missed POUT attribute for page 1
mp2975 controller (bsc#1012628).
- kvm: fix objtool relocation warning (bsc#1012628).
- nvme: add command id quirk for apple controllers (bsc#1012628).
- elf: don't use MAP_FIXED_NOREPLACE for elf interpreter mappings
(bsc#1012628).
- driver core: fw_devlink: Improve handling of cyclic dependencies
(bsc#1012628).
- debugfs: debugfs_create_file_size(): use IS_ERR to check for
error (bsc#1012628).
- ipack: ipoctal: fix stack information leak (bsc#1012628).
- ipack: ipoctal: fix tty registration race (bsc#1012628).
- ipack: ipoctal: fix tty-registration error handling
(bsc#1012628).
- ipack: ipoctal: fix missing allocation-failure check
(bsc#1012628).
- ipack: ipoctal: fix module reference leak (bsc#1012628).
- ext4: fix loff_t overflow in ext4_max_bitmap_size()
(bsc#1012628).
- ext4: limit the number of blocks in one ADD_RANGE TLV
(bsc#1012628).
- ext4: fix reserved space counter leakage (bsc#1012628).
- ext4: add error checking to ext4_ext_replay_set_iblocks()
(bsc#1012628).
- ext4: fix potential infinite loop in ext4_dx_readdir()
(bsc#1012628).
- ext4: flush s_error_work before journal destroy in
ext4_fill_super (bsc#1012628).
- HID: u2fzero: ignore incomplete packets without data
(bsc#1012628).
- net: udp: annotate data race around udp_sk(sk)->corkflag
(bsc#1012628).
- NIOS2: setup.c: drop unused variable 'dram_start' (bsc#1012628).
- usb: hso: remove the bailout parameter (bsc#1012628).
- HID: betop: fix slab-out-of-bounds Write in betop_probe
(bsc#1012628).
- netfilter: ipset: Fix oversized kvmalloc() calls (bsc#1012628).
- mm: don't allow oversized kvmalloc() calls (bsc#1012628).
- HID: usbhid: free raw_report buffers in usbhid_stop
(bsc#1012628).
- crypto: aesni - xts_crypt() return if walk.nbytes is 0
(bsc#1012628).
- KVM: x86: Handle SRCU initialization failure during page track
init (bsc#1012628).
- netfilter: conntrack: serialize hash resizes and cleanups
(bsc#1012628).
- netfilter: nf_tables: Fix oversized kvmalloc() calls
(bsc#1012628).
- drivers: net: mhi: fix error path in mhi_net_newlink
(bsc#1012628).
- objtool: print out the symbol type when complaining about it
(bsc#1012628).
- HID: amd_sfh: Fix potential NULL pointer dereference - take 2
(bsc#1012628).
- commit 7c980ba
-------------------------------------------------------------------
Thu Oct 7 09:34:24 CEST 2021 - tiwai@suse.de
- ALSA: hda: intel: Allow repeatedly probing on codec
configuration errors (bsc#1190801).
- commit 924f4be
-------------------------------------------------------------------
Tue Oct 5 16:04:12 CEST 2021 - ludwig.nussel@suse.de
- rpm: use _rpmmacrodir (boo#1191384)
- commit e350c14
-------------------------------------------------------------------
Mon Oct 4 00:30:43 CEST 2021 - mkubecek@suse.cz
- Update to 5.15-rc4
- commit 01d91cd
-------------------------------------------------------------------
Fri Oct 1 09:22:19 CEST 2021 - tiwai@suse.de
- ALSA: usb-audio: Restrict rates for the shared clocks
(bsc#1190418).
- commit ffe0c6a
-------------------------------------------------------------------
Fri Oct 1 08:16:48 CEST 2021 - jslaby@suse.cz
- Update
patches.kernel.org/5.14.9-147-Revert-drm-vc4-hdmi-runtime-PM-changes.patch
(bsc#1012628 bsc#1190469).
- Delete patches.suse/drm-vc4-hdmi-Fix-HPD-GPIO-detection.patch.
The former superseded the latter.
- commit 2bc4ba2
-------------------------------------------------------------------
Thu Sep 30 10:28:53 CEST 2021 - jslaby@suse.cz
- Linux 5.14.9 (bsc#1012628).
- mm, hwpoison: add is_free_buddy_page() in HWPoisonHandlable()
(bsc#1012628).
- ocfs2: drop acl cache for directories too (bsc#1012628).
- mm/debug: sync up MR_CONTIG_RANGE and MR_LONGTERM_PIN
(bsc#1012628).
- mm: fix uninitialized use in overcommit_policy_handler
(bsc#1012628).
- usb: gadget: r8a66597: fix a loop in set_feature()
(bsc#1012628).
- usb: gadget: u_audio: EP-OUT bInterval in fback frequency
(bsc#1012628).
- usb: dwc2: gadget: Fix ISOC flow for BDMA and Slave
(bsc#1012628).
- usb: dwc2: gadget: Fix ISOC transfer complete handling for DDMA
(bsc#1012628).
- usb: musb: tusb6010: uninitialized data in
tusb_fifo_write_unaligned() (bsc#1012628).
- cifs: Not to defer close on file when lock is set (bsc#1012628).
- cifs: Fix soft lockup during fsstress (bsc#1012628).
- cifs: fix incorrect check for null pointer in header_assemble
(bsc#1012628).
- xen/x86: fix PV trap handling on secondary processors
(bsc#1012628).
- usb-storage: Add quirk for ScanLogic SL11R-IDE older than 2.6c
(bsc#1012628).
- USB: serial: cp210x: add ID for GW Instek GDM-834x Digital
Multimeter (bsc#1012628).
- USB: cdc-acm: fix minor-number release (bsc#1012628).
- Revert "USB: bcma: Add a check for devm_gpiod_get"
(bsc#1012628).
- binder: make sure fd closes complete (bsc#1012628).
- binder: fix freeze race (bsc#1012628).
- staging: greybus: uart: fix tty use after free (bsc#1012628).
- usb: isp1760: do not sleep in field register poll (bsc#1012628).
- Re-enable UAS for LaCie Rugged USB3-FW with fk quirk
(bsc#1012628).
- usb: dwc3: core: balance phy init and exit (bsc#1012628).
- usb: cdns3: fix race condition before setting doorbell
(bsc#1012628).
- usb: core: hcd: Add support for deferring roothub registration
(bsc#1012628).
- USB: serial: mos7840: remove duplicated 0xac24 device ID
(bsc#1012628).
- USB: serial: option: add Telit LN920 compositions (bsc#1012628).
- USB: serial: option: remove duplicate USB device ID
(bsc#1012628).
- USB: serial: option: add device id for Foxconn T99W265
(bsc#1012628).
- misc: bcm-vk: fix tty registration race (bsc#1012628).
- misc: genwqe: Fixes DMA mask setting (bsc#1012628).
- mcb: fix error handling in mcb_alloc_bus() (bsc#1012628).
- KVM: rseq: Update rseq when processing NOTIFY_RESUME on xfer
to KVM guest (bsc#1012628).
- erofs: fix up erofs_lookup tracepoint (bsc#1012628).
- nexthop: Fix division by zero while replacing a resilient group
(bsc#1012628).
- btrfs: prevent __btrfs_dump_space_info() to underflow its free
space (bsc#1012628).
- xhci: Set HCD flag to defer primary roothub registration
(bsc#1012628).
- serial: 8250: 8250_omap: Fix RX_LVL register offset
(bsc#1012628).
- serial: mvebu-uart: fix driver's tx_empty callback
(bsc#1012628).
- scsi: sd_zbc: Ensure buffer size is aligned to SECTOR_SIZE
(bsc#1012628).
- drm/amd/pm: Update intermediate power state for SI
(bsc#1012628).
- net: hso: fix muxed tty registration (bsc#1012628).
- platform/x86: amd-pmc: Increase the response register timeout
(bsc#1012628).
- arm64: Restore forced disabling of KPTI on ThunderX
(bsc#1012628).
- arm64: Mitigate MTE issues with str{n}cmp() (bsc#1012628).
- comedi: Fix memory leak in compat_insnlist() (bsc#1012628).
- regulator: qcom-rpmh-regulator: fix pm8009-1 ldo7 resource name
(bsc#1012628).
- afs: Fix page leak (bsc#1012628).
- afs: Fix incorrect triggering of sillyrename on 3rd-party
invalidation (bsc#1012628).
- afs: Fix corruption in reads at fpos 2G-4G from an OpenAFS
server (bsc#1012628).
- afs: Fix updating of i_blocks on file/dir extension
(bsc#1012628).
- platform/x86/intel: punit_ipc: Drop wrong use of ACPI_PTR()
(bsc#1012628).
- regulator: max14577: Revert "regulator: max14577: Add proper
module aliases strings" (bsc#1012628).
- NLM: Fix svcxdr_encode_owner() (bsc#1012628).
- virtio-net: fix pages leaking when building skb in big mode
(bsc#1012628).
- enetc: Fix illegal access when reading affinity_hint
(bsc#1012628).
- enetc: Fix uninitialized struct dim_sample field usage
(bsc#1012628).
- net: dsa: tear down devlink port regions when tearing down
the devlink port on error (bsc#1012628).
- net: bgmac-bcma: handle deferred probe error due to mac-address
(bsc#1012628).
- napi: fix race inside napi_enable (bsc#1012628).
- bnxt_en: Fix TX timeout when TX ring size is set to the smallest
(bsc#1012628).
- net: hns3: fix change RSS 'hfunc' ineffective issue
(bsc#1012628).
- net: hns3: fix inconsistent vf id print (bsc#1012628).
- net: hns3: fix misuse vf id and vport id in some logs
(bsc#1012628).
- net: hns3: check queue id range before using (bsc#1012628).
- net: hns3: check vlan id before using it (bsc#1012628).
- net: hns3: fix a return value error in hclge_get_reset_status()
(bsc#1012628).
- net/smc: add missing error check in smc_clc_prfx_set()
(bsc#1012628).
- net/smc: fix 'workqueue leaked lock' in smc_conn_abort_work
(bsc#1012628).
- net: dsa: fix dsa_tree_setup error path (bsc#1012628).
- net: dsa: don't allocate the slave_mii_bus using devres
(bsc#1012628).
- net: dsa: realtek: register the MDIO bus under devres
(bsc#1012628).
- platform/x86: dell: fix DELL_WMI_PRIVACY dependencies & build
error (bsc#1012628).
- kselftest/arm64: signal: Add SVE to the set of features we
can check for (bsc#1012628).
- kselftest/arm64: signal: Skip tests if required features are
missing (bsc#1012628).
- spi: Revert modalias changes (bsc#1012628).
- s390/qeth: fix NULL deref in qeth_clear_working_pool_list()
(bsc#1012628).
- gpiolib: acpi: Make set-debounce-timeout failures non fatal
(bsc#1012628).
- gpio: uniphier: Fix void functions to remove return value
(bsc#1012628).
- qed: rdma - don't wait for resources under hw error recovery
flow (bsc#1012628).
- mptcp: ensure tx skbs always have the MPTCP ext (bsc#1012628).
- nexthop: Fix memory leaks in nexthop notification chain
listeners (bsc#1012628).
- nfc: st-nci: Add SPI ID matching DT compatible (bsc#1012628).
- net: ethernet: mtk_eth_soc: avoid creating duplicate offload
entries (bsc#1012628).
- net: mscc: ocelot: fix forwarding from BLOCKING ports remaining
enabled (bsc#1012628).
- net/mlx4_en: Don't allow aRFS for encapsulated packets
(bsc#1012628).
- atlantic: Fix issue in the pm resume flow (bsc#1012628).
- drm/amdkfd: map SVM range with correct access permission
(bsc#1012628).
- drm/amdkfd: fix dma mapping leaking warning (bsc#1012628).
- scsi: iscsi: Adjust iface sysfs attr detection (bsc#1012628).
- scsi: target: Fix the pgr/alua_support_store functions
(bsc#1012628).
- tty: synclink_gt: rename a conflicting function name
(bsc#1012628).
- fpga: machxo2-spi: Return an error on failure (bsc#1012628).
- fpga: machxo2-spi: Fix missing error code in
machxo2_write_complete() (bsc#1012628).
- x86/fault: Fix wrong signal when vsyscall fails with pkey
(bsc#1012628).
- nvme-tcp: fix incorrect h2cdata pdu offset accounting
(bsc#1012628).
- nvme: keep ctrl->namespaces ordered (bsc#1012628).
- thermal/core: Potential buffer overflow in
thermal_build_list_of_policies() (bsc#1012628).
- cifs: fix a sign extension bug (bsc#1012628).
- scsi: sd_zbc: Support disks with more than 2**32 logical blocks
(bsc#1012628).
- scsi: ufs: Revert "Utilize Transfer Request List Completion
Notification Register" (bsc#1012628).
- scsi: ufs: Retry aborted SCSI commands instead of completing
these successfully (bsc#1012628).
- scsi: ufs: core: Unbreak the reset handler (bsc#1012628).
- scsi: qla2xxx: Restore initiator in dual mode (bsc#1012628).
- scsi: lpfc: Use correct scnprintf() limit (bsc#1012628).
- irqchip/goldfish-pic: Select GENERIC_IRQ_CHIP to fix build
(bsc#1012628).
- irqchip/gic-v3-its: Fix potential VPE leak on error
(bsc#1012628).
- md: fix a lock order reversal in md_alloc (bsc#1012628).
- x86/asm: Fix SETZ size enqcmds() build failure (bsc#1012628).
- io_uring: fix race between poll completion and cancel_hash
insertion (bsc#1012628).
- io_uring: fix missing set of EPOLLONESHOT for CQ ring overflow
(bsc#1012628).
- io_uring: put provided buffer meta data under memcg accounting
(bsc#1012628).
- io_uring: don't punt files update to io-wq unconditionally
(bsc#1012628).
- blktrace: Fix uaf in blk_trace access after removing by sysfs
(bsc#1012628).
- net: phylink: Update SFP selected interface on advertising
changes (bsc#1012628).
- net: macb: fix use after free on rmmod (bsc#1012628).
- net: stmmac: allow CSR clock of 300MHz (bsc#1012628).
- blk-mq: avoid to iterate over stale request (bsc#1012628).
- m68k: Double cast io functions to unsigned long (bsc#1012628).
- ipv6: delay fib6_sernum increase in fib6_add (bsc#1012628).
- dma-debug: prevent an error message from causing runtime
problems (bsc#1012628).
- cpufreq: intel_pstate: Override parameters if HWP forced by BIOS
(bsc#1012628).
- bpf: Add oversize check before call kvcalloc() (bsc#1012628).
- xen/balloon: use a kernel thread instead a workqueue
(bsc#1012628).
- nvme-multipath: fix ANA state updates when a namespace is not
present (bsc#1012628).
- nvme-rdma: destroy cm id before destroy qp to avoid use after
free (bsc#1012628).
- sparc32: page align size in arch_dma_alloc (bsc#1012628).
- amd/display: downgrade validation failure log level
(bsc#1012628).
- drm/ttm: fix type mismatch error on sparc64 (bsc#1012628).
- block: check if a profile is actually registered in
blk_integrity_unregister (bsc#1012628).
- block: flush the integrity workqueue in blk_integrity_unregister
(bsc#1012628).
- blk-cgroup: fix UAF by grabbing blkcg lock before destroying
blkg pd (bsc#1012628).
- compiler.h: Introduce absolute_pointer macro (bsc#1012628).
- net: i825xx: Use absolute_pointer for memcpy from fixed memory
location (bsc#1012628).
- sparc: avoid stringop-overread errors (bsc#1012628).
- qnx4: avoid stringop-overread errors (bsc#1012628).
- parisc: Use absolute_pointer() to define PAGE0 (bsc#1012628).
- drm/amdkfd: make needs_pcie_atomics FW-version dependent
(bsc#1012628).
- drm/amd/display: Fix unstable HPCP compliance on Chrome Barcelo
(bsc#1012628).
- drm/amd/display: Link training retry fix for abort case
(bsc#1012628).
- amd/display: enable panel orientation quirks (bsc#1012628).
- arm64: Mark __stack_chk_guard as __ro_after_init (bsc#1012628).
- alpha: Declare virt_to_phys and virt_to_bus parameter as
pointer to volatile (bsc#1012628).
- net: 6pack: Fix tx timeout and slot time (bsc#1012628).
- spi: Fix tegra20 build with CONFIG_PM=n (bsc#1012628).
- libperf evsel: Make use of FD robust (bsc#1012628).
- Revert drm/vc4 hdmi runtime PM changes (bsc#1012628).
- EDAC/synopsys: Fix wrong value type assignment for edac_mode
(bsc#1012628).
- EDAC/dmc520: Assign the proper type to dimm->edac_mode
(bsc#1012628).
- x86/setup: Call early_reserve_memory() earlier (bsc#1012628).
- thermal/drivers/int340x: Do not set a wrong tcc offset on resume
(bsc#1012628).
- irqchip/armada-370-xp: Fix ack/eoi breakage (bsc#1012628).
- arm64: add MTE supported check to thread switching and syscall
entry/exit (bsc#1012628).
- USB: serial: cp210x: fix dropped characters with CP2102
(bsc#1012628).
- software node: balance refcount for managed software nodes
(bsc#1012628).
- xen/balloon: fix balloon kthread freezing (bsc#1012628).
- qnx4: work around gcc false positive warning bug (bsc#1012628).
- usb: gadget: f_uac2: Add missing companion descriptor for
feedback EP (bsc#1012628).
- usb: gadget: f_uac2: Populate SS descriptors' wBytesPerInterval
(bsc#1012628).
- Refresh patches.suse/drm-vc4-hdmi-Fix-HPD-GPIO-detection.patch.
- commit 85f5318
-------------------------------------------------------------------
Thu Sep 30 04:53:41 CEST 2021 - mbrugger@suse.com
- arm64: Update config files. (bsc#1185927)
Set PINCTRL_ZYNQMP as build-in.
- commit 94782db
-------------------------------------------------------------------
Mon Sep 27 16:46:36 CEST 2021 - trenn@suse.com
- Those are all really old, some of them might have been fixed via BIOS enhancements:
- Delete patches.suse/acpi_thermal_passive_blacklist.patch. (bsc#1189969)
- Delete
patches.suse/acpi_thinkpad_introduce_acpi_root_table_boot_param.patch. (bsc#1189968)
- Delete patches.suse/perf_timechart_fix_zero_timestamps.patch. (bsc#1189958)
- Delete patches.suse/pstore_disable_efi_backend_by_default.patch. (bsc#1189961)
- Delete
patches.suse/x86-apic-force-bigsmp-apic-on-IBM-EXA3-4.patch. (bsc#1189956)
- commit c421931
-------------------------------------------------------------------
Mon Sep 27 12:35:22 CEST 2021 - msuchanek@suse.de
- kernel-binary.spec: Do not sign kernel when no key provided
(bsc#1187167).
- commit e3309d1
-------------------------------------------------------------------
Mon Sep 27 08:35:53 CEST 2021 - jslaby@suse.cz
- blacklist.conf: add idxd commit
- commit 06dbf6b
-------------------------------------------------------------------
Sun Sep 26 23:30:32 CEST 2021 - mkubecek@suse.cz
- Update to 5.15-rc3
- eliminated 3 patches:
- patches.rpmify/scripts-sorttable-riscv-fix-undelcred-identifier-EM_.patch
- patches.suse/posix-cpu-timers-Fix-spuriously-armed-0-value-itimer.patch
- patches.suse/nvmem-nintendo-otp-add-dependency-on-CONFIG_HAS_IOME.patch
(still meaningful in upstream but no longer needed four our configs)
- refresh configs
- drop NVMEM_NINTENDO_OTP
- i386: drop XEN_PCIDEV_FRONTEND and SWIOTLB_XEN
- commit e48f187
-------------------------------------------------------------------
Sun Sep 26 19:11:12 CEST 2021 - jslaby@suse.cz
- nvmet: fix a width vs precision bug in
nvmet_subsys_attr_serial_show() (git-fixes).
- commit fef4ef0
-------------------------------------------------------------------
Sun Sep 26 19:05:29 CEST 2021 - jslaby@suse.cz
- Linux 5.14.8 (bsc#1012628).
- drm/nouveau/nvkm: Replace -ENOSYS with -ENODEV (bsc#1012628).
- selinux,smack: fix subjective/objective credential use mixups
(bsc#1012628).
- io_uring: fix off-by-one in BUILD_BUG_ON check of
__REQ_F_LAST_BIT (bsc#1012628).
- cifs: properly invalidate cached root handle when closing it
(bsc#1012628).
- sched/idle: Make the idle timer expire in hard interrupt context
(bsc#1012628).
- rtc: rx8010: select REGMAP_I2C (bsc#1012628).
- blk-mq: allow 4x BLK_MAX_REQUEST_COUNT at blk_plug for
multiple_queues (bsc#1012628).
- blk-throttle: fix UAF by deleteing timer in blk_throtl_exit()
(bsc#1012628).
- block: genhd: don't call blkdev_show() with major_names_lock
held (bsc#1012628).
- nvmet: fixup buffer overrun in nvmet_subsys_attr_serial()
(bsc#1012628).
- pwm: stm32-lp: Don't modify HW state in .remove() callback
(bsc#1012628).
- pwm: rockchip: Don't modify HW state in .remove() callback
(bsc#1012628).
- pwm: img: Don't modify HW state in .remove() callback
(bsc#1012628).
- habanalabs: cannot sleep while holding spinlock (bsc#1012628).
- habanalabs: add "in device creation" status (bsc#1012628).
- habanalabs: fix mmu node address resolution in debugfs
(bsc#1012628).
- habanalabs: add validity check for event ID received from F/W
(bsc#1012628).
- drm/amdgpu: fix fdinfo race with process exit (bsc#1012628).
- drm/amd/display: Fix memory leak reported by coverity
(bsc#1012628).
- drm/amdgpu: Fixes to returning VBIOS RAS EEPROM address
(bsc#1012628).
- habanalabs: fix nullifying of destroyed mmu pgt pool
(bsc#1012628).
- thermal/drivers/rcar_gen3_thermal: Store TSC id as unsigned int
(bsc#1012628).
- nilfs2: fix memory leak in nilfs_sysfs_delete_snapshot_group
(bsc#1012628).
- nilfs2: fix memory leak in nilfs_sysfs_create_snapshot_group
(bsc#1012628).
- nilfs2: fix memory leak in nilfs_sysfs_delete_##name##_group
(bsc#1012628).
- nilfs2: fix memory leak in nilfs_sysfs_create_##name##_group
(bsc#1012628).
- nilfs2: fix NULL pointer in nilfs_##name##_attr_release
(bsc#1012628).
- nilfs2: fix memory leak in nilfs_sysfs_create_device_group
(bsc#1012628).
- btrfs: fix lockdep warning while mounting sprout fs
(bsc#1012628).
- btrfs: delay blkdev_put until after the device remove
(bsc#1012628).
- btrfs: update the bdev time directly when closing (bsc#1012628).
- s390/unwind: use current_frame_address() to unwind current task
(bsc#1012628).
- ceph: lockdep annotations for try_nonblocking_invalidate
(bsc#1012628).
- ceph: remove the capsnaps when removing caps (bsc#1012628).
- ceph: request Fw caps before updating the mtime in
ceph_write_iter (bsc#1012628).
- ceph: fix memory leak on decode error in ceph_handle_caps
(bsc#1012628).
- ACPI: PM: s2idle: Run both AMD and Microsoft methods if both
are supported (bsc#1012628).
- ASoC: audio-graph: respawn Platform Support (bsc#1012628).
- s390: add kmemleak annotation in stack_alloc() (bsc#1012628).
- dmaengine: xilinx_dma: Set DMA mask for coherent APIs
(bsc#1012628).
- dmaengine: ioat: depends on !UML (bsc#1012628).
- cxl/pci: Introduce cdevm_file_operations (bsc#1012628).
- cxl: Move cxl_core to new directory (bsc#1012628).
- dmaengine: sprd: Add missing MODULE_DEVICE_TABLE (bsc#1012628).
- dmaengine: idxd: depends on !UML (bsc#1012628).
- riscv: dts: microchip: mpfs-icicle: Fix serial console
(bsc#1012628).
- of: property: Disable fw_devlink DT support for X86
(bsc#1012628).
- drm/ttm: Fix a deadlock if the target BO is not idle during swap
(bsc#1012628).
- arm64: mm: limit linear region to 51 bits for KVM in nVHE mode
(bsc#1012628).
- iommu/vt-d: Fix a deadlock in intel_svm_drain_prq()
(bsc#1012628).
- iommu/vt-d: Fix PASID leak in intel_svm_unbind_mm()
(bsc#1012628).
- iommu/amd: Relocate GAMSup check to early_enable_iommus
(bsc#1012628).
- parisc: Move pci_dev_is_behind_card_dino to where it is used
(bsc#1012628).
- dma-buf: DMABUF_DEBUG should depend on DMA_SHARED_BUFFER
(bsc#1012628).
- Update config files.
- dma-buf: DMABUF_MOVE_NOTIFY should depend on DMA_SHARED_BUFFER
(bsc#1012628).
- Update config files.
- drivers: base: cacheinfo: Get rid of
DEFINE_SMP_CALL_CACHE_FUNCTION() (bsc#1012628).
- drm/amdgpu: Disable PCIE_DPM on Intel RKL Platform
(bsc#1012628).
- thermal/core: Fix thermal_cooling_device_register() prototype
(bsc#1012628).
- tracing/boot: Fix to loop on only subkeys (bsc#1012628).
- tools/bootconfig: Fix tracing_on option checking in
ftrace2bconf.sh (bsc#1012628).
- Kconfig.debug: drop selecting non-existing
HARDLOCKUP_DETECTOR_ARCH (bsc#1012628).
- init: move usermodehelper_enable() to populate_rootfs()
(bsc#1012628).
- math: RATIONAL_KUNIT_TEST should depend on RATIONAL instead
of selecting it (bsc#1012628).
- SUNRPC: don't pause on incomplete allocation (bsc#1012628).
- s390/entry: make oklabel within CHKSTG macro local
(bsc#1012628).
- platform/chrome: cros_ec_trace: Fix format warnings
(bsc#1012628).
- platform/chrome: sensorhub: Add trace events for sample
(bsc#1012628).
- dmaengine: idxd: clear block on fault flag when clear wq
(bsc#1012628).
- dmaengine: idxd: fix abort status check (bsc#1012628).
- dmaengine: idxd: fix wq slot allocation index check
(bsc#1012628).
- dmaengine: idxd: have command status always set (bsc#1012628).
- dmanegine: idxd: cleanup all device related bits after disabling
device (bsc#1012628).
- pwm: mxs: Don't modify HW state in .probe() after the PWM chip
was registered (bsc#1012628).
- pwm: lpc32xx: Don't modify HW state in .probe() after the PWM
chip was registered (bsc#1012628).
- ceph: cancel delayed work instead of flushing on mdsc teardown
(bsc#1012628).
- thermal/drivers/qcom/spmi-adc-tm5: Don't abort probing if a
sensor is not used (bsc#1012628).
- PM: sleep: core: Avoid setting power.must_resume to false
(bsc#1012628).
- profiling: fix shift-out-of-bounds bugs (bsc#1012628).
- nilfs2: use refcount_dec_and_lock() to fix potential UAF
(bsc#1012628).
- prctl: allow to setup brk for et_dyn executables (bsc#1012628).
- pwm: ab8500: Fix register offset calculation to not depend on
probe order (bsc#1012628).
- 9p/trans_virtio: Remove sysfs file on probe failure
(bsc#1012628).
- thermal/drivers/exynos: Fix an error code in exynos_tmu_probe()
(bsc#1012628).
- n64cart: fix return value check in n64cart_probe()
(bsc#1012628).
- staging: rtl8723bs: fix wpa_set_auth_algs() function
(bsc#1012628).
- perf tools: Allow build-id with trailing zeros (bsc#1012628).
- perf symbol: Look for ImageBase in PE file to compute .text
offset (bsc#1012628).
- perf test: Fix bpf test sample mismatch reporting (bsc#1012628).
- dmaengine: acpi: Avoid comparison GSI with Linux vIRQ
(bsc#1012628).
- RDMA/mlx5: Fix xlt_chunk_align calculation (bsc#1012628).
- RDMA/hns: Enable stash feature of HIP09 (bsc#1012628).
- um: virtio_uml: fix memory leak on init failures (bsc#1012628).
- coredump: fix memleak in dump_vma_snapshot() (bsc#1012628).
- um: fix stub location calculation (bsc#1012628).
- staging: rtl8192u: Fix bitwise vs logical operator in
TranslateRxSignalStuff819xUsb() (bsc#1012628).
- console: consume APC, DM, DCS (bsc#1012628).
- PCI: aardvark: Fix reporting CRS value (bsc#1012628).
- PCI: pci-bridge-emul: Add PCIe Root Capabilities Register
(bsc#1012628).
- commit 94242c6
-------------------------------------------------------------------
Sat Sep 25 11:07:54 CEST 2021 - msuchanek@suse.de
- rpm/config.sh: Compress modules with zstd (jsc#SLE-21256, boo#1192457).
- rpm/config.sh: Compress modules with zstd (jsc#SLE-21256).
- commit 0851921
-------------------------------------------------------------------
Wed Sep 22 17:44:35 CEST 2021 - msuchanek@suse.de
- kernel-binary.spec: suse-kernel-rpm-scriptlets required for uninstall as
well.
Fixes: e98096d5cf85 ("rpm: Abolish scritplet templating (bsc#1189841).")
- commit e082fbf
-------------------------------------------------------------------
Wed Sep 22 15:29:57 CEST 2021 - jslaby@suse.cz
- Linux 5.14.7 (bsc#1012628).
- net: stmmac: fix MAC not working when system resume back with
WoL active (bsc#1012628).
- io_uring: ensure symmetry in handling iter types in
loop_rw_iter() (bsc#1012628).
- swiotlb-xen: avoid double free (bsc#1012628).
- swiotlb-xen: fix late init retry (bsc#1012628).
- xen: reset legacy rtc flag for PV domU (bsc#1012628).
- xen: fix usage of pmd_populate in mremap for pv guests
(bsc#1012628).
- bnx2x: Fix enabling network interfaces without VFs
(bsc#1012628).
- arm64/sve: Use correct size when reinitialising SVE state
(bsc#1012628).
- PM: base: power: don't try to use non-existing RTC for storing
data (bsc#1012628).
- PCI: Add AMD GPU multi-function power dependencies
(bsc#1012628).
- drm/amd/display: Get backlight from PWM if DMCU is not
initialized (bsc#1012628).
- drm/amd/display: dsc mst 2 4K displays go dark with 2 lane HBR3
(bsc#1012628).
- drm/amd/display: Fix white screen page fault for gpuvm
(bsc#1012628).
- drm/amd/pm: fix runpm hang when amdgpu loaded prior to sound
driver (bsc#1012628).
- drm/amd/amdgpu: Increase HWIP_MAX_INSTANCE to 10 (bsc#1012628).
- drm/amdgpu: use IS_ERR for debugfs APIs (bsc#1012628).
- drm/amdgpu: fix use after free during BO move (bsc#1012628).
- drm/amdgpu: add amdgpu_amdkfd_resume_iommu (bsc#1012628).
- drm/amdgpu: move iommu_resume before ip init/resume
(bsc#1012628).
- drm/amd/pm: fix the issue of uploading powerplay table
(bsc#1012628).
- drm/amdkfd: separate kfd_iommu_resume from kfd_resume
(bsc#1012628).
- drm/radeon: pass drm dev radeon_agp_head_init directly
(bsc#1012628).
- io_uring: allow retry for O_NONBLOCK if async is supported
(bsc#1012628).
- drm/etnaviv: return context from etnaviv_iommu_context_get
(bsc#1012628).
- drm/etnaviv: put submit prev MMU context when it exists
(bsc#1012628).
- drm/etnaviv: stop abusing mmu_context as FE running marker
(bsc#1012628).
- drm/etnaviv: keep MMU context across runtime suspend/resume
(bsc#1012628).
- drm/etnaviv: exec and MMU state is lost when resetting the GPU
(bsc#1012628).
- drm/etnaviv: fix MMU context leak on GPU reset (bsc#1012628).
- drm/etnaviv: reference MMU context when setting up hardware
state (bsc#1012628).
- drm/etnaviv: add missing MMU context put when reaping MMU
mapping (bsc#1012628).
- s390/sclp: fix Secure-IPL facility detection (bsc#1012628).
- net: qrtr: revert check in qrtr_endpoint_post() (bsc#1012628).
- x86/pat: Pass valid address to sanitize_phys() (bsc#1012628).
- x86/mm: Fix kern_addr_valid() to cope with existing but not
present entries (bsc#1012628).
- x86/mce: Avoid infinite loop for copy from user recovery
(bsc#1012628).
- net: remove the unnecessary check in cipso_v4_doi_free
(bsc#1012628).
- net/{mlx5|nfp|bnxt}: Remove unnecessary RTNL lock assert
(bsc#1012628).
- net-caif: avoid user-triggerable WARN_ON(1) (bsc#1012628).
- ptp: dp83640: don't define PAGE0 (bsc#1012628).
- dccp: don't duplicate ccid when cloning dccp sock (bsc#1012628).
- net/l2tp: Fix reference count leak in l2tp_udp_recv_core
(bsc#1012628).
- r6040: Restore MDIO clock frequency after MAC reset
(bsc#1012628).
- tipc: increase timeout in tipc_sk_enqueue() (bsc#1012628).
- drm/rockchip: cdn-dp-core: Make cdn_dp_core_resume
__maybe_unused (bsc#1012628).
- rtc: cmos: Disable irq around direct invocation of
cmos_interrupt() (bsc#1012628).
- drm/i915/dp: return proper DPRX link training result
(bsc#1012628).
- perf machine: Initialize srcline string member in add_location
struct (bsc#1012628).
- net/mlx5: FWTrace, cancel work on alloc pd error flow
(bsc#1012628).
- net/mlx5: Fix potential sleeping in atomic context
(bsc#1012628).
- net: stmmac: fix system hang caused by eee_ctrl_timer during
suspend/resume (bsc#1012628).
- igc: fix tunnel offloading (bsc#1012628).
- nvme-tcp: fix io_work priority inversion (bsc#1012628).
- powerpc/64s: system call scv tabort fix for corrupt irq
soft-mask state (bsc#1012628).
- events: Reuse value read using READ_ONCE instead of re-reading
it (bsc#1012628).
- net: ipa: initialize all filter table slots (bsc#1012628).
- gen_compile_commands: fix missing 'sys' package (bsc#1012628).
- vhost_net: fix OoB on sendmsg() failure (bsc#1012628).
- net/af_unix: fix a data-race in unix_dgram_poll (bsc#1012628).
- net: dsa: destroy the phylink instance on any error in
dsa_slave_phy_setup (bsc#1012628).
- x86/uaccess: Fix 32-bit __get_user_asm_u64() when
CC_HAS_ASM_GOTO_OUTPUT=y (bsc#1012628).
- tcp: fix tp->undo_retrans accounting in tcp_sacktag_one()
(bsc#1012628).
- selftest: net: fix typo in altname test (bsc#1012628).
- qed: Handle management FW error (bsc#1012628).
- udp_tunnel: Fix udp_tunnel_nic work-queue type (bsc#1012628).
- dt-bindings: arm: Fix Toradex compatible typo (bsc#1012628).
- ibmvnic: check failover_pending in login response (bsc#1012628).
- KVM: PPC: Book3S HV: Tolerate treclaim. in fake-suspend mode
changing registers (bsc#1012628).
- powerpc/64s: system call rfscv workaround for TM bugs
(bsc#1012628).
- powerpc/mce: Fix access error in mce handler (bsc#1012628).
- s390/pci_mmio: fully validate the VMA before calling
follow_pte() (bsc#1012628).
- bnxt_en: make bnxt_free_skbs() safe to call after
bnxt_free_mem() (bsc#1012628).
- net: hns3: pad the short tunnel frame before sending to hardware
(bsc#1012628).
- net: hns3: change affinity_mask to numa node range
(bsc#1012628).
- net: hns3: disable mac in flr process (bsc#1012628).
- net: hns3: fix the timing issue of VF clearing interrupt sources
(bsc#1012628).
- net: stmmac: platform: fix build warning when with
!CONFIG_PM_SLEEP (bsc#1012628).
- Drivers: hv: vmbus: Fix kernel crash upon unbinding a device
from uio_hv_generic driver (bsc#1012628).
- net/mlx5e: Fix mutual exclusion between CQE compression and
HW TS (bsc#1012628).
- ice: Correctly deal with PFs that do not support RDMA
(bsc#1012628).
- net: dsa: qca8k: fix kernel panic with legacy mdio mapping
(bsc#1012628).
- net: dsa: lantiq_gswip: Add 200ms assert delay (bsc#1012628).
- net: hns3: fix the exception when query imp info (bsc#1012628).
- nvme: avoid race in shutdown namespace removal (bsc#1012628).
- blkcg: fix memory leak in blk_iolatency_init (bsc#1012628).
- net: dsa: flush switchdev workqueue before tearing down CPU/DSA
ports (bsc#1012628).
- mlxbf_gige: clear valid_polarity upon open (bsc#1012628).
- dt-bindings: mtd: gpmc: Fix the ECC bytes vs. OOB bytes equation
(bsc#1012628).
- remoteproc: qcom: wcnss: Fix race with iris probe (bsc#1012628).
- mfd: db8500-prcmu: Adjust map to reality (bsc#1012628).
- PCI: Add ACS quirks for NXP LX2xx0 and LX2xx2 platforms
(bsc#1012628).
- fuse: fix use after free in fuse_read_interrupt() (bsc#1012628).
- PCI: tegra194: Fix handling BME_CHGED event (bsc#1012628).
- PCI: tegra194: Fix MSI-X programming (bsc#1012628).
- PCI: tegra: Fix OF node reference leak (bsc#1012628).
- mfd: Don't use irq_create_mapping() to resolve a mapping
(bsc#1012628).
- PCI: rcar: Fix runtime PM imbalance in rcar_pcie_ep_probe()
(bsc#1012628).
- riscv: fix the global name pfn_base confliction error
(bsc#1012628).
- KVM: arm64: Make hyp_panic() more robust when protected mode
is enabled (bsc#1012628).
- tracing/probes: Reject events which have the same name of
existing one (bsc#1012628).
- PCI: cadence: Use bitfield for *quirk_retrain_flag* instead
of bool (bsc#1012628).
- PCI: cadence: Add quirk flag to set minimum delay in LTSSM
Detect.Quiet state (bsc#1012628).
- PCI: j721e: Add PCIe support for J7200 (bsc#1012628).
- PCI: j721e: Add PCIe support for AM64 (bsc#1012628).
- PCI: Add ACS quirks for Cavium multi-function devices
(bsc#1012628).
- watchdog: Start watchdog in watchdog_set_last_hw_keepalive
only if appropriate (bsc#1012628).
- octeontx2-af: Add additional register check to rvu_poll_reg()
(bsc#1012628).
- Set fc_nlinfo in nh_create_ipv4, nh_create_ipv6 (bsc#1012628).
- flow: fix object-size-mismatch warning in
flowi{4,6}_to_flowi_common() (bsc#1012628).
- net: usb: cdc_mbim: avoid altsetting toggling for Telit LN920
(bsc#1012628).
- block, bfq: honor already-setup queue merges (bsc#1012628).
- PCI: ibmphp: Fix double unmap of io_mem (bsc#1012628).
- loop: reduce the loop_ctl_mutex scope (bsc#1012628).
- ethtool: Fix an error code in cxgb2.c (bsc#1012628).
- NTB: Fix an error code in ntb_msit_probe() (bsc#1012628).
- NTB: perf: Fix an error code in perf_setup_inbuf()
(bsc#1012628).
- stmmac: dwmac-loongson:Fix missing return value (bsc#1012628).
- net: phylink: add suspend/resume support (bsc#1012628).
- mfd: axp20x: Update AXP288 volatile ranges (bsc#1012628).
- backlight: ktd253: Stabilize backlight (bsc#1012628).
- PCI: controller: PCI_IXP4XX should depend on ARCH_IXP4XX
(bsc#1012628).
- PCI: of: Don't fail devm_pci_alloc_host_bridge() on missing
'ranges' (bsc#1012628).
- PCI: iproc: Fix BCMA probe resource handling (bsc#1012628).
- netfilter: nft_ct: protect nft_ct_pcpu_template_refcnt with
mutex (bsc#1012628).
- KVM: arm64: Restrict IPA size to maximum 48 bits on 4K and
16K page size (bsc#1012628).
- PCI: Fix pci_dev_str_match_path() alloc while atomic bug
(bsc#1012628).
- mfd: tqmx86: Clear GPIO IRQ resource when no IRQ is set
(bsc#1012628).
- tracing/boot: Fix a hist trigger dependency for boot time
tracing (bsc#1012628).
- mtd: mtdconcat: Judge callback existence based on the master
(bsc#1012628).
- mtd: mtdconcat: Check _read, _write callbacks existence before
assignment (bsc#1012628).
- KVM: arm64: Fix read-side race on updates to vcpu reset state
(bsc#1012628).
- KVM: arm64: Handle PSCI resets before userspace touches vCPU
state (bsc#1012628).
- PCI/PTM: Remove error message at boot (bsc#1012628).
- PCI: Sync __pci_register_driver() stub for CONFIG_PCI=n
(bsc#1012628).
- mtd: rawnand: cafe: Fix a resource leak in the error handling
path of 'cafe_nand_probe()' (bsc#1012628).
- ARC: export clear_user_page() for modules (bsc#1012628).
- perf config: Fix caching and memory leak in
perf_home_perfconfig() (bsc#1012628).
- perf unwind: Do not overwrite
FEATURE_CHECK_LDFLAGS-libunwind-{x86,aarch64} (bsc#1012628).
- perf bench inject-buildid: Handle writen() errors (bsc#1012628).
- gpio: mpc8xxx: Fix a resources leak in the error handling path
of 'mpc8xxx_probe()' (bsc#1012628).
- gpio: mpc8xxx: Fix a potential double iounmap call in
'mpc8xxx_probe()' (bsc#1012628).
- gpio: mpc8xxx: Use 'devm_gpiochip_add_data()' to simplify the
code and avoid a leak (bsc#1012628).
- io_uring: retry in case of short read on block device
(bsc#1012628).
- net: dsa: tag_rtl4_a: Fix egress tags (bsc#1012628).
- tools build: Fix feature detect clean for out of source builds
(bsc#1012628).
- mptcp: fix possible divide by zero (bsc#1012628).
- selftests: mptcp: clean tmp files in simult_flows (bsc#1012628).
- net: hso: add failure handler for add_net_device (bsc#1012628).
- net: dsa: b53: Fix calculating number of switch ports
(bsc#1012628).
- net: dsa: b53: Set correct number of ports in the DSA struct
(bsc#1012628).
- mptcp: Only send extra TCP acks in eligible socket states
(bsc#1012628).
- netfilter: socket: icmp6: fix use-after-scope (bsc#1012628).
- fq_codel: reject silly quantum parameters (bsc#1012628).
- qlcnic: Remove redundant unlock in qlcnic_pinit_from_rom
(bsc#1012628).
- iwlwifi: move get pnvm file name to a separate function
(bsc#1012628).
- iwlwifi: pnvm: Fix a memory leak in 'iwl_pnvm_get_from_fs()'
(bsc#1012628).
- ip_gre: validate csum_start only on pull (bsc#1012628).
- net: dsa: b53: Fix IMP port setup on BCM5301x (bsc#1012628).
- bnxt_en: fix stored FW_PSID version masks (bsc#1012628).
- bnxt_en: Fix asic.rev in devlink dev info command (bsc#1012628).
- bnxt_en: Fix possible unintended driver initiated error recovery
(bsc#1012628).
- ip6_gre: Revert "ip6_gre: add validation for csum_start"
(bsc#1012628).
- mfd: lpc_sch: Rename GPIOBASE to prevent build error
(bsc#1012628).
- cxgb3: fix oops on module removal (bsc#1012628).
- net: renesas: sh_eth: Fix freeing wrong tx descriptor
(bsc#1012628).
- bnxt_en: Fix error recovery regression (bsc#1012628).
- net: dsa: bcm_sf2: Fix array overrun in
bcm_sf2_num_active_ports() (bsc#1012628).
- s390/bpf: Fix optimizing out zero-extensions (bsc#1012628).
- s390/bpf: Fix 64-bit subtraction of the -0x80000000 constant
(bsc#1012628).
- s390/bpf: Fix branch shortening during codegen pass
(bsc#1012628).
- Update config files.
- commit aa9b3e1
-------------------------------------------------------------------
Tue Sep 21 17:59:30 CEST 2021 - jslaby@suse.cz
- Revert "Revert "rpm: Abolish scritplet templating (bsc#1189841).""
This reverts commit f924054cc523527b52203e352adb073db0962f5f.
New suse-module-tools were accepted to factory:
https://build.opensuse.org/request/show/919089
- commit 6abad1e
-------------------------------------------------------------------
Mon Sep 20 07:47:39 CEST 2021 - mkubecek@suse.cz
- Update to 5.15-rc2
- eliminated 2 patches
- patches.suse/memblock-introduce-saner-memblock_free_ptr-interface.patch
- patches.suse/tools-bootconfig-define-memblock_free_ptr-to-fix-build-error.patch
- update configs
- ARCH_NR_GPIO (1024 on x86_64, 512 on i386)
- drop WARN_DYNAMIC_STACK on s390x
- commit 05c92eb
-------------------------------------------------------------------
Sat Sep 18 20:35:21 CEST 2021 - jslaby@suse.cz
- Revert "usb: xhci-mtk: Do not use xhci's virt_dev in drop_endpoint"
(git-fixes).
- tipc: fix an use-after-free issue in tipc_recvmsg (git-fixes).
- ethtool: Fix rxnfc copy to user buffer overflow (git-fixes).
- commit 6131a3c
-------------------------------------------------------------------
Sat Sep 18 20:32:25 CEST 2021 - jslaby@suse.cz
- Linux 5.14.6 (bsc#1012628).
- Makefile: use -Wno-main in the full kernel tree (bsc#1012628).
- rtc: tps65910: Correct driver module alias (bsc#1012628).
- io_uring: place fixed tables under memcg limits (bsc#1012628).
- io_uring: add ->splice_fd_in checks (bsc#1012628).
- io_uring: fix io_try_cancel_userdata race for iowq
(bsc#1012628).
- io-wq: fix wakeup race when adding new work (bsc#1012628).
- io-wq: fix race between adding work and activating a free worker
(bsc#1012628).
- btrfs: use delalloc_bytes to determine flush amount for
shrink_delalloc (bsc#1012628).
- btrfs: wake up async_delalloc_pages waiters after submit
(bsc#1012628).
- btrfs: wait on async extents when flushing delalloc
(bsc#1012628).
- btrfs: reduce the preemptive flushing threshold to 90%
(bsc#1012628).
- btrfs: do not do preemptive flushing if the majority is global
rsv (bsc#1012628).
- btrfs: zoned: fix block group alloc_offset calculation
(bsc#1012628).
- btrfs: zoned: suppress reclaim error message on EAGAIN
(bsc#1012628).
- btrfs: fix upper limit for max_inline for page size 64K
(bsc#1012628).
- btrfs: reset replace target device to allocation state on close
(bsc#1012628).
- btrfs: zoned: fix double counting of split ordered extent
(bsc#1012628).
- blk-zoned: allow zone management send operations without
CAP_SYS_ADMIN (bsc#1012628).
- blk-zoned: allow BLKREPORTZONE without CAP_SYS_ADMIN
(bsc#1012628).
- powerpc/perf/hv-gpci: Fix counter value parsing (bsc#1012628).
- xen: fix setting of max_pfn in shared_info (bsc#1012628).
- 9p/xen: Fix end of loop tests for list_for_each_entry
(bsc#1012628).
- ceph: fix dereference of null pointer cf (bsc#1012628).
- Input: elan_i2c - reduce the resume time for controller in
Whitebox (bsc#1012628).
- selftests/ftrace: Fix requirement check of README file
(bsc#1012628).
- tools/thermal/tmon: Add cross compiling support (bsc#1012628).
- clk: socfpga: agilex: fix the parents of the psi_ref_clk
(bsc#1012628).
- clk: socfpga: agilex: fix up s2f_user0_clk representation
(bsc#1012628).
- clk: socfpga: agilex: add the bypass register for s2f_usr0 clock
(bsc#1012628).
- pinctrl: stmfx: Fix hazardous u8[] to unsigned long cast
(bsc#1012628).
- pinctrl: ingenic: Fix incorrect pull up/down info (bsc#1012628).
- pinctrl: ingenic: Fix bias config for X2000(E) (bsc#1012628).
- soc: mediatek: mmsys: Fix missing UFOE component in mt8173
table routing (bsc#1012628).
- soc: qcom: aoss: Fix the out of bound usage of cooling_devs
(bsc#1012628).
- soc: aspeed: lpc-ctrl: Fix boundary check for mmap
(bsc#1012628).
- soc: aspeed: p2a-ctrl: Fix boundary check for mmap
(bsc#1012628).
- arm64: Move .hyp.rodata outside of the _sdata.._edata range
(bsc#1012628).
- arm64: mm: Fix TLBI vs ASID rollover (bsc#1012628).
- arm64: head: avoid over-mapping in map_memory (bsc#1012628).
- arm64: Do not trap PMSNEVFR_EL1 (bsc#1012628).
- iio: ltc2983: fix device probe (bsc#1012628).
- wcn36xx: Ensure finish scan is not requested before start scan
(bsc#1012628).
- crypto: public_key: fix overflow during implicit conversion
(bsc#1012628).
- block: bfq: fix bfq_set_next_ioprio_data() (bsc#1012628).
- power: supply: max17042: handle fails of reading status register
(bsc#1012628).
- dm crypt: Avoid percpu_counter spinlock contention in
crypt_page_alloc() (bsc#1012628).
- crypto: ccp - shutdown SEV firmware on kexec (bsc#1012628).
- spi: fsi: Reduce max transfer size to 8 bytes (bsc#1012628).
- VMCI: fix NULL pointer dereference when unmapping queue pair
(bsc#1012628).
- media: uvc: don't do DMA on stack (bsc#1012628).
- media: rc-loopback: return number of emitters rather than error
(bsc#1012628).
- nvmem: core: fix error handling while validating keepout regions
(bsc#1012628).
- s390/qdio: fix roll-back after timeout on ESTABLISH ccw
(bsc#1012628).
- s390/qdio: cancel the ESTABLISH ccw after timeout (bsc#1012628).
- Revert "dmaengine: imx-sdma: refine to load context only once"
(bsc#1012628).
- dmaengine: imx-sdma: remove duplicated sdma_load_context
(bsc#1012628).
- watchdog: iTCO_wdt: Fix detection of SMI-off case (bsc#1012628).
- libata: add ATA_HORKAGE_NO_NCQ_TRIM for Samsung 860 and 870 SSDs
(bsc#1012628).
- ARM: 9105/1: atags_to_fdt: don't warn about stack size
(bsc#1012628).
- sched: Prevent balance_push() on remote runqueues (bsc#1012628).
- f2fs: let's keep writing IOs on SBI_NEED_FSCK (bsc#1012628).
- f2fs: fix to do sanity check for sb/cp fields correctly
(bsc#1012628).
- PCI/portdrv: Enable Bandwidth Notification only if port supports
it (bsc#1012628).
- PCI: Restrict ASMedia ASM1062 SATA Max Payload Size Supported
(bsc#1012628).
- PCI: Return ~0 data on pciconfig_read() CAP_SYS_ADMIN failure
(bsc#1012628).
- PCI: xilinx-nwl: Enable the clock through CCF (bsc#1012628).
- PCI: aardvark: Configure PCIe resources from 'ranges' DT
property (bsc#1012628).
- PCI: aardvark: Fix checking for PIO status (bsc#1012628).
- PCI: aardvark: Increase polling delay to 1.5s while waiting
for PIO response (bsc#1012628).
- PCI: aardvark: Fix masking and unmasking legacy INTx interrupts
(bsc#1012628).
- f2fs: compress: fix to set zstd compress level correctly
(bsc#1012628).
- RDMA/rtrs: move wr_cnt from rtrs_srv_con to rtrs_con
(bsc#1012628).
- RDMA/rtrs: Enable the same selective signal for heartbeat and IO
(bsc#1012628).
- RDMA/rtrs: Move sq_wr_avail to rtrs_con (bsc#1012628).
- HID: input: do not report stylus battery state as "full"
(bsc#1012628).
- clk: renesas: rzg2l: Fix off-by-one check in
rzg2l_cpg_clk_src_twocell_get() (bsc#1012628).
- f2fs: quota: fix potential deadlock (bsc#1012628).
- pinctrl: armada-37xx: Correct PWM pins definitions
(bsc#1012628).
- scsi: bsg: Remove support for SCSI_IOCTL_SEND_COMMAND
(bsc#1012628).
- clk: rockchip: drop GRF dependency for rk3328/rk3036 pll types
(bsc#1012628).
- IB/hfi1: Adjust pkey entry in index 0 (bsc#1012628).
- RDMA/iwcm: Release resources if iw_cm module initialization
fails (bsc#1012628).
- docs: Fix infiniband uverbs minor number (bsc#1012628).
- scsi: BusLogic: Use %X for u32 sized integer rather than %lX
(bsc#1012628).
- pinctrl: samsung: Fix pinctrl bank pin count (bsc#1012628).
- f2fs: do not submit NEW_ADDR to read node block (bsc#1012628).
- f2fs: turn back remapped address in compressed page endio
(bsc#1012628).
- f2fs: fix wrong checkpoint_changed value in f2fs_remount()
(bsc#1012628).
- vfio: Use config not menuconfig for VFIO_NOIOMMU (bsc#1012628).
- scsi: ufs: Fix memory corruption by ufshcd_read_desc_param()
(bsc#1012628).
- scsi: ufs: Use DECLARE_COMPLETION_ONSTACK() where appropriate
(bsc#1012628).
- scsi: ufs: Fix the SCSI abort handler (bsc#1012628).
- cpuidle: pseries: Fixup CEDE0 latency only for POWER10 onwards
(bsc#1012628).
- powerpc/stacktrace: Include linux/delay.h (bsc#1012628).
- RDMA/hns: Don't overwrite supplied QP attributes (bsc#1012628).
- RDMA/efa: Remove double QP type assignment (bsc#1012628).
- RDMA/mlx5: Delete not-available udata check (bsc#1012628).
- cpuidle: pseries: Mark pseries_idle_proble() as __init
(bsc#1012628).
- f2fs: reduce the scope of setting fsck tag when de->name_len
is zero (bsc#1012628).
- openrisc: don't printk() unconditionally (bsc#1012628).
- dma-debug: fix debugfs initialization order (bsc#1012628).
- xprtrdma: Put rpcrdma_reps before waking the tear-down
completion (bsc#1012628).
- NFSv4/pNFS: Fix a layoutget livelock loop (bsc#1012628).
- NFSv4/pNFS: Always allow update of a zero valued layout barrier
(bsc#1012628).
- NFSv4/pnfs: The layout barrier indicate a minimal value for
the seqid (bsc#1012628).
- SUNRPC: Fix potential memory corruption (bsc#1012628).
- SUNRPC/xprtrdma: Fix reconnection locking (bsc#1012628).
- sunrpc: Fix return value of get_srcport() (bsc#1012628).
- scsi: ufs: Fix unsigned int compared with less than zero
(bsc#1012628).
- scsi: ufshcd: Fix device links when BOOT WLUN fails to probe
(bsc#1012628).
- scsi: fdomain: Fix error return code in fdomain_probe()
(bsc#1012628).
- pinctrl: single: Fix error return code in
pcs_parse_bits_in_pinctrl_entry() (bsc#1012628).
- powerpc/numa: Consider the max NUMA node for migratable LPAR
(bsc#1012628).
- vfio/mbochs: Fix missing error unwind of mbochs_used_mbytes
(bsc#1012628).
- platform/x86: ISST: Fix optimization with use of numa
(bsc#1012628).
- scsi: smartpqi: Fix an error code in pqi_get_raid_map()
(bsc#1012628).
- scsi: qedi: Fix error codes in qedi_alloc_global_queues()
(bsc#1012628).
- scsi: qedf: Fix error codes in qedf_alloc_global_queues()
(bsc#1012628).
- powerpc/config: Fix IPV6 warning in mpc855_ads (bsc#1012628).
- powerpc/config: Renable MTD_PHYSMAP_OF (bsc#1012628).
- f2fs: fix to keep compatibility of fault injection interface
(bsc#1012628).
- iommu/vt-d: Update the virtual command related registers
(bsc#1012628).
- RDMA/hns: Fix return in hns_roce_rereg_user_mr() (bsc#1012628).
- HID: amd_sfh: Fix period data field to enable sensor
(bsc#1012628).
- HID: i2c-hid: Fix Elan touchpad regression (bsc#1012628).
- HID: thrustmaster: clean up Makefile and adapt quirks
(bsc#1012628).
- RDMA/hns: Ownerbit mode add control field (bsc#1012628).
- clk: imx8mm: use correct mux type for clkout path (bsc#1012628).
- clk: imx8m: fix clock tree update of TF-A managed clocks
(bsc#1012628).
- KVM: PPC: Book3S HV: Fix copy_tofrom_guest routines
(bsc#1012628).
- scsi: ufs: ufs-exynos: Fix static checker warning (bsc#1012628).
- KVM: PPC: Book3S HV Nested: Reflect guest PMU in-use to L0
when guest SPRs are live (bsc#1012628).
- powerpc/perf: Fix the check for SIAR value (bsc#1012628).
- RDMA/hns: Fix incorrect lsn field (bsc#1012628).
- RDMA/hns: Bugfix for data type of dip_idx (bsc#1012628).
- RDMA/hns: Bugfix for the missing assignment for dip_idx
(bsc#1012628).
- RDMA/hns: Bugfix for incorrect association between dip_idx
and dgid (bsc#1012628).
- platform/x86: dell-smbios-wmi: Add missing kfree in error-exit
from run_smbios_call (bsc#1012628).
- powerpc/smp: Fix a crash while booting kvm guest with nr_cpus=2
(bsc#1012628).
- powerpc/smp: Update cpu_core_map on all PowerPc systems
(bsc#1012628).
- RDMA/hns: Fix query destination qpn (bsc#1012628).
- RDMA/hns: Fix QP's resp incomplete assignment (bsc#1012628).
- fscache: Fix cookie key hashing (bsc#1012628).
- clk: ralink: avoid to set 'CLK_IS_CRITICAL' flag for gates
(bsc#1012628).
- clk: at91: clk-generated: Limit the requested rate to our range
(bsc#1012628).
- KVM: PPC: Fix clearing never mapped TCEs in realmode
(bsc#1012628).
- soc: mediatek: cmdq: add address shift in jump (bsc#1012628).
- f2fs: fix to account missing .skipped_gc_rwsem (bsc#1012628).
- f2fs: fix unexpected ENOENT comes from f2fs_map_blocks()
(bsc#1012628).
- f2fs: fix to unmap pages from userspace process in punch_hole()
(bsc#1012628).
- f2fs: deallocate compressed pages when error happens
(bsc#1012628).
- f2fs: should put a page beyond EOF when preparing a write
(bsc#1012628).
- MIPS: Malta: fix alignment of the devicetree buffer
(bsc#1012628).
- kbuild: Fix 'no symbols' warning when CONFIG_TRIM_UNUSD_KSYMS=y
(bsc#1012628).
- userfaultfd: prevent concurrent API initialization
(bsc#1012628).
- drm/vmwgfx: Fix subresource updates with new contexts
(bsc#1012628).
- drm/vmwgfx: Fix some static checker warnings (bsc#1012628).
- drm/vc4: hdmi: Set HD_CTL_WHOLSMP and HD_CTL_CHALIGN_SET
(bsc#1012628).
- drm/ttm: Fix multihop assert on eviction (bsc#1012628).
- drm/omap: Follow implicit fencing in prepare_fb (bsc#1012628).
- drm/amdgpu: Fix amdgpu_ras_eeprom_init() (bsc#1012628).
- drm/amdgpu: Fix koops when accessing RAS EEPROM (bsc#1012628).
- drm: vc4: Fix pixel-wrap issue with DVP teardown (bsc#1012628).
- dma-buf: fix dma_resv_test_signaled test_all handling v2
(bsc#1012628).
- drm/panel: Fix up DT bindings for Samsung lms397kf04
(bsc#1012628).
- ASoC: ti: davinci-mcasp: Fix DIT mode support (bsc#1012628).
- ASoC: atmel: ATMEL drivers don't need HAS_DMA (bsc#1012628).
- media: dib8000: rewrite the init prbs logic (bsc#1012628).
- media: ti-vpe: cal: fix error handling in cal_camerarx_create
(bsc#1012628).
- media: ti-vpe: cal: fix queuing of the initial buffer
(bsc#1012628).
- libbpf: Fix reuse of pinned map on older kernel (bsc#1012628).
- drm/vkms: Let shadow-plane helpers prepare the plane's FB
(bsc#1012628).
- x86/hyperv: fix for unwanted manipulation of sched_clock when
TSC marked unstable (bsc#1012628).
- crypto: mxs-dcp - Use sg_mapping_iter to copy data
(bsc#1012628).
- PCI: Use pci_update_current_state() in pci_enable_device_flags()
(bsc#1012628).
- tipc: keep the skb in rcv queue until the whole data is read
(bsc#1012628).
- net: phy: Fix data type in DP83822 dp8382x_disable_wol()
(bsc#1012628).
- iio: dac: ad5624r: Fix incorrect handling of an optional
regulator (bsc#1012628).
- iavf: do not override the adapter state in the watchdog task
(bsc#1012628).
- iavf: fix locking of critical sections (bsc#1012628).
- ARM: dts: qcom: apq8064: correct clock names (bsc#1012628).
- video: fbdev: kyro: fix a DoS bug by restricting user input
(bsc#1012628).
- drm/ast: Disable fast reset after DRAM initial (bsc#1012628).
- netlink: Deal with ESRCH error in nlmsg_notify() (bsc#1012628).
- arm64: dts: qcom: Fix usb entries for SA8155p adp board
(bsc#1012628).
- net: ipa: fix IPA v4.11 interconnect data (bsc#1012628).
- Smack: Fix wrong semantics in smk_access_entry() (bsc#1012628).
- drm: avoid blocking in drm_clients_info's rcu section
(bsc#1012628).
- drm: serialize drm_file.master with a new spinlock
(bsc#1012628).
- drm: protect drm_master pointers in drm_lease.c (bsc#1012628).
- rcu: Fix macro name CONFIG_TASKS_RCU_TRACE (bsc#1012628).
- igc: Check if num of q_vectors is smaller than max before
array access (bsc#1012628).
- usb: host: fotg210: fix the endpoint's transactional
opportunities calculation (bsc#1012628).
- usb: host: fotg210: fix the actual_length of an iso packet
(bsc#1012628).
- usb: gadget: u_ether: fix a potential null pointer dereference
(bsc#1012628).
- USB: EHCI: ehci-mv: improve error handling in mv_ehci_enable()
(bsc#1012628).
- usb: gadget: composite: Allow bMaxPower=0 if self-powered
(bsc#1012628).
- staging: board: Fix uninitialized spinlock when attaching genpd
(bsc#1012628).
- staging: hisilicon,hi6421-spmi-pmic.yaml: fix patternProperties
(bsc#1012628).
- tty: serial: jsm: hold port lock when reporting modem line
changes (bsc#1012628).
- bus: fsl-mc: fix arg in call to dprc_scan_objects()
(bsc#1012628).
- bus: fsl-mc: fix mmio base address for child DPRCs
(bsc#1012628).
- misc/pvpanic-pci: Allow automatic loading (bsc#1012628).
- selftests: firmware: Fix ignored return val of asprintf() warn
(bsc#1012628).
- drm/amd/display: Fix timer_per_pixel unit error (bsc#1012628).
- media: hantro: vp8: Move noisy WARN_ON to vpu_debug
(bsc#1012628).
- media: platform: stm32: unprepare clocks at handling errors
in probe (bsc#1012628).
- media: atomisp: Fix runtime PM imbalance in atomisp_pci_probe
(bsc#1012628).
- media: atomisp: pci: fix error return code in
atomisp_pci_probe() (bsc#1012628).
- nfp: fix return statement in nfp_net_parse_meta() (bsc#1012628).
- ethtool: improve compat ioctl handling (bsc#1012628).
- drm/amd/display: Fixed hardware power down bypass during
headless boot (bsc#1012628).
- drm/amdgpu: Fix a printing message (bsc#1012628).
- drm/amd/amdgpu: Update debugfs link_settings output link_rate
field in hex (bsc#1012628).
- bpf/tests: Fix copy-and-paste error in double word test
(bsc#1012628).
- bpf/tests: Do not PASS tests without actually testing the result
(bsc#1012628).
- drm/bridge: nwl-dsi: Avoid potential multiplication overflow
on 32-bit (bsc#1012628).
- arm64: dts: allwinner: h6: tanix-tx6: Fix regulator node names
(bsc#1012628).
- video: fbdev: asiliantfb: Error out if 'pixclock' equals zero
(bsc#1012628).
- video: fbdev: kyro: Error out if 'pixclock' equals zero
(bsc#1012628).
- video: fbdev: riva: Error out if 'pixclock' equals zero
(bsc#1012628).
- net: ipa: fix ipa_cmd_table_valid() (bsc#1012628).
- net: ipa: always validate filter and route tables (bsc#1012628).
- ipv4: ip_output.c: Fix out-of-bounds warning in ip_copy_addrs()
(bsc#1012628).
- flow_dissector: Fix out-of-bounds warnings (bsc#1012628).
- s390/jump_label: print real address in a case of a jump label
bug (bsc#1012628).
- s390: make PCI mio support a machine flag (bsc#1012628).
- serial: 8250: Define RX trigger levels for OxSemi 950 devices
(bsc#1012628).
- serial: max310x: Use clock-names property matching to recognize
EXTCLK (bsc#1012628).
- xtensa: ISS: don't panic in rs_init (bsc#1012628).
- hvsi: don't panic on tty_register_driver failure (bsc#1012628).
- serial: 8250_pci: make setup_port() parameters explicitly
unsigned (bsc#1012628).
- vt: keyboard.c: make console an unsigned int (bsc#1012628).
- staging: ks7010: Fix the initialization of the 'sleep_status'
structure (bsc#1012628).
- drm/amd/display: Fix PSR command version (bsc#1012628).
- samples: bpf: Fix tracex7 error raised on the missing argument
(bsc#1012628).
- libbpf: Fix race when pinning maps in parallel (bsc#1012628).
- ata: sata_dwc_460ex: No need to call phy_exit() befre phy_init()
(bsc#1012628).
- drm: rcar-du: Shutdown the display on system shutdown
(bsc#1012628).
- Bluetooth: skip invalid hci_sync_conn_complete_evt
(bsc#1012628).
- workqueue: Fix possible memory leaks in wq_numa_init()
(bsc#1012628).
- ARM: dts: stm32: Set {bitclock,frame}-master phandles on DHCOM
SoM (bsc#1012628).
- ARM: dts: stm32: Set {bitclock,frame}-master phandles on ST DKx
(bsc#1012628).
- ARM: dts: stm32: Update AV96 adv7513 node per dtbs_check
(bsc#1012628).
- drm/msm/a6xx: Fix llcc configuration for a660 gpu (bsc#1012628).
- netfilter: nft_compat: use nfnetlink_unicast() (bsc#1012628).
- bonding: 3ad: fix the concurrency between __bond_release_one()
and bond_3ad_state_machine_handler() (bsc#1012628).
- ARM: dts: at91: use the right property for shutdown controller
(bsc#1012628).
- arm64: tegra: Fix Tegra194 PCIe EP compatible string
(bsc#1012628).
- ASoC: Intel: bytcr_rt5640: Move "Platform Clock" routes to
the maps for the matching in-/output (bsc#1012628).
- ASoC: Intel: update sof_pcm512x quirks (bsc#1012628).
- Bluetooth: Fix not generating RPA when required (bsc#1012628).
- dpaa2-switch: do not enable the DPSW at probe time
(bsc#1012628).
- media: imx258: Rectify mismatch of VTS value (bsc#1012628).
- media: imx258: Limit the max analogue gain to 480 (bsc#1012628).
- media: imx: imx7-media-csi: Fix buffer return upon stream
start failure (bsc#1012628).
- media: v4l2-dv-timings.c: fix wrong condition in two for-loops
(bsc#1012628).
- media: TDA1997x: fix tda1997x_query_dv_timings() return value
(bsc#1012628).
- media: tegra-cec: Handle errors of clk_prepare_enable()
(bsc#1012628).
- gfs2: Fix glock recursion in freeze_go_xmote_bh (bsc#1012628).
- arm64: dts: qcom: sdm630: Rewrite memory map (bsc#1012628).
- arm64: dts: qcom: sdm630: Fix TLMM node and pinctrl
configuration (bsc#1012628).
- net: ipa: fix IPA v4.9 interconnects (bsc#1012628).
- serial: 8250_omap: Handle optional overrun-throttle-ms property
(bsc#1012628).
- misc: sram: Only map reserved areas in Tegra SYSRAM
(bsc#1012628).
- ARM: dts: imx53-ppd: Fix ACHC entry (bsc#1012628).
- arm64: dts: qcom: ipq8074: fix pci node reg property
(bsc#1012628).
- arm64: dts: qcom: sdm660: use reg value for memory node
(bsc#1012628).
- arm64: dts: qcom: ipq6018: drop '0x' from unit address
(bsc#1012628).
- arm64: dts: qcom: sdm630: don't use underscore in node name
(bsc#1012628).
- arm64: dts: qcom: msm8994: don't use underscore in node name
(bsc#1012628).
- arm64: dts: qcom: msm8996: don't use underscore in node name
(bsc#1012628).
- arm64: dts: qcom: sm8250: Fix epss_l3 unit address
(bsc#1012628).
- nvmem: qfprom: Fix up qfprom_disable_fuse_blowing() ordering
(bsc#1012628).
- net: ethernet: stmmac: Do not use unreachable() in
ipq806x_gmac_probe() (bsc#1012628).
- drm/msm: mdp4: drop vblank get/put from prepare/complete_commit
(bsc#1012628).
- drm/msm/dsi: Fix DSI and DSI PHY regulator config from SDM660
(bsc#1012628).
- drm: xlnx: zynqmp_dpsub: Call pm_runtime_get_sync before
setting pixel clock (bsc#1012628).
- drm: xlnx: zynqmp: release reset to DP controller before
accessing DP registers (bsc#1012628).
- ARM: dts: ixp4xx: Fix up bad interrupt flags (bsc#1012628).
- thunderbolt: Fix port linking by checking all adapters
(bsc#1012628).
- drm/amd/display: fix missing writeback disablement if plane
is removed (bsc#1012628).
- drm/amd/display: fix incorrect CM/TF programming sequence in
dwb (bsc#1012628).
- selftests/bpf: Fix xdp_tx.c prog section name (bsc#1012628).
- drm/vmwgfx: fix potential UAF in vmwgfx_surface.c (bsc#1012628).
- staging: rtl8723bs: fix right side of condition (bsc#1012628).
- drm/msm/dp: reduce link rate if failed at link training 1
(bsc#1012628).
- drm/msm/dp: reset aux controller after dp_aux_cmd_fifo_tx()
failed (bsc#1012628).
- drm/msm/dp: return correct edid checksum after corrupted edid
checksum read (bsc#1012628).
- drm/msm/dp: do not end dp link training until video is ready
(bsc#1012628).
- net/mlx5: Fix variable type to match 64bit (bsc#1012628).
- gpu: drm: amd: amdgpu: amdgpu_i2c: fix
possible uninitialized-variable access in
amdgpu_i2c_router_select_ddc_port() (bsc#1012628).
- drm/display: fix possible null-pointer dereference in
dcn10_set_clock() (bsc#1012628).
- mac80211: Fix monitor MTU limit so that A-MSDUs get through
(bsc#1012628).
- ARM: tegra: acer-a500: Remove bogus USB VBUS regulators
(bsc#1012628).
- ARM: tegra: tamonten: Fix UART pad setting (bsc#1012628).
- arm64: tegra: Fix compatible string for Tegra132 CPUs
(bsc#1012628).
- arm64: dts: imx8mm-venice-gw700x: fix mp5416 pmic config
(bsc#1012628).
- arm64: dts: imx8mm-venice-gw700x: fix invalid pmic pin config
(bsc#1012628).
- arm64: dts: imx8mm-venice-gw71xx: fix USB OTG VBUS
(bsc#1012628).
- arm64: dts: ls1046a: fix eeprom entries (bsc#1012628).
- nvme-tcp: don't check blk_mq_tag_to_rq when receiving pdu data
(bsc#1012628).
- nvme: code command_id with a genctr for use-after-free
validation (bsc#1012628).
- Bluetooth: Fix handling of LE Enhanced Connection Complete
(bsc#1012628).
- Bluetooth: Fix race condition in handling NOP command
(bsc#1012628).
- opp: Don't print an error if required-opps is missing
(bsc#1012628).
- serial: sh-sci: fix break handling for sysrq (bsc#1012628).
- iomap: pass writeback errors to the mapping (bsc#1012628).
- tcp: enable data-less, empty-cookie SYN with
TFO_SERVER_COOKIE_NOT_REQD (bsc#1012628).
- locking/rtmutex: Set proper wait context for lockdep
(bsc#1012628).
- rpc: fix gss_svc_init cleanup on failure (bsc#1012628).
- iavf: use mutexes for locking of critical sections
(bsc#1012628).
- selftests/bpf: Correctly display subtest skip status
(bsc#1012628).
- selftests/bpf: Fix flaky send_signal test (bsc#1012628).
- hwmon: (pmbus/ibm-cffps) Fix write bits for LED control
(bsc#1012628).
- staging: rts5208: Fix get_ms_information() heap buffer size
(bsc#1012628).
- selftests: nci: Fix the code for next nlattr offset
(bsc#1012628).
- selftests: nci: Fix the wrong condition (bsc#1012628).
- net: Fix offloading indirect devices dependency on qdisc order
creation (bsc#1012628).
- kselftest/arm64: mte: Fix misleading output when skipping tests
(bsc#1012628).
- kselftest/arm64: pac: Fix skipping of tests on systems without
PAC (bsc#1012628).
- ASoC: rsnd: adg: clearly handle clock error / NULL case
(bsc#1012628).
- gfs2: Don't call dlm after protocol is unmounted (bsc#1012628).
- usb: chipidea: host: fix port index underflow and UBSAN
complains (bsc#1012628).
- lockd: lockd server-side shouldn't set fl_ops (bsc#1012628).
- drm/exynos: Always initialize mapping in
exynos_drm_register_dma() (bsc#1012628).
- rtl8xxxu: Fix the handling of TX A-MPDU aggregation
(bsc#1012628).
- rtw88: use read_poll_timeout instead of fixed sleep
(bsc#1012628).
- rtw88: wow: build wow function only if CONFIG_PM is on
(bsc#1012628).
- rtw88: wow: fix size access error of probe request
(bsc#1012628).
- octeontx2-pf: Fix NIX1_RX interface backpressure (bsc#1012628).
- m68knommu: only set CONFIG_ISA_DMA_API for ColdFire sub-arch
(bsc#1012628).
- btrfs: remove racy and unnecessary inode transaction update
when using no-holes (bsc#1012628).
- btrfs: tree-log: check btrfs_lookup_data_extent return value
(bsc#1012628).
- soundwire: intel: fix potential race condition during power down
(bsc#1012628).
- ASoC: Intel: Skylake: Fix module configuration for KPB and MIXER
(bsc#1012628).
- ASoC: Intel: Skylake: Fix passing loadable flag for module
(bsc#1012628).
- of: Don't allow __of_attached_node_sysfs() without CONFIG_SYSFS
(bsc#1012628).
- mmc: sdhci-of-arasan: Modified SD default speed to 19MHz for
ZynqMP (bsc#1012628).
- mmc: sdhci-of-arasan: Check return value of non-void funtions
(bsc#1012628).
- mmc: core: Avoid hogging the CPU while polling for busy in
the I/O err path (bsc#1012628).
- mmc: core: Avoid hogging the CPU while polling for busy for
mmc ioctls (bsc#1012628).
- mmc: core: Avoid hogging the CPU while polling for busy after
I/O writes (bsc#1012628).
- mmc: rtsx_pci: Fix long reads when clock is prescaled
(bsc#1012628).
- selftests/bpf: Enlarge select() timeout for test_maps
(bsc#1012628).
- mmc: core: Return correct emmc response in case of ioctl error
(bsc#1012628).
- octeontx2-pf: cleanup transmit link deriving logic
(bsc#1012628).
- samples: pktgen: fix to print when terminated normally
(bsc#1012628).
- cifs: fix wrong release in sess_alloc_buffer() failed path
(bsc#1012628).
- Revert "USB: xhci: fix U1/U2 handling for hardware with
XHCI_INTEL_HOST quirk set" (bsc#1012628).
- usb: dwc3: imx8mp: request irq after initializing dwc3
(bsc#1012628).
- usb: musb: musb_dsps: request_irq() after initializing musb
(bsc#1012628).
- usbip: give back URBs for unsent unlink requests during cleanup
(bsc#1012628).
- usbip:vhci_hcd USB port can get stuck in the disabled state
(bsc#1012628).
- usb: xhci-mtk: fix use-after-free of mtk->hcd (bsc#1012628).
- usb: xhci-mtk: Do not use xhci's virt_dev in drop_endpoint
(bsc#1012628).
- ASoC: rockchip: i2s: Fix regmap_ops hang (bsc#1012628).
- ASoC: rockchip: i2s: Fixup config for DAIFMT_DSP_A/B
(bsc#1012628).
- ASoC: soc-pcm: protect BE dailink state changes in trigger
(bsc#1012628).
- drm/amdkfd: Account for SH/SE count when setting up cu masks
(bsc#1012628).
- nfs: don't atempt blocking locks on nfs reexports (bsc#1012628).
- nfsd: fix crash on LOCKT on reexported NFSv3 (bsc#1012628).
- iwlwifi: pcie: free RBs during configure (bsc#1012628).
- iwlwifi: mvm: Do not use full SSIDs in 6GHz scan (bsc#1012628).
- iwlwifi: mvm: fix a memory leak in
iwl_mvm_mac_ctxt_beacon_changed (bsc#1012628).
- iwlwifi: mvm: avoid static queue number aliasing (bsc#1012628).
- iwlwifi: mvm: Fix umac scan request probe parameters
(bsc#1012628).
- iwlwifi: mvm: fix access to BSS elements (bsc#1012628).
- iwlwifi: fw: correctly limit to monitor dump (bsc#1012628).
- iwlwifi: mvm: don't schedule the roc_done_wk if it is already
running (bsc#1012628).
- iwlwifi: mvm: Fix scan channel flags settings (bsc#1012628).
- net/mlx5: DR, fix a potential use-after-free bug (bsc#1012628).
- net/mlx5: DR, Enable QP retransmission (bsc#1012628).
- usb: isp1760: fix memory pool initialization (bsc#1012628).
- usb: isp1760: fix qtd fill length (bsc#1012628).
- usb: isp1760: write to status and address register
(bsc#1012628).
- usb: isp1760: use the right irq status bit (bsc#1012628).
- usb: isp1760: otg control register access (bsc#1012628).
- parport: remove non-zero check on count (bsc#1012628).
- selftests/bpf: Fix potential unreleased lock (bsc#1012628).
- wcn36xx: Fix missing frame timestamp for beacon/probe-resp
(bsc#1012628).
- ath9k: fix OOB read ar9300_eeprom_restore_internal
(bsc#1012628).
- ath9k: fix sleeping in atomic context (bsc#1012628).
- net: fix NULL pointer reference in cipso_v4_doi_free
(bsc#1012628).
- fix array-index-out-of-bounds in taprio_change (bsc#1012628).
- net: w5100: check return value after calling
platform_get_resource() (bsc#1012628).
- net: hns3: clean up a type mismatch warning (bsc#1012628).
- parisc: fix crash with signals and alloca (bsc#1012628).
- parisc: Fix compile failure when building 64-bit kernel natively
(bsc#1012628).
- printk/console: Check consistent sequence number when handling
race in console_unlock() (bsc#1012628).
- ovl: fix BUG_ON() in may_delete() when called from ovl_cleanup()
(bsc#1012628).
- scsi: BusLogic: Fix missing pr_cont() use (bsc#1012628).
- scsi: qla2xxx: Changes to support kdump kernel (bsc#1012628).
- scsi: qla2xxx: Sync queue idx with queue_pair_map idx
(bsc#1012628).
- mtd: rawnand: intel: Fix error handling in probe (bsc#1012628).
- cpufreq: powernv: Fix init_chip_info initialization in numa=off
(bsc#1012628).
- s390/pv: fix the forcing of the swiotlb (bsc#1012628).
- s390/topology: fix topology information when calling cpu
hotplug notifiers (bsc#1012628).
- mm: fix panic caused by __page_handle_poison() (bsc#1012628).
- hugetlb: fix hugetlb cgroup refcounting during vma split
(bsc#1012628).
- mm/memory_hotplug: use "unsigned long" for PFN in
zone_for_pfn_range() (bsc#1012628).
- mm/hmm: bypass devmap pte when all pfn requested flags are
fulfilled (bsc#1012628).
- mm/hugetlb: initialize hugetlb_usage in mm_init (bsc#1012628).
- mm,vmscan: fix divide by zero in get_scan_count (bsc#1012628).
- mm/page_alloc.c: avoid accessing uninitialized pcp page
migratetype (bsc#1012628).
- mm/mempolicy: fix a race between offset_il_node and
mpol_rebind_task (bsc#1012628).
- memcg: enable accounting for pids in nested pid namespaces
(bsc#1012628).
- libnvdimm/pmem: Fix crash triggered when I/O in-flight during
unbind (bsc#1012628).
- platform/chrome: cros_ec_proto: Send command again when timeout
occurs (bsc#1012628).
- lib/test_stackinit: Fix static initializer test (bsc#1012628).
- net: dsa: lantiq_gswip: fix maximum frame length (bsc#1012628).
- net: stmmac: Fix overall budget calculation for rxtx_napi
(bsc#1012628).
- drm/mgag200: Select clock in PLL update functions (bsc#1012628).
- drm/msi/mdp4: populate priv->kms in mdp4_kms_init (bsc#1012628).
- drm/dp_mst: Fix return code on sideband message failure
(bsc#1012628).
- drm/panfrost: Make sure MMU context lifetime is not bound to
panfrost_priv (bsc#1012628).
- drm/amdgpu: Fix BUG_ON assert (bsc#1012628).
- drm/amdgpu: Enable S/G for Yellow Carp (bsc#1012628).
- drm/amdgpu: Fix a deadlock if previous GEM object allocation
fails (bsc#1012628).
- drm/amd/display: Update number of DCN3 clock states
(bsc#1012628).
- drm/amd/display: Update bounding box states (v2) (bsc#1012628).
- drm/amdkfd: drop process ref count when xnack disable
(bsc#1012628).
- drm/amd/display: setup system context for APUs (bsc#1012628).
- drm/msm/disp/dpu1: add safe lut config in dpu driver
(bsc#1012628).
- drm/ttm: Fix ttm_bo_move_memcpy() for subclassed struct
ttm_resource (bsc#1012628).
- drm/panfrost: Simplify lock_region calculation (bsc#1012628).
- drm/panfrost: Use u64 for size in lock_region (bsc#1012628).
- drm/panfrost: Clamp lock region to Bifrost minimum
(bsc#1012628).
- tracing/osnoise: Fix missed cpus_read_unlock() in
start_per_cpu_kthreads() (bsc#1012628).
- commit 73a28f9
-------------------------------------------------------------------
Fri Sep 17 14:36:17 CEST 2021 - msuchanek@suse.de
- kernel-binary.spec: Check for no kernel signing certificates.
Also remove unused variable.
- commit bdc323e
-------------------------------------------------------------------
Fri Sep 17 14:32:36 CEST 2021 - msuchanek@suse.de
- Revert "rpm/kernel-binary.spec: Use only non-empty certificates."
This reverts commit 30360abfb58aec2c9ee7b6a27edebe875c90029d.
- commit 413e05b
-------------------------------------------------------------------
Fri Sep 17 13:34:05 CEST 2021 - mkubecek@suse.cz
- nvmem: nintendo-otp: add dependency on CONFIG_HAS_IOMEM
(202108250657.h5CWR7Xf-lkp@intel.com).
Fix s390x/zfcpdump build.
- refresh configs (s390x/zfcpdump: NVMEM_NINTENDO_OTP=n)
- commit 68ad835
-------------------------------------------------------------------
Fri Sep 17 11:19:26 CEST 2021 - msuchanek@suse.de
- rpm/kernel-binary.spec: Use only non-empty certificates.
- commit 30360ab
-------------------------------------------------------------------
Fri Sep 17 10:58:29 CEST 2021 - iivanov@suse.de
- drm/vc4: hdmi: Fix HPD GPIO detection (bsc#1190469)
- commit 4a524d3
-------------------------------------------------------------------
Thu Sep 16 14:31:47 CEST 2021 - jslaby@suse.cz
- Linux 5.14.5 (bsc#1012628).
- Revert "posix-cpu-timers: Force next expiration recalc after
itimer reset" (bsc#1012628).
- Revert "time: Handle negative seconds correctly in
timespec64_to_ns()" (bsc#1012628).
- Delete
patches.suse/posix-cpu-timers-Fix-spuriously-armed-0-value-itimer.patch.
- commit 048e6c0
-------------------------------------------------------------------
Thu Sep 16 12:17:46 CEST 2021 - bp@suse.de
- crypto: ccp - fix resource leaks in ccp_run_aes_gcm_cmd()
(bsc#1189884 CVE-2021-3744 bsc#1190534 CVE-2021-3764).
- commit e7a1776
-------------------------------------------------------------------
Thu Sep 16 07:45:13 CEST 2021 - jslaby@suse.cz
- posix-cpu-timers: Fix spuriously armed 0-value itimer (timer
breakage).
- commit 2b37340
-------------------------------------------------------------------
Wed Sep 15 21:46:44 CEST 2021 - vbabka@suse.cz
- tools/bootconfig: Define memblock_free_ptr() to fix build error
(Build fix for tools.).
- commit 890a28b
-------------------------------------------------------------------
Wed Sep 15 18:14:45 CEST 2021 - mkubecek@suse.cz
- scripts/sorttable: riscv: fix undelcred identifier 'EM_RISCV'
error (e8965b25-f15b-c7b4-748c-d207dda9c8e8@i2se.com).
Fix build on systems with glibc-devel < 2.24.
- commit 62f1dd0
-------------------------------------------------------------------
Wed Sep 15 17:00:40 CEST 2021 - mkubecek@suse.cz
- config: disable ZERO_CALL_USED_REGS
This was enable due to a misunderstanding, I thought it was a workaround
for a recent CPU vulnerability. Now it rather seems to be just another
hardening with questionable gain and measurable performance impact.
- commit b09844e
-------------------------------------------------------------------
Wed Sep 15 11:48:44 CEST 2021 - vbabka@suse.cz
- memblock: introduce saner 'memblock_free_ptr()' interface
(Fixes memory corruption on boot in 5.15-rc1).
- commit 4311d55
-------------------------------------------------------------------
Wed Sep 15 11:39:01 CEST 2021 - vbabka@suse.cz
- config: disable CONFIG_SYSFB_SIMPLEFB
The new option in 5.15 is a rename from CONFIG_X86_SYSFB which we had disabled
due to bsc#855821. Moreover, enabling CONFIG_SYSFB_SIMPLEFB caused regression
on my UEFI desktop - no printk output on screen between grub's loading of
kernel and initrd, and a gpu modesetting driver taking over.
- commit 69dc36e
-------------------------------------------------------------------
Wed Sep 15 11:07:49 CEST 2021 - jslaby@suse.cz
- Revert "rpm: Abolish scritplet templating (bsc#1189841)."
This reverts commit e98096d5cf85dbe90f74a930eb1f0e3fe4a70c7f.
"nothing provides suse-kernel-rpm-scriptlets". This is provided by
suse-module-tools which are not in TW quite yet. See:
https://build.opensuse.org/request/show/919012
So revert this temporarily.
- commit f924054
-------------------------------------------------------------------
Wed Sep 15 10:13:58 CEST 2021 - jslaby@suse.cz
- Linux 5.14.4 (bsc#1012628).
- locking/mutex: Fix HANDOFF condition (bsc#1012628).
- regmap: fix the offset of register error log (bsc#1012628).
- regulator: tps65910: Silence deferred probe error (bsc#1012628).
- crypto: mxs-dcp - Check for DMA mapping errors (bsc#1012628).
- sched/deadline: Fix reset_on_fork reporting of DL tasks
(bsc#1012628).
- power: supply: axp288_fuel_gauge: Report register-address on
readb / writeb errors (bsc#1012628).
- crypto: omap-sham - clear dma flags only after
omap_sham_update_dma_stop() (bsc#1012628).
- sched/deadline: Fix missing clock update in migrate_task_rq_dl()
(bsc#1012628).
- rcu/tree: Handle VM stoppage in stall detection (bsc#1012628).
- EDAC/mce_amd: Do not load edac_mce_amd module on guests
(bsc#1012628).
- posix-cpu-timers: Force next expiration recalc after itimer
reset (bsc#1012628).
- hrtimer: Avoid double reprogramming in
__hrtimer_start_range_ns() (bsc#1012628).
- hrtimer: Ensure timerfd notification for HIGHRES=n
(bsc#1012628).
- udf: Check LVID earlier (bsc#1012628).
- udf: Fix iocharset=utf8 mount option (bsc#1012628).
- isofs: joliet: Fix iocharset=utf8 mount option (bsc#1012628).
- bcache: add proper error unwinding in bcache_device_init
(bsc#1012628).
- nbd: add the check to prevent overflow in __nbd_ioctl()
(bsc#1012628).
- blk-throtl: optimize IOPS throttle for large IO scenarios
(bsc#1012628).
- nvme-tcp: don't update queue count when failing to set io queues
(bsc#1012628).
- nvme-rdma: don't update queue count when failing to set io
queues (bsc#1012628).
- nvmet: pass back cntlid on successful completion (bsc#1012628).
- power: supply: smb347-charger: Add missing pin control
activation (bsc#1012628).
- power: supply: max17042_battery: fix typo in MAx17042_TOFF
(bsc#1012628).
- s390/cio: add dev_busid sysfs entry for each subchannel
(bsc#1012628).
- s390/zcrypt: fix wrong offset index for APKA master key valid
state (bsc#1012628).
- libata: fix ata_host_start() (bsc#1012628).
- sched/topology: Skip updating masks for non-online nodes
(bsc#1012628).
- crypto: omap - Fix inconsistent locking of device lists
(bsc#1012628).
- crypto: qat - do not ignore errors from enable_vf2pf_comms()
(bsc#1012628).
- crypto: qat - handle both source of interrupt in VF ISR
(bsc#1012628).
- crypto: qat - fix reuse of completion variable (bsc#1012628).
- crypto: qat - fix naming for init/shutdown VF to PF
notifications (bsc#1012628).
- crypto: qat - do not export adf_iov_putmsg() (bsc#1012628).
- crypto: hisilicon/sec - fix the abnormal exiting process
(bsc#1012628).
- crypto: hisilicon/sec - modify the hardware endian configuration
(bsc#1012628).
- crypto: tcrypt - Fix missing return value check (bsc#1012628).
- fcntl: fix potential deadlocks for &fown_struct.lock
(bsc#1012628).
- fcntl: fix potential deadlock for &fasync_struct.fa_lock
(bsc#1012628).
- udf_get_extendedattr() had no boundary checks (bsc#1012628).
- io-wq: remove GFP_ATOMIC allocation off schedule out path
(bsc#1012628).
- s390/kasan: fix large PMD pages address alignment check
(bsc#1012628).
- s390/pci: fix misleading rc in clp_set_pci_fn() (bsc#1012628).
- s390/debug: keep debug data on resize (bsc#1012628).
- s390/debug: fix debug area life cycle (bsc#1012628).
- s390/ap: fix state machine hang after failure to enable irq
(bsc#1012628).
- s390/smp: enable DAT before CPU restart callback is called
(bsc#1012628).
- sched/debug: Don't update sched_domain debug directories before
sched_debug_init() (bsc#1012628).
- power: supply: cw2015: use dev_err_probe to allow deferred probe
(bsc#1012628).
- m68k: emu: Fix invalid free in nfeth_cleanup() (bsc#1012628).
- crypto: x86/aes-ni - add missing error checks in XTS code
(bsc#1012628).
- sched/numa: Fix is_core_idle() (bsc#1012628).
- sched: Fix UCLAMP_FLAG_IDLE setting (bsc#1012628).
- rcu: Fix to include first blocked task in stall warning
(bsc#1012628).
- rcu: Fix stall-warning deadlock due to non-release of rcu_node
->lock (bsc#1012628).
- m68k: Fix invalid RMW_INSNS on CPUs that lack CAS (bsc#1012628).
- block: return ELEVATOR_DISCARD_MERGE if possible (bsc#1012628).
- spi: spi-fsl-dspi: Fix issue with uninitialized dma_slave_config
(bsc#1012628).
- spi: spi-pic32: Fix issue with uninitialized dma_slave_config
(bsc#1012628).
- genirq/timings: Fix error return code in irq_timings_test_irqs()
(bsc#1012628).
- irqchip/loongson-pch-pic: Improve edge triggered interrupt
support (bsc#1012628).
- lib/mpi: use kcalloc in mpi_resize (bsc#1012628).
- clocksource/drivers/sh_cmt: Fix wrong setting if don't request
IRQ for clock source channel (bsc#1012628).
- nbd: do del_gendisk() asynchronously for
NBD_DESTROY_ON_DISCONNECT (bsc#1012628).
- block: nbd: add sanity check for first_minor (bsc#1012628).
- spi: coldfire-qspi: Use clk_disable_unprepare in the remove
function (bsc#1012628).
- irqchip/apple-aic: Fix irq_disable from within irq handlers
(bsc#1012628).
- irqchip/gic-v3: Fix priority comparison when non-secure
priorities are used (bsc#1012628).
- crypto: qat - use proper type for vf_mask (bsc#1012628).
- m68k: Fix asm register constraints for atomic ops (bsc#1012628).
- certs: Trigger creation of RSA module signing key if it's not
an RSA key (bsc#1012628).
- tpm: ibmvtpm: Avoid error message when process gets signal
while waiting (bsc#1012628).
- EDAC/i10nm: Fix NVDIMM detection (bsc#1012628).
- x86/mce: Defer processing of early errors (bsc#1012628).
- spi: davinci: invoke chipselect callback (bsc#1012628).
- blk-crypto: fix check for too-large dun_bytes (bsc#1012628).
- regulator: vctrl: Use locked regulator_get_voltage in probe path
(bsc#1012628).
- regulator: vctrl: Avoid lockdep warning in enable/disable ops
(bsc#1012628).
- spi: sprd: Fix the wrong WDG_LOAD_VAL (bsc#1012628).
- spi: spi-zynq-qspi: use wait_for_completion_timeout to make
zynq_qspi_exec_mem_op not interruptible (bsc#1012628).
- drm/panfrost: Fix missing clk_disable_unprepare() on error in
panfrost_clk_init() (bsc#1012628).
- drm/gma500: Fix end of loop tests for list_for_each_entry
(bsc#1012628).
- ASoC: mediatek: mt8192:Fix Unbalanced pm_runtime_enable in
mt8192_afe_pcm_dev_probe (bsc#1012628).
- ASoC: mediatek: mt8183: Fix Unbalanced pm_runtime_enable in
mt8183_afe_pcm_dev_probe (bsc#1012628).
- ASoC: tlv320aic32x4: Fix TAS2505/TAS2521 channel count
(bsc#1012628).
- media: atmel: atmel-sama5d2-isc: fix YUYV format (bsc#1012628).
- media: TDA1997x: enable EDID support (bsc#1012628).
- leds: is31fl32xx: Fix missing error code in
is31fl32xx_parse_dt() (bsc#1012628).
- soc: rockchip: ROCKCHIP_GRF should not default to y,
unconditionally (bsc#1012628).
- media: cxd2880-spi: Fix an error handling path (bsc#1012628).
- drm/of: free the right object (bsc#1012628).
- bpf: Fix a typo of reuseport map in bpf.h (bsc#1012628).
- bpf: Fix potential memleak and UAF in the verifier
(bsc#1012628).
- drm/of: free the iterator object on failure (bsc#1012628).
- gve: fix the wrong AdminQ buffer overflow check (bsc#1012628).
- libbpf: Fix the possible memory leak on error (bsc#1012628).
- ARM: dts: aspeed-g6: Fix HVI3C function-group in pinctrl dtsi
(bsc#1012628).
- ARM: dts: everest: Add phase corrections for eMMC (bsc#1012628).
- arm64: dts: renesas: r8a77995: draak: Remove bogus adv7511w
properties (bsc#1012628).
- i40e: improve locking of mac_filter_hash (bsc#1012628).
- arm64: dts: qcom: sc7180: Set adau wakeup delay to 80 ms
(bsc#1012628).
- soc: qcom: rpmhpd: Use corner in power_off (bsc#1012628).
- libbpf: Fix removal of inner map in bpf_object__create_map
(bsc#1012628).
- gfs2: Fix memory leak of object lsi on error return path
(bsc#1012628).
- arm64: dts: qcom: sm8250: fix usb2 qmp phy node (bsc#1012628).
- bpf, selftests: Fix test_maps now that sockmap supports UDP
(bsc#1012628).
- firmware: fix theoretical UAF race with firmware cache and
resume (bsc#1012628).
- driver core: Fix error return code in really_probe()
(bsc#1012628).
- ionic: cleanly release devlink instance (bsc#1012628).
- media: dvb-usb: fix uninit-value in dvb_usb_adapter_dvb_init
(bsc#1012628).
- media: dvb-usb: fix uninit-value in vp702x_read_mac_addr
(bsc#1012628).
- media: dvb-usb: Fix error handling in dvb_usb_i2c_init
(bsc#1012628).
- net: usb: asix: ax88772: add missing stop (bsc#1012628).
- media: go7007: fix memory leak in go7007_usb_probe
(bsc#1012628).
- media: go7007: remove redundant initialization (bsc#1012628).
- media: v4l2-subdev: fix some NULL vs IS_ERR() checks
(bsc#1012628).
- media: rockchip/rga: fix error handling in probe (bsc#1012628).
- media: coda: fix frame_mem_ctrl for YUV420 and YVU420 formats
(bsc#1012628).
- media: atomisp: fix the uninitialized use and rename "retvalue"
(bsc#1012628).
- Bluetooth: sco: prevent information leak in
sco_conn_defer_accept() (bsc#1012628).
- Bluetooth: btusb: Fix a unspported condition to set available
debug features (bsc#1012628).
- 6lowpan: iphc: Fix an off-by-one check of array index
(bsc#1012628).
- drm/amdgpu/acp: Make PM domain really work (bsc#1012628).
- drm/amd/pm: Fix a bug communicating with the SMU (v5)
(bsc#1012628).
- tcp: seq_file: Avoid skipping sk during tcp_seek_last_pos
(bsc#1012628).
- ARM: dts: meson8: Use a higher default GPU clock frequency
(bsc#1012628).
- ARM: dts: meson8b: odroidc1: Fix the pwm regulator supply
properties (bsc#1012628).
- ARM: dts: meson8b: mxq: Fix the pwm regulator supply properties
(bsc#1012628).
- ARM: dts: meson8b: ec100: Fix the pwm regulator supply
properties (bsc#1012628).
- net/mlx5e: Prohibit inner indir TIRs in IPoIB (bsc#1012628).
- net/mlx5e: Block LRO if firmware asks for tunneled LRO
(bsc#1012628).
- cgroup/cpuset: Fix a partition bug with hotplug (bsc#1012628).
- drm: mxsfb: Enable recovery on underflow (bsc#1012628).
- drm: mxsfb: Increase number of outstanding requests on V4 and
newer HW (bsc#1012628).
- drm: mxsfb: Clear FIFO_CLEAR bit (bsc#1012628).
- net: cipso: fix warnings in netlbl_cipsov4_add_std
(bsc#1012628).
- net: ti: am65-cpsw-nuss: fix wrong devlink release order
(bsc#1012628).
- drm: rcar-du: Don't put reference to drm_device in
rcar_du_remove() (bsc#1012628).
- Bluetooth: mgmt: Fix wrong opcode in the response for add_adv
cmd (bsc#1012628).
- drm/amd/pm: Fix a bug in semaphore double-lock (bsc#1012628).
- lib/test_scanf: Handle n_bits == 0 in random tests
(bsc#1012628).
- libbpf: Return non-null error on failures in
libbpf_find_prog_btf_id() (bsc#1012628).
- tools: Free BTF objects at various locations (bsc#1012628).
- arm64: dts: renesas: hihope-rzg2-ex: Add EtherAVB internal rx
delay (bsc#1012628).
- net/mlx5: Fix missing return value in
mlx5_devlink_eswitch_inline_mode_set() (bsc#1012628).
- i2c: highlander: add IRQ check (bsc#1012628).
- leds: lgm-sso: Put fwnode in any case during ->probe()
(bsc#1012628).
- leds: lgm-sso: Don't spam logs when probe is deferred
(bsc#1012628).
- leds: lt3593: Put fwnode in any case during ->probe()
(bsc#1012628).
- leds: rt8515: Put fwnode in any case during ->probe()
(bsc#1012628).
- leds: trigger: audio: Add an activate callback to ensure the
initial brightness is set (bsc#1012628).
- media: em28xx-input: fix refcount bug in em28xx_usb_disconnect
(bsc#1012628).
- media: omap3isp: Fix missing unlock in
isp_subdev_notifier_complete() (bsc#1012628).
- media: venus: hfi: fix return value check in
sys_get_prop_image_version() (bsc#1012628).
- media: venus: venc: Fix potential null pointer dereference on
pointer fmt (bsc#1012628).
- media: venus: helper: do not set constrained parameters for UBWC
(bsc#1012628).
- soc: mmsys: mediatek: add mask to mmsys routes (bsc#1012628).
- PCI: PM: Avoid forcing PCI_D0 for wakeup reasons inconsistently
(bsc#1012628).
- PCI: PM: Enable PME if it can be signaled from D3cold
(bsc#1012628).
- bpf, samples: Add missing mprog-disable to xdp_redirect_cpu's
optstring (bsc#1012628).
- soc: qcom: smsm: Fix missed interrupts if state changes while
masked (bsc#1012628).
- net: dsa: build tag_8021q.c as part of DSA core (bsc#1012628).
- net: dsa: tag_sja1105: optionally build as module when switch
driver is module if PTP is enabled (bsc#1012628).
- debugfs: Return error during {full/open}_proxy_open() on rmmod
(bsc#1012628).
- Bluetooth: increase BTNAMSIZ to 21 chars to fix potential
buffer overflow (bsc#1012628).
- arm64: dts: qcom: sc7280: Fixup the cpufreq node (bsc#1012628).
- arm64: dts: qcom: sm8350: fix IPA interconnects (bsc#1012628).
- drm: bridge: it66121: Check drm_bridge_attach retval
(bsc#1012628).
- net: ti: am65-cpsw-nuss: fix RX IRQ state after .ndo_stop()
(bsc#1012628).
- net: dsa: stop syncing the bridge mcast_router attribute at
join time (bsc#1012628).
- net: dsa: mt7530: remove the .port_set_mrouter implementation
(bsc#1012628).
- net: dsa: don't disable multicast flooding to the CPU even
without an IGMP querier (bsc#1012628).
- PM: EM: Increase energy calculation precision (bsc#1012628).
- selftests/bpf: Fix bpf-iter-tcp4 test to print correctly the
dest IP (bsc#1012628).
- leds: lgm-sso: Propagate error codes from callee to caller
(bsc#1012628).
- drm/msm: Fix error return code in msm_drm_init() (bsc#1012628).
- drm/msm/mdp4: refactor HW revision detection into
read_mdp_hw_revision (bsc#1012628).
- drm/msm/mdp4: move HW revision detection to earlier phase
(bsc#1012628).
- drm/msm/dp: update is_connected status base on sink count at
dp_pm_resume() (bsc#1012628).
- drm/msm/dpu: make dpu_hw_ctl_clear_all_blendstages clear
necessary LMs (bsc#1012628).
- arm64: dts: exynos: correct GIC CPU interfaces address range
on Exynos7 (bsc#1012628).
- counter: 104-quad-8: Return error when invalid mode during
ceiling_write (bsc#1012628).
- cgroup/cpuset: Miscellaneous code cleanup (bsc#1012628).
- cgroup/cpuset: Fix violation of cpuset locking rule
(bsc#1012628).
- ASoC: Intel: Fix platform ID matching (bsc#1012628).
- Bluetooth: fix repeated calls to sco_sock_kill (bsc#1012628).
- drm/msm/dsi: Fix some reference counted resource leaks
(bsc#1012628).
- drm/msm/dp: replug event is converted into an unplug followed
by an plug events (bsc#1012628).
- net/mlx5: Fix unpublish devlink parameters (bsc#1012628).
- ASoC: rt5682: Properly turn off regulators if wrong device ID
(bsc#1012628).
- usb: dwc3: meson-g12a: add IRQ check (bsc#1012628).
- usb: dwc3: qcom: add IRQ check (bsc#1012628).
- usb: gadget: udc: at91: add IRQ check (bsc#1012628).
- usb: gadget: udc: s3c2410: add IRQ check (bsc#1012628).
- mac80211: remove unnecessary NULL check in
ieee80211_register_hw() (bsc#1012628).
- usb: misc: brcmstb-usb-pinmap: add IRQ check (bsc#1012628).
- usb: phy: fsl-usb: add IRQ check (bsc#1012628).
- usb: phy: twl6030: add IRQ checks (bsc#1012628).
- usb: gadget: udc: renesas_usb3: Fix soc_device_match() abuse
(bsc#1012628).
- selftests/bpf: Fix test_core_autosize on big-endian machines
(bsc#1012628).
- devlink: Clear whole devlink_flash_notify struct (bsc#1012628).
- samples: pktgen: add missing IPv6 option to pktgen scripts
(bsc#1012628).
- net: stmmac: fix INTR TBU status affecting irq count statistic
(bsc#1012628).
- PM: cpu: Make notifier chain use a raw_spinlock_t (bsc#1012628).
- usb: host: ohci-tmio: add IRQ check (bsc#1012628).
- usb: phy: tahvo: add IRQ check (bsc#1012628).
- libbpf: Re-build libbpf.so when libbpf.map changes
(bsc#1012628).
- mac80211: Fix insufficient headroom issue for AMSDU
(bsc#1012628).
- locking/local_lock: Add missing owner initialization
(bsc#1012628).
- lockd: Fix invalid lockowner cast after vfs_test_lock
(bsc#1012628).
- SUNRPC: Fix a NULL pointer deref in trace_svc_stats_latency()
(bsc#1012628).
- nfsd4: Fix forced-expiry locking (bsc#1012628).
- arm64: dts: marvell: armada-37xx: Extend PCIe MEM space
(bsc#1012628).
- clk: staging: correct reference to config IOMEM to config
HAS_IOMEM (bsc#1012628).
- i2c: synquacer: fix deferred probing (bsc#1012628).
- hwmon: (pmbus/bpa-rs600) Don't use rated limits as warn limits
(bsc#1012628).
- hwmon: remove amd_energy driver in Makefile (bsc#1012628).
- ASoC: fsl_rpmsg: Check -EPROBE_DEFER for getting clocks
(bsc#1012628).
- firmware: raspberrypi: Fix a leak in 'rpi_firmware_get()'
(bsc#1012628).
- usb: gadget: mv_u3d: request_irq() after initializing UDC
(bsc#1012628).
- mm/swap: consider max pages in iomap_swapfile_add_extent
(bsc#1012628).
- lkdtm: replace SCSI_DISPATCH_CMD with SCSI_QUEUE_RQ
(bsc#1012628).
- Bluetooth: add timeout sanity check to hci_inquiry
(bsc#1012628).
- i2c: iop3xx: fix deferred probing (bsc#1012628).
- i2c: s3c2410: fix IRQ check (bsc#1012628).
- i2c: hix5hd2: fix IRQ check (bsc#1012628).
- gfs2: init system threads before freeze lock (bsc#1012628).
- drm/exynos: g2d: fix missing unlock on error in
g2d_runqueue_worker() (bsc#1012628).
- rsi: fix error code in rsi_load_9116_firmware() (bsc#1012628).
- rsi: fix an error code in rsi_probe() (bsc#1012628).
- octeontx2-af: cn10k: Fix SDP base channel number (bsc#1012628).
- octeontx2-pf: send correct vlan priority mask to
npc_install_flow_req (bsc#1012628).
- octeontx2-af: Check capability flag while freeing ipolicer
memory (bsc#1012628).
- octeontx2-pf: Don't install VLAN offload rule if netdev is down
(bsc#1012628).
- octeontx2-pf: Fix algorithm index in MCAM rules with RSS action
(bsc#1012628).
- octeontx2-af: cn10k: Use FLIT0 register instead of FLIT1
(bsc#1012628).
- m68k: coldfire: return success for clk_enable(NULL)
(bsc#1012628).
- ASoC: Intel: kbl_da7219_max98927: Fix format selection for
max98373 (bsc#1012628).
- ASoC: Intel: Skylake: Leave data as is when invoking TLV IPCs
(bsc#1012628).
- ASoC: Intel: Skylake: Fix module resource and format selection
(bsc#1012628).
- mmc: sdhci: Fix issue with uninitialized dma_slave_config
(bsc#1012628).
- mmc: dw_mmc: Fix issue with uninitialized dma_slave_config
(bsc#1012628).
- mmc: moxart: Fix issue with uninitialized dma_slave_config
(bsc#1012628).
- ASoC: wm_adsp: Put debugfs_remove_recursive back in
(bsc#1012628).
- bpf: Fix possible out of bound write in narrow load handling
(bsc#1012628).
- hv_utils: Set the maximum packet size for VSS driver to the
length of the receive buffer (bsc#1012628).
- CIFS: Fix a potencially linear read overflow (bsc#1012628).
- i2c: mt65xx: fix IRQ check (bsc#1012628).
- i2c: xlp9xx: fix main IRQ check (bsc#1012628).
- octeontx2-pf: cn10k: Fix error return code in
otx2_set_flowkey_cfg() (bsc#1012628).
- usb: ehci-orion: Handle errors of clk_prepare_enable() in probe
(bsc#1012628).
- usb: bdc: Fix an error handling path in 'bdc_probe()' when no
suitable DMA config is available (bsc#1012628).
- usb: bdc: Fix a resource leak in the error handling path of
'bdc_probe()' (bsc#1012628).
- tty: serial: fsl_lpuart: fix the wrong mapbase value
(bsc#1012628).
- ASoC: wcd9335: Fix a double irq free in the remove function
(bsc#1012628).
- ASoC: wcd9335: Fix a memory leak in the error handling path
of the probe function (bsc#1012628).
- ASoC: wcd9335: Disable irq on slave ports in the remove function
(bsc#1012628).
- iwlwifi: skip first element in the WTAS ACPI table
(bsc#1012628).
- net/mlx5: Lag, fix multipath lag activation (bsc#1012628).
- net/mlx5: Remove all auxiliary devices at the unregister event
(bsc#1012628).
- net/mlx5e: Fix possible use-after-free deleting fdb rule
(bsc#1012628).
- net/mlx5: E-Switch, Set vhca id valid flag when creating indir
fwd group (bsc#1012628).
- net/mlx5e: Use correct eswitch for stack devices with lag
(bsc#1012628).
- misc/pvpanic: fix set driver data (bsc#1012628).
- ice: fix Tx queue iteration for Tx timestamp enablement
(bsc#1012628).
- ice: add lock around Tx timestamp tracker flush (bsc#1012628).
- ice: restart periodic outputs around time changes (bsc#1012628).
- ice: Only lock to update netdev dev_addr (bsc#1012628).
- net: phy: marvell10g: fix broken PHY interrupts for anyone
after us in the driver probe list (bsc#1012628).
- ath6kl: wmi: fix an error code in ath6kl_wmi_sync_point()
(bsc#1012628).
- ALSA: usb-audio: Add lowlatency module option (bsc#1012628).
- atlantic: Fix driver resume flow (bsc#1012628).
- bcma: Fix memory leak for internally-handled cores
(bsc#1012628).
- brcmfmac: pcie: fix oops on failure to resume and reprobe
(bsc#1012628).
- ipv6: make exception cache less predictible (bsc#1012628).
- ipv4: make exception cache less predictible (bsc#1012628).
- net: qrtr: make checks in qrtr_endpoint_post() stricter
(bsc#1012628).
- sch_htb: Fix inconsistency when leaf qdisc creation fails
(bsc#1012628).
- net: sched: Fix qdisc_rate_table refcount leak when get
tcf_block failed (bsc#1012628).
- net: qualcomm: fix QCA7000 checksum handling (bsc#1012628).
- octeontx2-af: Fix loop in free and unmap counter (bsc#1012628).
- octeontx2-af: Fix mailbox errors in nix_rss_flowkey_cfg
(bsc#1012628).
- octeontx2-af: Fix static code analyzer reported issues
(bsc#1012628).
- octeontx2-af: Set proper errorcode for IPv4 checksum errors
(bsc#1012628).
- ipv4: fix endianness issue in inet_rtm_getroute_build_skb()
(bsc#1012628).
- ASoC: rt5682: Remove unused variable in rt5682_i2c_remove()
(bsc#1012628).
- iwlwifi Add support for ax201 in Samsung Galaxy Book Flex2 Alpha
(bsc#1012628).
- f2fs: guarantee to write dirty data when enabling checkpoint
back (bsc#1012628).
- time: Handle negative seconds correctly in timespec64_to_ns()
(bsc#1012628).
- auxdisplay: hd44780: Fix oops on module unloading (bsc#1012628).
- io_uring: limit fixed table size by RLIMIT_NOFILE (bsc#1012628).
- io_uring: IORING_OP_WRITE needs hash_reg_file set (bsc#1012628).
- io_uring: io_uring_complete() trace should take an integer
(bsc#1012628).
- io_uring: fail links of cancelled timeouts (bsc#1012628).
- bio: fix page leak bio_add_hw_page failure (bsc#1012628).
- raid1: ensure write behind bio has less than BIO_MAX_VECS
sectors (bsc#1012628).
- cifs: Do not leak EDEADLK to dgetents64 for
STATUS_USER_SESSION_DELETED (bsc#1012628).
- smb3: fix posix extensions mount option (bsc#1012628).
- tty: Fix data race between tiocsti() and flush_to_ldisc()
(bsc#1012628).
- perf/x86/intel/uncore: Fix IIO cleanup mapping procedure for
SNR/ICX (bsc#1012628).
- Revert "KVM: x86: mmu: Add guest physical address check in
translate_gpa()" (bsc#1012628).
- KVM: s390: index kvm->arch.idle_mask by vcpu_idx (bsc#1012628).
- KVM: x86: Update vCPU's hv_clock before back to guest when
tsc_offset is adjusted (bsc#1012628).
- KVM: x86: clamp host mapping level to max_level in
kvm_mmu_max_mapping_level (bsc#1012628).
- KVM: x86/mmu: Avoid collision with !PRESENT SPTEs in TDP MMU
lpage stats (bsc#1012628).
- KVM: VMX: avoid running vmx_handle_exit_irqoff in case of
emulation (bsc#1012628).
- KVM: nVMX: Unconditionally clear nested.pi_pending on nested
VM-Enter (bsc#1012628).
- KVM: arm64: Unregister HYP sections from kmemleak in protected
mode (bsc#1012628).
- KVM: arm64: vgic: Resample HW pending state on deactivation
(bsc#1012628).
- ARM: dts: at91: add pinctrl-{names, 0} for all gpios
(bsc#1012628).
- io-wq: check max_worker limits if a worker transitions bound
state (bsc#1012628).
- md/raid10: Remove unnecessary rcu_dereference in
raid10_handle_discard (bsc#1012628).
- char: tpm: Kconfig: remove bad i2c cr50 select (bsc#1012628).
- fuse: truncate pagecache on atomic_o_trunc (bsc#1012628).
- fuse: flush extending writes (bsc#1012628).
- fuse: wait for writepages in syncfs (bsc#1012628).
- IMA: remove -Wmissing-prototypes warning (bsc#1012628).
- IMA: remove the dependency on CRYPTO_MD5 (bsc#1012628).
- fbmem: don't allow too huge resolutions (bsc#1012628).
- ACPI: PRM: Find PRMT table before parsing it (bsc#1012628).
- RDMA/mlx5: Fix number of allocated XLT entries (bsc#1012628).
- bootconfig: Fix missing return check of xbc_node_compose_key
function (bsc#1012628).
- backlight: pwm_bl: Improve bootloader/kernel device handover
(bsc#1012628).
- parisc: Fix unaligned-access crash in bootloader (bsc#1012628).
- clk: kirkwood: Fix a clocking boot regression (bsc#1012628).
- devlink: Break parameter notification sequence to be
before/after unload/load driver (bsc#1012628).
- Refresh
patches.suse/Bluetooth-schedule-SCO-timeouts-with-delayed_work.patch.
- Refresh patches.suse/Bluetooth-switch-to-lock_sock-in-SCO.patch.
- Update config files.
- commit 8706151
-------------------------------------------------------------------
Wed Sep 15 08:43:50 CEST 2021 - tiwai@suse.de
- drm/i915/dp: Use max params for panels < eDP 1.4 (bsc#1190506).
- commit ca483c1
-------------------------------------------------------------------
Mon Sep 13 16:35:48 CEST 2021 - rgoldwyn@suse.com
- Delete patches.suse/apparmor-compatibility-with-v2.x-net.patch (bsc#118997)
Apparmor upgraded to v3.x
- commit a1d1731
-------------------------------------------------------------------
Mon Sep 13 10:47:02 CEST 2021 - tiwai@suse.de
- Bluetooth: Move shutdown callback before flushing tx and rx
queue (bsc#1190424).
- commit 40ccc64
-------------------------------------------------------------------
Mon Sep 13 09:45:53 CEST 2021 - mkubecek@suse.cz
- Update to 5.15-rc1
- eliminated 36 patches (27 stable, 9 mainline)
- patches.kernel.org/*
- patches.suse/Bluetooth-avoid-circular-locks-in-sco_sock_connect.patch
- patches.suse/Bluetooth-btusb-Add-support-for-Foxconn-Mediatek-Chi.patch
- patches.suse/Bluetooth-btusb-Add-support-for-IMC-Networks-Mediate.patch
- patches.suse/Bluetooth-schedule-SCO-timeouts-with-delayed_work.patch
- patches.suse/Bluetooth-switch-to-lock_sock-in-SCO.patch
- patches.suse/HID-usbhid-Simplify-code-in-hid_submit_ctrl.patch
- patches.suse/crypto-ecc-handle-unaligned-input-buffer-in-ecc_swap.patch
- patches.suse/memcg-enable-accounting-of-ipc-resources.patch
- patches.suse/watchdog-Fix-NULL-pointer-dereference-when-releasing.patch
- refresh
- patches.suse/add-suse-supported-flag.patch
- patches.suse/btrfs-use-the-new-VFS-super_block_dev.patch
- patches.suse/suse-hv-guest-os-id.patch
- disable ARM architectures (need config update)
- new config options
- General setup
- CONFIG_WERROR=n
- CONFIG_PRINTK_INDEX=y
- Processor type and features
- CONFIG_PERF_EVENTS_AMD_UNCORE=m
- Firmware Drivers
- CONFIG_SYSFB_SIMPLEFB=y
- Memory Management options
- CONFIG_DAMON=n
- Networking support
- CONFIG_IPV6_IOAM6_LWTUNNEL=n
- CONFIG_MCTP=m
- File systems
- CONFIG_F2FS_IOSTAT=y
- CONFIG_NTFS3_FS=m
- CONFIG_NTFS3_64BIT_CLUSTER=n
- CONFIG_NTFS3_LZX_XPRESS=y
- CONFIG_NTFS3_FS_POSIX_ACL=y
- CONFIG_SMB_SERVER=m
- CONFIG_SMB_SERVER_SMBDIRECT=n
- CONFIG_SMB_SERVER_CHECK_CAP_NET_ADMIN=y
- CONFIG_SMB_SERVER_KERBEROS5=y
- Security options
- CONFIG_ZERO_CALL_USED_REGS=y
- Cryptographic API
- CONFIG_CRYPTO_SM4_AESNI_AVX_X86_64=m
- CONFIG_CRYPTO_SM4_AESNI_AVX2_X86_64=m
- CONFIG_MODULE_SIG_KEY_TYPE_RSA=y
- CONFIG_MODULE_SIG_KEY_TYPE_ECDSA=n
- Kernel hacking
- CONFIG_FAIL_SUNRPC=n
- SCSI device support
- CONFIG_SCSI_UFS_HPB=y
- CONFIG_SCSI_UFS_FAULT_INJECTION=n
- Network device support
- CONFIG_NET_VENDOR_LITEX=y
- CONFIG_MAXLINEAR_GPHY=m
- CONFIG_MHI_WWAN_MBIM=m
- Power management
- CONFIG_CHARGER_CROS_PCHG=m
- CONFIG_SENSORS_AQUACOMPUTER_D5NEXT=m
- CONFIG_SENSORS_SBRMI=m
- CONFIG_REGULATOR_RTQ2134=m
- CONFIG_REGULATOR_RTQ6752=m
- Graphics support
- CONFIG_DRM_VMWGFX_MKSSTATS=n
- CONFIG_DRM_PANEL_WIDECHIPS_WS2401=n
- Sound card support
- CONFIG_SND_HDA_CODEC_CS8409=m
- CONFIG_SND_SOC_AMD_ACP5x=m
- CONFIG_SND_SOC_ICS43432=n
- CONFIG_SND_SOC_SDW_MOCKUP=m
- DMA Engine support
- CONFIG_INTEL_IDXD_COMPAT=y
- CONFIG_AMD_PTDMA=m
- X86 Platform Specific Device Drivers
- CONFIG_MERAKI_MX100=m
- CONFIG_INTEL_SAR_INT1092=m
- IOMMU Hardware Support
- CONFIG_IOMMU_DEFAULT_DMA_STRICT=n
- CONFIG_IOMMU_DEFAULT_DMA_LAZY=n
- Industrial I/O support
- CONFIG_SENSIRION_SGP40=n
- CONFIG_AD5110=n
- Misc devices
- CONFIG_I2C_VIRTIO=m
- CONFIG_GPIO_VIRTIO=m
- CONFIG_DMABUF_SYSFS_STATS=n
- CONFIG_VDPA_USER=m
- CONFIG_NVMEM_NINTENDO_OTP=m
- OF dependent (i386, ppc64/ppc64le, riscv64)
- HI6421V600_IRQ=m
- LITEX_LITEETH=m
- MFD_RSMU_I2C=n
- MFD_RSMU_SPI=n
- VIDEO_IMX335=m
- VIDEO_IMX412=m
- VIDEO_OV9282=m
- DRM_PANEL_INNOLUX_EJ030NA=n
- DRM_PANEL_SAMSUNG_ATNA33XC20=n
- DRM_PANEL_SAMSUNG_DB7430=n
- COMMON_CLK_XLNX_CLKWZRD=m
- DMA_RESTRICTED_POOL=n
- i386
- CS89x0_ISA=n
- ppc64
- DEBUG_WX=n
- PTDUMP_DEBUGFS=n
- s390x
- KCSAN=n
- KFENCE=y (=n in zfcpdump)
- KFENCE_STATIC_KEYS=y
- KFENCE_SAMPLE_INTERVAL=0
- KFENCE_NUM_OBJECTS=255
- KFENCE_STRESS_TEST_FAULTS=0
- riscv64
- POWER_RESET_TPS65086=y
- DRM_PANEL_ILITEK_ILI9341=n
- commit 8787773
-------------------------------------------------------------------
Mon Sep 13 09:37:40 CEST 2021 - martin.wilck@suse.com
- fixup "rpm: support gz and zst compression methods" once more
(bsc#1190428, bsc#1190358)
Fixes: 3b8c4d9bcc24 ("rpm: support gz and zst compression methods")
Fixes: 23510fce36ec ("fixup "rpm: support gz and zst compression methods"")
- commit 165378a
-------------------------------------------------------------------
Mon Sep 13 06:48:13 CEST 2021 - jslaby@suse.cz
- Linux 5.14.3 (bsc#1012628).
- cxl/acpi: Do not add DSDT disabled ACPI0016 host bridge ports
(bsc#1012628).
- cxl/pci: Fix lockdown level (bsc#1012628).
- cxl/pci: Fix debug message in cxl_probe_regs() (bsc#1012628).
- PCI: Call Max Payload Size-related fixup quirks early
(bsc#1012628).
- x86/reboot: Limit Dell Optiplex 990 quirk to early BIOS versions
(bsc#1012628).
- staging: mt7621-pci: fix hang when nothing is connected to
pcie ports (bsc#1012628).
- xhci: Fix failure to give back some cached cancelled URBs
(bsc#1012628).
- xhci: fix unsafe memory usage in xhci tracing (bsc#1012628).
- xhci: fix even more unsafe memory usage in xhci tracing
(bsc#1012628).
- usb: mtu3: fix the wrong HS mult value (bsc#1012628).
- usb: mtu3: use @mult for HS isoc or intr (bsc#1012628).
- usb: mtu3: restore HS function when set SS/SSP (bsc#1012628).
- usb: gadget: tegra-xudc: fix the wrong mult value for HS isoc
or intr (bsc#1012628).
- usb: cdnsp: fix the wrong mult value for HS isoc or intr
(bsc#1012628).
- usb: xhci-mtk: fix issue of out-of-bounds array access
(bsc#1012628).
- usb: host: xhci-rcar: Don't reload firmware after the completion
(bsc#1012628).
- Bluetooth: btusb: Make the CSR clone chip force-suspend
workaround more generic (bsc#1012628).
- Bluetooth: Add additional Bluetooth part for Realtek 8852AE
(bsc#1012628).
- ALSA: usb-audio: Add registration quirk for JBL Quantum 800
(bsc#1012628).
- Revert "r8169: avoid link-up interrupt issue on RTL8106e if
user enables ASPM" (bsc#1012628).
- igmp: Add ip_mc_list lock in ip_check_mc_rcu (bsc#1012628).
- can: c_can: fix null-ptr-deref on ioctl() (bsc#1012628).
- firmware: dmi: Move product_sku info to the end of the modalias
(bsc#1012628).
- commit 87c3051
-------------------------------------------------------------------
Sun Sep 12 22:01:50 CEST 2021 - martin.wilck@suse.com
- fixup "rpm: support gz and zst compression methods" once more
Fixes: 3b8c4d9bcc24 ("rpm: support gz and zst compression methods")
Fixes: 23510fce36ec ("fixup "rpm: support gz and zst compression methods"")
- commit 34e68f4
-------------------------------------------------------------------
Sun Sep 12 02:26:02 CEST 2021 - jeffm@suse.com
- Avoid double printing SUSE specific flags in mod->taint (bsc#1190413).
- commit 3b944fc
-------------------------------------------------------------------
Sun Sep 12 00:05:38 CEST 2021 - martin.wilck@suse.com
- fixup "rpm: support gz and zst compression methods"
Fixes: 3b8c4d9bcc24 ("rpm: support gz and zst compression methods")
- commit 23510fc
-------------------------------------------------------------------
Fri Sep 10 22:51:08 CEST 2021 - msuchanek@suse.de
- kernel-cert-subpackage: Fix certificate location in scriptlets
(bsc#1189841).
Fixes: d9a1357edd73 ("rpm: Define $certs as rpm macro (bsc#1189841).")
- commit 8684de8
-------------------------------------------------------------------
Fri Sep 10 17:03:10 CEST 2021 - msuchanek@suse.de
- kernel-binary.spec.in Stop templating the scriptlets for subpackages
(bsc#1190358).
The script part for base package case is completely separate from the
part for subpackages. Remove the part for subpackages from the base
package script and use the KMP scripts for subpackages instead.
- commit 5d1f677
-------------------------------------------------------------------
Fri Sep 10 16:45:27 CEST 2021 - msuchanek@suse.de
- kernel-binary.spec: Do not fail silently when KMP is empty
(bsc#1190358).
Copy the code from kernel-module-subpackage that deals with empty KMPs.
- commit d7d2e6e
-------------------------------------------------------------------
Fri Sep 10 12:17:08 CEST 2021 - ohering@suse.de
- Document suse-hv-guest-os-id.patch (bsc#814005, bsc#1189965).
- commit 6205661
-------------------------------------------------------------------
Thu Sep 9 16:33:33 CEST 2021 - rgoldwyn@suse.com
- Delete 0001-apparmor-fix-unnecessary-creation-of-net-compat.patch
(bsc#1189978)
Compat patch no longer required since userspace is upgraded to v3.x
- commit c28bbe5
-------------------------------------------------------------------
Wed Sep 8 16:22:46 CEST 2021 - jeffm@suse.com
- supported-flag: consolidate separate patches into one
The history of the five supported flag patches can be found in the commit
log. This commit unifies them and reverts the removal of get_next_line
from mainline to allow supported() to repeatedly scan the file in memory
without modifying it. I looked into using tsearch() to handle the
lookups and it turns out that it's no faster than just scanning the file
repeatedly in memory.
- commit d3dcd16
-------------------------------------------------------------------
Wed Sep 8 16:16:46 CEST 2021 - jeffm@suse.com
- Delete patches.suse/setuid-dumpable-wrongdir (bsc#1189957).
- commit 762368d
-------------------------------------------------------------------
Wed Sep 8 14:40:21 CEST 2021 - tiwai@suse.de
- Bluetooth: schedule SCO timeouts with delayed_work
(CVE-2021-3640 bsc#1188172).
- Refresh patches.suse/Bluetooth-switch-to-lock_sock-in-SCO.patch.
- commit 2605fb9
-------------------------------------------------------------------
Wed Sep 8 13:39:44 CEST 2021 - jslaby@suse.cz
- rpm/kernel-source.spec.in: do some more for vanilla_only
Make sure:
* sources are NOT executable
* env is not used as interpreter
* timestamps are correct
We do all this for normal kernel builds, but not for vanilla_only
kernels (linux-next and vanilla).
- commit b41e4fd
-------------------------------------------------------------------
Wed Sep 8 09:11:24 CEST 2021 - jslaby@suse.cz
- Linux 5.14.2 (bsc#1012628).
- ext4: fix race writing to an inline_data file while its xattrs
are changing (bsc#1012628).
- ext4: fix e2fsprogs checksum failure for mounted filesystem
(bsc#1012628).
- xtensa: fix kconfig unmet dependency warning for
HAVE_FUTEX_CMPXCHG (bsc#1012628).
- USB: serial: pl2303: fix GL type detection (bsc#1012628).
- USB: serial: cp210x: fix control-characters error handling
(bsc#1012628).
- USB: serial: cp210x: fix flow-control error handling
(bsc#1012628).
- ALSA: hda/realtek: Quirk for HP Spectre x360 14 amp setup
(bsc#1012628).
- ALSA: usb-audio: Fix regression on Sony WALKMAN NW-A45 DAC
(bsc#1012628).
- ALSA: hda/realtek: Workaround for conflicting SSID on ASUS
ROG Strix G17 (bsc#1012628).
- ALSA: pcm: fix divide error in snd_pcm_lib_ioctl (bsc#1012628).
- ALSA: usb-audio: Work around for XRUN with low latency playback
(bsc#1012628).
- media: stkwebcam: fix memory leak in stk_camera_probe
(bsc#1012628).
- commit b155faa
-------------------------------------------------------------------
Tue Sep 7 18:27:27 CEST 2021 - mkubecek@suse.cz
- series.conf: cleanup
- update upstream reference and move to appropriate section:
- patches.suse/crypto-ecc-handle-unaligned-input-buffer-in-ecc_swap.patch
- commit 1eedbb8
-------------------------------------------------------------------
Tue Sep 7 15:36:01 CEST 2021 - mbrugger@suse.com
- crypto: ecc - handle unaligned input buffer in ecc_swap_digits
(bsc#1188327).
- commit f7925a4
-------------------------------------------------------------------
Tue Sep 7 09:21:37 CEST 2021 - hare@suse.de
- Refresh patches.suse/scsi-retry-alua-transition-in-progress.
- Delete patches.suse/megaraid-mbox-fix-SG_IO.
- commit d1e442c
-------------------------------------------------------------------
Mon Sep 6 19:48:11 CEST 2021 - mkoutny@suse.com
- memcg: enable accounting of ipc resources (bsc#1190115
CVE-2021-3759).
- commit 9193235
-------------------------------------------------------------------
Mon Sep 6 13:02:53 CEST 2021 - msuchanek@suse.de
- rpm: Fold kernel-devel and kernel-source scriptlets into spec files
(bsc#1189841).
These are unchanged since 2011 when they were introduced. No need to
track them separately.
- commit 692d38b
-------------------------------------------------------------------
Mon Sep 6 12:47:58 CEST 2021 - msuchanek@suse.de
- rpm: Abolish image suffix (bsc#1189841).
This is used only with vanilla kernel which is not supported in any way.
The only effect is has is that the image and initrd symlinks are created
with this suffix.
These symlinks are not used except on s390 where the unsuffixed symlinks
are used by zipl.
There is no reason why a vanilla kernel could not be used with zipl as
well as it's quite unexpected to not be able to boot when only a vanilla
kernel is installed.
Finally we now have a backup zipl kernel so if the vanilla kernel is
indeed unsuitable the backup kernel can be used.
- commit e2f37db
-------------------------------------------------------------------
Mon Sep 6 12:03:57 CEST 2021 - msuchanek@suse.de
- kernel-binary.spec: Define $image as rpm macro (bsc#1189841).
- commit e602b0f
-------------------------------------------------------------------
Mon Sep 6 11:08:08 CEST 2021 - msuchanek@suse.de
- rpm: Define $certs as rpm macro (bsc#1189841).
Also pass around only the shortened hash rather than full filename.
As has been discussed in bsc#1124431 comment 51
https://bugzilla.suse.com/show_bug.cgi?id=1124431#c51 the placement of
the certificates is an API which cannot be changed unless we can ensure
that no two kernels that use different certificate location can be built
with the same certificate.
- commit d9a1357
-------------------------------------------------------------------
Sat Sep 4 10:22:09 CEST 2021 - jslaby@suse.cz
- watchdog: Fix NULL pointer dereference when releasing cdev
(bsc#1190093).
- Update config files.
We can enable the option after this fix again.
- commit 65109d0
-------------------------------------------------------------------
Sat Sep 4 07:01:40 CEST 2021 - jslaby@suse.cz
- Linux 5.14.1 (bsc#1012628).
- Bluetooth: btusb: check conditions before enabling USB ALT 3
for WBS (bsc#1012628).
- net: dsa: mt7530: fix VLAN traffic leaks again (bsc#1012628).
- btrfs: fix NULL pointer dereference when deleting device by
invalid id (bsc#1012628).
- Revert "floppy: reintroduce O_NDELAY fix" (bsc#1012628).
- fscrypt: add fscrypt_symlink_getattr() for computing st_size
(bsc#1012628).
- ext4: report correct st_size for encrypted symlinks
(bsc#1012628).
- f2fs: report correct st_size for encrypted symlinks
(bsc#1012628).
- ubifs: report correct st_size for encrypted symlinks
(bsc#1012628).
- net: don't unconditionally copy_from_user a struct ifreq for
socket ioctls (bsc#1012628).
- audit: move put_tree() to avoid trim_trees refcount underflow
and UAF (bsc#1012628).
- commit 1059c60
-------------------------------------------------------------------
Fri Sep 3 11:08:31 CEST 2021 - mkubecek@suse.cz
- update patches metadata
- update upstream references:
- patches.suse/Bluetooth-avoid-circular-locks-in-sco_sock_connect.patch
- patches.suse/Bluetooth-btusb-Add-support-for-Foxconn-Mediatek-Chi.patch
- patches.suse/Bluetooth-btusb-Add-support-for-IMC-Networks-Mediate.patch
- patches.suse/Bluetooth-switch-to-lock_sock-in-SCO.patch
- commit c2e3f15
-------------------------------------------------------------------
Fri Sep 3 10:49:22 CEST 2021 - mkubecek@suse.cz
- HID: usbhid: Simplify code in hid_submit_ctrl()
(<cover.1630658591.git.mkubecek@suse.cz>).
- HID: usbhid: Fix warning caused by 0-length input reports
(<cover.1630658591.git.mkubecek@suse.cz>).
- HID: usbhid: Fix flood of "control queue full" messages
(<cover.1630658591.git.mkubecek@suse.cz>).
- commit 4552165
-------------------------------------------------------------------
Fri Sep 3 10:47:34 CEST 2021 - mkubecek@suse.cz
- Delete patches.suse/hid-fix-length-inconsistency.patch.
To be replaced by a cherry pick of corresponding upstream commits.
- commit ba7e2a2
-------------------------------------------------------------------
Thu Sep 2 18:26:03 CEST 2021 - mkubecek@suse.cz
- Delete patches.suse/Revert-netfilter-conntrack-remove-helper-hook-again.patch (bsc#1189964)
The regression addressed by this revert was fixed properly by mainline
commit ee04805ff54a ("netfilter: conntrack: make conntrack userspace
helpers work again") in 5.7.
- commit 775ed38
-------------------------------------------------------------------
Thu Sep 2 18:22:53 CEST 2021 - mkubecek@suse.cz
- series.conf: cleanup
Move queued patches to "almost mainline" section.
No effect on expanded tree.
- commit e91bb9d
-------------------------------------------------------------------
Thu Sep 2 09:57:18 CEST 2021 - jslaby@suse.cz
- vt_kdsetmode: extend console locking (bsc#1190025
CVE-2021-3753).
- commit 18d6ea3
-------------------------------------------------------------------
Thu Sep 2 08:46:00 CEST 2021 - jslaby@suse.cz
- Update config files. Disable CONFIG_WATCHDOG_HRTIMER_PRETIMEOUT
(bsc#1190093)
- commit 55bd270
-------------------------------------------------------------------
Wed Sep 1 16:24:50 CEST 2021 - tiwai@suse.de
- Bluetooth: sco: Fix lock_sock() blockage by memcpy_from_msg()
(CVE-2021-3640 bsc#1188172).
- commit b9d15a3
-------------------------------------------------------------------
Tue Aug 31 23:50:52 CEST 2021 - lduncan@suse.com
- Delete
patches.suse/uapi-add-a-compatibility-layer-between-linux-uio-h-and-glibc (bsc#1189959).
No longer needed, since it's upstream now.
- commit b1aeba4
-------------------------------------------------------------------
Tue Aug 31 12:56:46 CEST 2021 - msuchanek@suse.de
- rpm: Abolish scritplet templating (bsc#1189841).
Outsource kernel-binary and KMP scriptlets to suse-module-tools.
This allows fixing bugs in the scriptlets as well as defining initrd
regeneration policy independent of the kernel packages.
- commit e98096d
-------------------------------------------------------------------
Tue Aug 31 12:12:22 CEST 2021 - mbrugger@suse.com
- arm64: Update config files. (bsc#1189922)
Enable ISP1760_DUAL_ROLE
- commit c265161
-------------------------------------------------------------------
Tue Aug 31 08:41:22 CEST 2021 - msuchanek@suse.de
- rpm/kernel-binary.spec.in: Use kmod-zstd provide.
This makes it possible to use kmod with ZSTD support on non-Tumbleweed.
- commit 357f09a
-------------------------------------------------------------------
Mon Aug 30 09:20:50 CEST 2021 - ludwig.nussel@suse.de
- rpm/kernel-binary.spec.in: avoid conflicting suse-release
suse-release has arbitrary values in staging, we can't use it for
dependencies. The filesystem one has to be enough (boo#1184804).
- commit 56f2cba
-------------------------------------------------------------------
Mon Aug 30 00:37:02 CEST 2021 - mkubecek@suse.cz
- Update to 5.14 final
- refresh configs
- commit d419f63
-------------------------------------------------------------------
Mon Aug 30 00:33:56 CEST 2021 - mkubecek@suse.cz
- config: update and enable armv6hl
New config option values copied from arvm7hl.
- commit 7224850
-------------------------------------------------------------------
Mon Aug 30 00:25:29 CEST 2021 - mkubecek@suse.cz
- config: update and enable armv7hl
New config option values copied from arm64 except:
- PCI_IXP4XX=n (does not allow module build)
- MTD_NAND_PL35X=m
- IPMI_KCS_BMC_CDEV_IPMI=m
- IPMI_KCS_BMC_SERIO=m
- MSC313E_WATCHDOG=m
- REGULATOR_MT6359=m
- REGULATOR_RT5033=m
- ARM_GT_INITIAL_PRESCALER_VAL=2 (default)
- INTEL_QEP=m
- commit 2df785b
-------------------------------------------------------------------
Fri Aug 27 11:20:48 CEST 2021 - ludwig.nussel@suse.de
- rpm: fix kmp install path
- commit 2d3c7bb
-------------------------------------------------------------------
Thu Aug 26 15:52:30 CEST 2021 - jslaby@suse.cz
- net: usb: asix: ax88772: Fix less than zero comparison of a u16
(git-fixes).
- commit 8e5c63f
-------------------------------------------------------------------
Thu Aug 26 15:51:00 CEST 2021 - jslaby@suse.cz
- Linux 5.13.13 (bsc#1012628).
- mtd: cfi_cmdset_0002: fix crash when erasing/writing AMD cards
(bsc#1012628).
- io_uring: Use WRITE_ONCE() when writing to sq_flags
(bsc#1012628).
- USB: core: Avoid WARNings for 0-length descriptor requests
(bsc#1012628).
- USB: core: Fix incorrect pipe calculation in do_proc_control()
(bsc#1012628).
- dmaengine: xilinx_dma: Fix read-after-free bug when terminating
transfers (bsc#1012628).
- dmaengine: usb-dmac: Fix PM reference leak in usb_dmac_probe()
(bsc#1012628).
- spi: spi-mux: Add module info needed for autoloading
(bsc#1012628).
- net: xfrm: Fix end of loop tests for list_for_each_entry
(bsc#1012628).
- ARM: dts: am43x-epos-evm: Reduce i2c0 bus speed for tps65218
(bsc#1012628).
- dmaengine: of-dma: router_xlate to return -EPROBE_DEFER if
controller is not yet available (bsc#1012628).
- scsi: pm80xx: Fix TMF task completion race condition
(bsc#1012628).
- scsi: megaraid_mm: Fix end of loop tests for
list_for_each_entry() (bsc#1012628).
- scsi: scsi_dh_rdac: Avoid crash during rdac_bus_attach()
(bsc#1012628).
- scsi: core: Avoid printing an error if target_alloc() returns
-ENXIO (bsc#1012628).
- scsi: core: Fix capacity set to zero after offlinining device
(bsc#1012628).
- drm/amdgpu: fix the doorbell missing when in CGPG issue for
renoir (bsc#1012628).
- qede: fix crash in rmmod qede while automatic debug collection
(bsc#1012628).
- ARM: dts: nomadik: Fix up interrupt controller node names
(bsc#1012628).
- net: usb: pegasus: Check the return value of get_geristers()
and friends; (bsc#1012628).
- perf/x86: Fix out of bound MSR access (bsc#1012628).
- spi: cadence-quadspi: Fix check condition for DTR ops
(bsc#1012628).
- drm/amd/display: Fix Dynamic bpp issue with 8K30 with Navi 1X
(bsc#1012628).
- drm/amd/display: workaround for hard hang on HPD on native DP
(bsc#1012628).
- kyber: make trace_block_rq call consistent with documentation
(bsc#1012628).
- mtd: rawnand: Add a check in of_get_nand_secure_regions()
(bsc#1012628).
- arm64: dts: qcom: c630: fix correct powerdown pin for WSA881x
(bsc#1012628).
- arm64: dts: qcom: msm8992-bullhead: Remove PSCI (bsc#1012628).
- arm64: dts: qcom: msm8992-bullhead: Fix cont_splash_mem mapping
(bsc#1012628).
- iommu: Check if group is NULL before remove device
(bsc#1012628).
- cpufreq: arm_scmi: Fix error path when allocation failed
(bsc#1012628).
- arm64: dts: qcom: msm8994-angler: Disable cont_splash_mem
(bsc#1012628).
- arm64: dts: qcom: sdm845-oneplus: fix reserved-mem
(bsc#1012628).
- mt76: fix enum type mismatch (bsc#1012628).
- mtd: rawnand: Fix probe failure due to
of_get_nand_secure_regions() (bsc#1012628).
- soc: fsl: qe: convert QE interrupt controller to platform_device
(bsc#1012628).
- cpufreq: armada-37xx: forbid cpufreq for 1.2 GHz variant
(bsc#1012628).
- dccp: add do-while-0 stubs for dccp_pr_debug macros
(bsc#1012628).
- virtio: Protect vqs list access (bsc#1012628).
- vhost-vdpa: Fix integer overflow in
vhost_vdpa_process_iotlb_update() (bsc#1012628).
- bus: ti-sysc: Fix error handling for sysc_check_active_timer()
(bsc#1012628).
- vhost: Fix the calculation in vhost_overflow() (bsc#1012628).
- vdpa_sim: Fix return value check for vdpa_alloc_device()
(bsc#1012628).
- vp_vdpa: Fix return value check for vdpa_alloc_device()
(bsc#1012628).
- vDPA/ifcvf: Fix return value check for vdpa_alloc_device()
(bsc#1012628).
- vdpa/mlx5: Avoid destroying MR on empty iotlb (bsc#1012628).
- vdpa/mlx5: Fix queue type selection logic (bsc#1012628).
- drm/mediatek: Add AAL output size configuration (bsc#1012628).
- drm/mediatek: Add component_del in OVL and COLOR remove function
(bsc#1012628).
- bpf: Clear zext_dst of dead insns (bsc#1012628).
- bnxt: don't lock the tx queue from napi poll (bsc#1012628).
- bnxt: disable napi before canceling DIM (bsc#1012628).
- bnxt: make sure xmit_more + errors does not miss doorbells
(bsc#1012628).
- bnxt: count Tx drops (bsc#1012628).
- soc: fsl: qe: fix static checker warning (bsc#1012628).
- net: 6pack: fix slab-out-of-bounds in decode_data (bsc#1012628).
- ptp_pch: Restore dependency on PCI (bsc#1012628).
- bnxt_en: Disable aRFS if running on 212 firmware (bsc#1012628).
- bnxt_en: Add missing DMA memory barriers (bsc#1012628).
- vrf: Reset skb conntrack connection on VRF rcv (bsc#1012628).
- virtio-net: use NETIF_F_GRO_HW instead of NETIF_F_LRO
(bsc#1012628).
- mac80211: fix locking in ieee80211_restart_work() (bsc#1012628).
- net: qlcnic: add missed unlock in qlcnic_83xx_flash_read32
(bsc#1012628).
- ixgbe, xsk: clean up the resources in ixgbe_xsk_pool_enable
error path (bsc#1012628).
- sch_cake: fix srchost/dsthost hashing mode (bsc#1012628).
- net: mdio-mux: Don't ignore memory allocation errors
(bsc#1012628).
- net: mdio-mux: Handle -EPROBE_DEFER correctly (bsc#1012628).
- ovs: clear skb->tstamp in forwarding path (bsc#1012628).
- net: usb: asix: refactor asix_read_phy_addr() and handle errors
on return (bsc#1012628).
- iommu/vt-d: Fix incomplete cache flush in
intel_pasid_tear_down_entry() (bsc#1012628).
- drm/i915: Skip display interruption setup when display is not
available (bsc#1012628).
- drm/i915: Tweaked Wa_14010685332 for all PCHs (bsc#1012628).
- drm/amd/display: Use DCN30 watermark calc for DCN301
(bsc#1012628).
- net: mscc: ocelot: allow forwarding from bridge ports to the
tag_8021q CPU port (bsc#1012628).
- mptcp: fix memory leak on address flush (bsc#1012628).
- mptcp: full fully established support after ADD_ADDR
(bsc#1012628).
- r8152: fix writing USB_BP2_EN (bsc#1012628).
- r8152: fix the maximum number of PLA bp for RTL8153C
(bsc#1012628).
- PCI/sysfs: Use correct variable for the legacy_mem sysfs object
(bsc#1012628).
- i40e: Fix ATR queue selection (bsc#1012628).
- iavf: Fix ping is lost after untrusted VF had tried to change
MAC (bsc#1012628).
- Revert "flow_offload: action should not be NULL when it is
referenced" (bsc#1012628).
- net: dpaa2-switch: disable the control interface on error path
(bsc#1012628).
- iommu/dma: Fix leak in non-contiguous API (bsc#1012628).
- mmc: dw_mmc: Fix hang on data CRC error (bsc#1012628).
- mmc: mmci: stm32: Check when the voltage switch procedure
should be done (bsc#1012628).
- mmc: sdhci-msm: Update the software timeout value for sdhc
(bsc#1012628).
- clk: imx6q: fix uart earlycon unwork (bsc#1012628).
- clk: qcom: gdsc: Ensure regulator init state matches GDSC state
(bsc#1012628).
- arm64: clean vdso & vdso32 files (bsc#1012628).
- cfi: Use rcu_read_{un}lock_sched_notrace (bsc#1012628).
- ALSA: hda - fix the 'Capture Switch' value change notifications
(bsc#1012628).
- tracing: define needed config DYNAMIC_FTRACE_WITH_ARGS
(bsc#1012628).
- tracing / histogram: Fix NULL pointer dereference on strcmp()
on NULL event name (bsc#1012628).
- slimbus: messaging: start transaction ids from 1 instead of zero
(bsc#1012628).
- slimbus: messaging: check for valid transaction id
(bsc#1012628).
- slimbus: ngd: set correct device for pm (bsc#1012628).
- slimbus: ngd: reset dma setup during runtime pm (bsc#1012628).
- ipack: tpci200: fix many double free issues in tpci200_pci_probe
(bsc#1012628).
- ipack: tpci200: fix memory leak in the tpci200_register
(bsc#1012628).
- io_uring: fix code style problems (bsc#1012628).
- io_uring: only assign io_uring_enter() SQPOLL error in actual
error case (bsc#1012628).
- ALSA: hda/realtek: Enable 4-speaker output for Dell XPS 15
9510 laptop (bsc#1012628).
- opp: Drop empty-table checks from _put functions (bsc#1012628).
- btrfs: prevent rename2 from exchanging a subvol with a directory
from different parents (bsc#1012628).
- tracing: Apply trace filters on all output channels
(bsc#1012628).
- ALSA: hda/via: Apply runtime PM workaround for ASUS B23E
(bsc#1012628).
- s390/pci: fix use after free of zpci_dev (bsc#1012628).
- usb: typec: tcpm: Fix VDMs sometimes not being forwarded to
alt-mode drivers (bsc#1012628).
- powerpc/32s: Move setup_{kuep/kuap}() into {kuep/kuap}.c
(bsc#1012628).
- powerpc/32s: Refactor update of user segment registers
(bsc#1012628).
- powerpc/32s: Fix random crashes by adding isync() after
locking/unlocking KUEP (bsc#1012628).
- PCI: Increase D3 delay for AMD Renoir/Cezanne XHCI
(bsc#1012628).
- ALSA: hda/realtek: Limit mic boost on HP ProBook 445 G8
(bsc#1012628).
- ASoC: intel: atom: Fix breakage for PCM buffer address setup
(bsc#1012628).
- riscv: Fix a number of free'd resources in init_resources()
(bsc#1012628).
- mm: memcontrol: fix occasional OOMs due to proportional
memory.low reclaim (bsc#1012628).
- mm,hwpoison: make get_hwpoison_page() call get_any_page()
(bsc#1012628).
- mm/hwpoison: retry with shake_page() for unhandlable pages
(bsc#1012628).
- kfence: fix is_kfence_address() for addresses below
KFENCE_POOL_SIZE (bsc#1012628).
- hugetlb: don't pass page cache pages to restore_reserve_on_error
(bsc#1012628).
- io_uring: fix xa_alloc_cycle() error return value check
(bsc#1012628).
- fs: warn about impending deprecation of mandatory locks
(bsc#1012628).
- Update config files.
- commit b44f35f
-------------------------------------------------------------------
Thu Aug 26 08:56:57 CEST 2021 - ludwig.nussel@suse.de
- post.sh: detect /usr mountpoint too
- commit c7b3d74
-------------------------------------------------------------------
Mon Aug 23 19:27:23 CEST 2021 - jeffm@suse.com
- config: re-modularize CRYPTO_{CTS,ECB,XTS} on arm* (bsc#1189034).
Now that FS_ENCRYPTION_ALGS is modular, the crypto modules it utilizes
can be modular as well. CRYPTO_AES and CRYPTO_CBC are used by
ENCRYPTED_KEYS and must remain built-in. CRYPTO_SHA512 and CRYPTO_HMAC
are used by module signature validation and must also remain built-in.
- commit dbb9dbc
-------------------------------------------------------------------
Mon Aug 23 19:27:17 CEST 2021 - jeffm@suse.com
- config: re-modularize CRYPTO_{GCM,GHASH,GF128MUL} on arm* (bsc#1189033).
These modules were selected as built-in due to Kconfig changes between
4.14-rc3 and 5.8-rc1 selecting them if BIG_KEYS was enabled. They can
be built as modules again now.
- commit bb04225
-------------------------------------------------------------------
Mon Aug 23 16:57:21 CEST 2021 - tiwai@suse.de
- usb: renesas-xhci: Prefer firmware loading on unknown ROM state
(bsc#1189207).
- commit 0567e80
-------------------------------------------------------------------
Mon Aug 23 14:35:12 CEST 2021 - martin.wilck@suse.com
- kernel-binary.spec.in: make sure zstd is supported by kmod if used
- commit f36412b
-------------------------------------------------------------------
Mon Aug 23 14:32:13 CEST 2021 - martin.wilck@suse.com
- kernel-binary.spec.in: add zstd to BuildRequires if used
- commit aa61dba
-------------------------------------------------------------------
Mon Aug 23 09:29:30 CEST 2021 - jslaby@suse.cz
- Update config files. (vanillas)
Oldconfig on vanillas.
- commit fc469d6
-------------------------------------------------------------------
Mon Aug 23 09:21:18 CEST 2021 - mkubecek@suse.cz
- hid: fix length inconsistency
(20210816130059.3yxtdvu2r7wo4uu3@lion.mk-sys.cz).
- commit 61596f4
-------------------------------------------------------------------
Mon Aug 23 09:19:25 CEST 2021 - mkubecek@suse.cz
- config: refresh vanilla configs
Vanilla configs also need to include DEBUG_INFO_BTF_MODULES even if the
value does not differ from base config.
- commit f317ebc
-------------------------------------------------------------------
Mon Aug 23 09:17:23 CEST 2021 - jslaby@suse.cz
- Update config files. (arm & epaper drivers and other old graphics)
Propagate recent epaper drivers and other old graphics changes to arms.
- commit dda8a0c
-------------------------------------------------------------------
Mon Aug 23 09:16:00 CEST 2021 - jslaby@suse.cz
- Update config files. (arm & CONFIG_GAMEPORT)
Propagate recent CONFIG_GAMEPORT changes to arms.
- commit dc92f5f
-------------------------------------------------------------------
Mon Aug 23 09:11:14 CEST 2021 - jslaby@suse.cz
- Update config files. (arm & CONFIG_BT_MSFTEXT)
Propagate recent CONFIG_BT_MSFTEXT changes to arms.
- commit 408b13b
-------------------------------------------------------------------
Mon Aug 23 09:09:42 CEST 2021 - jslaby@suse.cz
- Update config files. (arm & ATALK)
Propagate recent ATALK changes to arms.
- commit 32afa86
-------------------------------------------------------------------
Mon Aug 23 09:01:42 CEST 2021 - jslaby@suse.cz
- Update config files. (arm & EXT4_FS)
Propagate recent EXT4_FS changes to arms.
- commit dbd131f
-------------------------------------------------------------------
Mon Aug 23 08:08:23 CEST 2021 - jslaby@suse.cz
- Update config files.
Only refresh using scripts/run_oldconfig.sh.
- commit bdb4b85
-------------------------------------------------------------------
Mon Aug 23 00:02:05 CEST 2021 - mkubecek@suse.cz
- Update to 5.14-rc7
- eliminated 3 patches:
- patches.suse/mmc-sdhci-iproc-cap-min-clock-frequency-on-bcm2711.patch
- patches.suse/mmc-sdhci-iproc-set-sdhci_quirk_cap_clock_base_broken-on-bcm2711.patch
- patches.suse/crypto-drbg-select-SHA512.patch
- refresh configs
- DYNAMIC_FTRACE_WITH_ARGS=y (x86_64 only)
- commit 3e03413
-------------------------------------------------------------------
Sun Aug 22 03:53:44 CEST 2021 - jeffm@suse.com
- config: enable CONFIG_NO_HZ_FULL where supported (bsc#1189692).
- commit da75261
-------------------------------------------------------------------
Sun Aug 22 03:44:28 CEST 2021 - jeffm@suse.com
- config: enable CONFIG_MAXSMP (bsc#1189691).
- commit 7c67b01
-------------------------------------------------------------------
Sat Aug 21 15:30:52 CEST 2021 - jeffm@suse.com
- config: disable CONFIG_SOUNDWIRE_QCOM on x86 (bsc#1189686).
- commit fb7c80f
-------------------------------------------------------------------
Fri Aug 20 23:38:26 CEST 2021 - jeffm@suse.com
- config: disable CONFIG_MD_MULTIPATH (bsc#1189678).
First-class multipath on Linux has used dm-multipath for ages.
- commit 1309089
-------------------------------------------------------------------
Fri Aug 20 23:37:04 CEST 2021 - jeffm@suse.com
- config: disable CONFIG_PM_AUTOSLEEP and CONFIG_PM_WAKELOCKS (bsc#1189677).
- commit 77c3a63
-------------------------------------------------------------------
Fri Aug 20 23:24:18 CEST 2021 - jeffm@suse.com
- config: disable CONFIG_ISDN on arm* (bsc#1189675).
Without CONFIG_ISDN, we no longer need to carry:
- patches.suse/misdn-add-support-for-group-membership-check.
- config: disable CONFIG_ISDN (bsc#1189675).
Without CONFIG_ISDN, we no longer need to carry:
- patches.suse/misdn-add-support-for-group-membership-check.
- commit 310ae3e
-------------------------------------------------------------------
Fri Aug 20 23:22:06 CEST 2021 - jeffm@suse.com
- config: enable CONFIG_PRINTK_CALLER on arm* (bsc#1189674).
- config: enable CONFIG_PRINTK_CALLER (bsc#1189674).
- commit 0ba49b0
-------------------------------------------------------------------
Fri Aug 20 19:42:44 CEST 2021 - afaerber@suse.com
- config: arm64: Update to 5.14-rc6
- commit 1a6db50
-------------------------------------------------------------------
Fri Aug 20 17:16:36 CEST 2021 - martin.wilck@suse.com
- rpm: support gz and zst compression methods
Extend commit 18fcdff43a00 ("rpm: support compressed modules") for
compression methods other than xz.
- commit 3b8c4d9
-------------------------------------------------------------------
Fri Aug 20 11:17:51 CEST 2021 - tiwai@suse.de
- Update config files: make pinctrl-cherryview built-in (bsc#1189447)
Otherwise some devices aren't properly intiailized.
- commit b19ed90
-------------------------------------------------------------------
Wed Aug 18 14:54:50 CEST 2021 - tiwai@suse.de
- Bluetooth: switch to lock_sock in SCO (CVE-2021-3640
bsc#1188172).
- Bluetooth: avoid circular locks in sco_sock_connect
(CVE-2021-3640 bsc#1188172).
- Bluetooth: switch to lock_sock in SCO (CVE-2021-3640
bsc#1188172).
- Bluetooth: avoid circular locks in sco_sock_connect
(CVE-2021-3640 bsc#1188172).
- commit 9562b07
-------------------------------------------------------------------
Wed Aug 18 09:50:06 CEST 2021 - jslaby@suse.cz
- driver core: Add missing kernel doc for device::msi_lock
(git-fixes).
- commit 33709df
-------------------------------------------------------------------
Wed Aug 18 09:46:36 CEST 2021 - jslaby@suse.cz
- Linux 5.13.12 (bsc#1012628).
- lib: use PFN_PHYS() in devmem_is_allowed() (bsc#1012628).
- Revert "usb: dwc3: gadget: Use list_replace_init() before
traversing lists" (bsc#1012628).
- iio: adc: ti-ads7950: Ensure CS is deasserted after reading
channels (bsc#1012628).
- iio: adis: set GPIO reset pin direction (bsc#1012628).
- iio: humidity: hdc100x: Add margin to the conversion time
(bsc#1012628).
- iio: adc: Fix incorrect exit of for-loop (bsc#1012628).
- ASoC: amd: Fix reference to PCM buffer address (bsc#1012628).
- ASoC: xilinx: Fix reference to PCM buffer address (bsc#1012628).
- ASoC: uniphier: Fix reference to PCM buffer address
(bsc#1012628).
- ASoC: tlv320aic31xx: Fix jack detection after suspend
(bsc#1012628).
- ASoC: kirkwood: Fix reference to PCM buffer address
(bsc#1012628).
- ASoC: intel: atom: Fix reference to PCM buffer address
(bsc#1012628).
- i2c: dev: zero out array used for i2c reads from userspace
(bsc#1012628).
- cifs: Handle race conditions during rename (bsc#1012628).
- cifs: create sd context must be a multiple of 8 (bsc#1012628).
- cifs: Call close synchronously during unlink/rename/lease break
(bsc#1012628).
- cifs: use the correct max-length for dentry_path_raw()
(bsc#1012628).
- io_uring: drop ctx->uring_lock before flushing work item
(bsc#1012628).
- io_uring: fix ctx-exit io_rsrc_put_work() deadlock
(bsc#1012628).
- scsi: lpfc: Move initialization of phba->poll_list earlier to
avoid crash (bsc#1012628).
- cgroup: rstat: fix A-A deadlock on 32bit around u64_stats_sync
(bsc#1012628).
- seccomp: Fix setting loaded filter count during TSYNC
(bsc#1012628).
- net: wwan: mhi_wwan_ctrl: Fix possible deadlock (bsc#1012628).
- net: ethernet: ti: cpsw: fix min eth packet size for non-switch
use-cases (bsc#1012628).
- ARC: fp: set FPU_STATUS.FWE to enable FPU_STATUS update on
context switch (bsc#1012628).
- ceph: reduce contention in ceph_check_delayed_caps()
(bsc#1012628).
- pinctrl: k210: Fix k210_fpioa_probe() (bsc#1012628).
- ACPI: NFIT: Fix support for virtual SPA ranges (bsc#1012628).
- libnvdimm/region: Fix label activation vs errors (bsc#1012628).
- riscv: kexec: do not add '-mno-relax' flag if compiler doesn't
support it (bsc#1012628).
- vmlinux.lds.h: Handle clang's module.{c,d}tor sections
(bsc#1012628).
- drm/i915/gvt: Fix cached atomics setting for Windows VM
(bsc#1012628).
- drm/i915/display: Fix the 12 BPC bits for PIPE_MISC reg
(bsc#1012628).
- drm/amd/display: Remove invalid assert for ODM + MPC case
(bsc#1012628).
- drm/amd/display: use GFP_ATOMIC in amdgpu_dm_irq_schedule_work
(bsc#1012628).
- drm/amdgpu: Add preferred mode in modeset when freesync video
mode's enabled (bsc#1012628).
- drm/amdgpu: don't enable baco on boco platforms in runpm
(bsc#1012628).
- drm/amdgpu: handle VCN instances when harvesting (v2)
(bsc#1012628).
- ieee802154: hwsim: fix GPF in hwsim_set_edge_lqi (bsc#1012628).
- ieee802154: hwsim: fix GPF in hwsim_new_edge_nl (bsc#1012628).
- drm/mediatek: Fix cursor plane no update (bsc#1012628).
- pinctrl: mediatek: Fix fallback behavior for bias_set_combo
(bsc#1012628).
- ASoC: cs42l42: Correct definition of ADC Volume control
(bsc#1012628).
- ASoC: cs42l42: Don't allow SND_SOC_DAIFMT_LEFT_J (bsc#1012628).
- ASoC: cs42l42: Fix bclk calculation for mono (bsc#1012628).
- selftests/sgx: Fix Q1 and Q2 calculation in sigstruct.c
(bsc#1012628).
- ASoC: SOF: Intel: Kconfig: fix SoundWire dependencies
(bsc#1012628).
- ASoC: SOF: Intel: hda-ipc: fix reply size checking
(bsc#1012628).
- ASoC: cs42l42: Fix inversion of ADC Notch Switch control
(bsc#1012628).
- ASoC: cs42l42: Remove duplicate control for WNF filter frequency
(bsc#1012628).
- netfilter: nf_conntrack_bridge: Fix memory leak when error
(bsc#1012628).
- pinctrl: tigerlake: Fix GPIO mapping for newer version of
software (bsc#1012628).
- ASoC: cs42l42: PLL must be running when changing MCLK_SRC_SEL
(bsc#1012628).
- ASoC: cs42l42: Fix LRCLK frame start edge (bsc#1012628).
- ASoC: cs42l42: Fix mono playback (bsc#1012628).
- net: dsa: mt7530: add the missing RxUnicast MIB counter
(bsc#1012628).
- net: mvvp2: fix short frame size on s390 (bsc#1012628).
- platform/x86: pcengines-apuv2: Add missing terminating entries
to gpio-lookup tables (bsc#1012628).
- perf/x86/intel: Apply mid ACK for small core (bsc#1012628).
- drm/amd/pm: Fix a memory leak in an error handling path in
'vangogh_tables_init()' (bsc#1012628).
- libbpf: Fix probe for BPF_PROG_TYPE_CGROUP_SOCKOPT
(bsc#1012628).
- libbpf: Do not close un-owned FD 0 on errors (bsc#1012628).
- net: dsa: qca: ar9331: make proper initial port defaults
(bsc#1012628).
- net: phy: micrel: Fix link detection on ksz87xx switch"
(bsc#1012628).
- ppp: Fix generating ifname when empty IFLA_IFNAME is specified
(bsc#1012628).
- io_uring: clear TIF_NOTIFY_SIGNAL when running task work
(bsc#1012628).
- net/smc: fix wait on already cleared link (bsc#1012628).
- net/smc: Correct smc link connection counter in case of smc
client (bsc#1012628).
- net: sched: act_mirred: Reset ct info when mirror/redirect skb
(bsc#1012628).
- ice: Prevent probing virtual functions (bsc#1012628).
- ice: Stop processing VF messages during teardown (bsc#1012628).
- ice: don't remove netdev->dev_addr from uc sync list
(bsc#1012628).
- iavf: Set RSS LUT and key in reset handle path (bsc#1012628).
- psample: Add a fwd declaration for skbuff (bsc#1012628).
- bareudp: Fix invalid read beyond skb's linear data
(bsc#1012628).
- io-wq: fix bug of creating io-wokers unconditionally
(bsc#1012628).
- io-wq: fix IO_WORKER_F_FIXED issue in create_io_worker()
(bsc#1012628).
- net/mlx5: Don't skip subfunction cleanup in case of error in
module init (bsc#1012628).
- net/mlx5: DR, Add fail on error check on decap (bsc#1012628).
- net/mlx5e: Avoid creating tunnel headers for local route
(bsc#1012628).
- net/mlx5e: Destroy page pool after XDP SQ to fix use-after-free
(bsc#1012628).
- net/mlx5: Block switchdev mode while devlink traps are active
(bsc#1012628).
- net/mlx5e: TC, Fix error handling memory leak (bsc#1012628).
- net/mlx5: Synchronize correct IRQ when destroying CQ
(bsc#1012628).
- net/mlx5: Fix return value from tracer initialization
(bsc#1012628).
- drm/meson: fix colour distortion from HDR set during vendor
u-boot (bsc#1012628).
- ovl: fix deadlock in splice write (bsc#1012628).
- bpf: Fix potentially incorrect results with
bpf_get_local_storage() (bsc#1012628).
- net: dsa: microchip: Fix ksz_read64() (bsc#1012628).
- net: dsa: microchip: ksz8795: Fix PVID tag insertion
(bsc#1012628).
- net: dsa: microchip: ksz8795: Reject unsupported VLAN
configuration (bsc#1012628).
- net: dsa: microchip: ksz8795: Fix VLAN untagged flag change
on deletion (bsc#1012628).
- net: dsa: microchip: ksz8795: Use software untagging on CPU port
(bsc#1012628).
- net: dsa: microchip: ksz8795: Fix VLAN filtering (bsc#1012628).
- net: dsa: microchip: ksz8795: Don't use phy_port_cnt in VLAN
table lookup (bsc#1012628).
- net: Fix memory leak in ieee802154_raw_deliver (bsc#1012628).
- net: igmp: fix data-race in igmp_ifc_timer_expire()
(bsc#1012628).
- net: dsa: hellcreek: fix broken backpressure in .port_fdb_dump
(bsc#1012628).
- net: dsa: lan9303: fix broken backpressure in .port_fdb_dump
(bsc#1012628).
- net: dsa: lantiq: fix broken backpressure in .port_fdb_dump
(bsc#1012628).
- net: dsa: sja1105: fix broken backpressure in .port_fdb_dump
(bsc#1012628).
- pinctrl: sunxi: Don't underestimate number of functions
(bsc#1012628).
- net: bridge: fix flags interpretation for extern learn fdb
entries (bsc#1012628).
- net: bridge: fix memleak in br_add_if() (bsc#1012628).
- net: linkwatch: fix failure to restore device state across
suspend/resume (bsc#1012628).
- tcp_bbr: fix u32 wrap bug in round logic if bbr_init() called
after 2B packets (bsc#1012628).
- net: igmp: increase size of mr_ifc_count (bsc#1012628).
- drm/i915: Only access SFC_DONE when media domain is not fused
off (bsc#1012628).
- xen/events: Fix race in set_evtchn_to_irq (bsc#1012628).
- vsock/virtio: avoid potential deadlock when vsock device remove
(bsc#1012628).
- nbd: Aovid double completion of a request (bsc#1012628).
- arm64: efi: kaslr: Fix occasional random alloc (and boot)
failure (bsc#1012628).
- KVM: arm64: Fix off-by-one in range_is_memory (bsc#1012628).
- efi/libstub: arm64: Force Image reallocation if BSS was not
reserved (bsc#1012628).
- efi/libstub: arm64: Relax 2M alignment again for relocatable
kernels (bsc#1012628).
- powerpc/kprobes: Fix kprobe Oops happens in booke (bsc#1012628).
- i2c: iproc: fix race between client unreg and tasklet
(bsc#1012628).
- x86/tools: Fix objdump version check again (bsc#1012628).
- genirq: Provide IRQCHIP_AFFINITY_PRE_STARTUP (bsc#1012628).
- x86/msi: Force affinity setup before startup (bsc#1012628).
- x86/ioapic: Force affinity setup before startup (bsc#1012628).
- x86/resctrl: Fix default monitoring groups reporting
(bsc#1012628).
- genirq/msi: Ensure deactivation on teardown (bsc#1012628).
- genirq/timings: Prevent potential array overflow in
__irq_timings_store() (bsc#1012628).
- powerpc/interrupt: Fix OOPS by not calling do_IRQ() from
timer_interrupt() (bsc#1012628).
- PCI/MSI: Enable and mask MSI-X early (bsc#1012628).
- PCI/MSI: Mask all unused MSI-X entries (bsc#1012628).
- PCI/MSI: Enforce that MSI-X table entry is masked for update
(bsc#1012628).
- PCI/MSI: Enforce MSI[X] entry updates to be visible
(bsc#1012628).
- PCI/MSI: Do not set invalid bits in MSI mask (bsc#1012628).
- PCI/MSI: Correct misleading comments (bsc#1012628).
- PCI/MSI: Use msi_mask_irq() in pci_msi_shutdown() (bsc#1012628).
- PCI/MSI: Protect msi_desc::masked for multi-MSI (bsc#1012628).
- powerpc/interrupt: Do not call single_step_exception() from
other exceptions (bsc#1012628).
- powerpc/pseries: Fix update of LPAR security flavor after LPM
(bsc#1012628).
- powerpc/32s: Fix napping restore in data storage interrupt (DSI)
(bsc#1012628).
- powerpc/smp: Fix OOPS in topology_init() (bsc#1012628).
- powerpc/xive: Do not skip CPU-less nodes when creating the IPIs
(bsc#1012628).
- powerpc/32: Fix critical and debug interrupts on BOOKE
(bsc#1012628).
- efi/libstub: arm64: Double check image alignment at entry
(bsc#1012628).
- locking/rtmutex: Use the correct rtmutex debugging config option
(bsc#1012628).
- KVM: VMX: Use current VMCS to query WAITPKG support for MSR
emulation (bsc#1012628).
- KVM: nVMX: Use vmx_need_pf_intercept() when deciding if L0
wants a #PF (bsc#1012628).
- KVM: x86/mmu: Don't leak non-leaf SPTEs when zapping all SPTEs
(bsc#1012628).
- KVM: x86/mmu: Protect marking SPs unsync when using TDP MMU
with spinlock (bsc#1012628).
- ceph: add some lockdep assertions around snaprealm handling
(bsc#1012628).
- ceph: clean up locking annotation for ceph_get_snap_realm and
__lookup_snap_realm (bsc#1012628).
- ceph: take snap_empty_lock atomically with snaprealm refcount
change (bsc#1012628).
- kasan, slub: reset tag when printing address (bsc#1012628).
- KVM: nSVM: avoid picking up unsupported bits from L2 in int_ctl
(CVE-2021-3653) (bsc#1012628).
- KVM: nSVM: always intercept VMLOAD/VMSAVE when nested
(CVE-2021-3656) (bsc#1012628).
- commit e7679db
-------------------------------------------------------------------
Tue Aug 17 16:46:45 CEST 2021 - tiwai@suse.de
- Bluetooth: btusb: Add support for Foxconn Mediatek Chip
(bsc#1188064).
- Bluetooth: btusb: Add support for IMC Networks Mediatek Chip
(bsc#1188064).
- Bluetooth: btusb: Add support for Foxconn Mediatek Chip
(bsc#1188064).
- Bluetooth: btusb: Add support for IMC Networks Mediatek Chip
(bsc#1188064).
- Bluetooth: btusb: Add support for Lite-On Mediatek Chip
(bsc#1188064).
- commit 3cfd9ab
-------------------------------------------------------------------
Mon Aug 16 20:07:28 CEST 2021 - msuchanek@suse.de
- kernel-binary.spec: Require dwarves for kernel-binary-devel when BTF is
enabled (jsc#SLE-17288).
About the pahole version: v1.18 should be bare mnimum, v1.22 should be
fully functional, for now we ship git snapshot with fixes on top of
v1.21.
- commit 8ba3382
-------------------------------------------------------------------
Mon Aug 16 07:05:22 CEST 2021 - mkubecek@suse.cz
- Update to 5.14-rc6
- refresh configs
- drop MQ_IOSCHED_DEADLINE_CGROUP
- commit 17c8c26
-------------------------------------------------------------------
Sun Aug 15 16:05:24 CEST 2021 - jslaby@suse.cz
- Linux 5.13.11 (bsc#1012628).
- ovl: prevent private clone if bind mount is not allowed
(bsc#1012628).
- ppp: Fix generating ppp unit id when ifname is not specified
(bsc#1012628).
- ALSA: hda: Add quirk for ASUS Flow x13 (bsc#1012628).
- ALSA: hda/realtek: fix mute/micmute LEDs for HP ProBook 650
G8 Notebook PC (bsc#1012628).
- ALSA: pcm: Fix mmap breakage without explicit buffer setup
(bsc#1012628).
- bpf: Add lockdown check for probe_write_user helper
(bsc#1012628).
- firmware: tee_bnxt: Release TEE shm, session, and context
during kexec (bsc#1012628).
- commit 97e7098
-------------------------------------------------------------------
Fri Aug 13 22:10:39 CEST 2021 - tonyj@suse.de
- bpf: Fix integer overflow involving bucket_size (bsc#1189233,
CVE#CVE-2021-38166).
- commit fcfea2b
-------------------------------------------------------------------
Fri Aug 13 06:16:18 CEST 2021 - jslaby@suse.cz
- Linux 5.13.10 (bsc#1012628).
- Revert "ACPICA: Fix memory leak caused by _CID repair function"
(bsc#1012628).
- ALSA: seq: Fix racy deletion of subscriber (bsc#1012628).
- bus: ti-sysc: Fix gpt12 system timer issue with reserved status
(bsc#1012628).
- net: xfrm: fix memory leak in xfrm_user_rcv_msg (bsc#1012628).
- arm64: dts: ls1028a: fix node name for the sysclk (bsc#1012628).
- dmaengine: idxd: fix array index when int_handles are being used
(bsc#1012628).
- dmaengine: idxd: fix setup sequence for MSIXPERM table
(bsc#1012628).
- ARM: imx: add missing iounmap() (bsc#1012628).
- ARM: imx: add missing clk_disable_unprepare() (bsc#1012628).
- ARM: dts: imx6qdl-sr-som: Increase the PHY reset duration to
10ms (bsc#1012628).
- Revert "soc: imx8m: change to use platform driver"
(bsc#1012628).
- dmaengine: idxd: fix desc->vector that isn't being updated
(bsc#1012628).
- dmaengine: idxd: fix sequence for pci driver remove() and
shutdown() (bsc#1012628).
- dmaengine: idxd: fix submission race window (bsc#1012628).
- arm64: dts: ls1028: sl28: fix networking for variant 2
(bsc#1012628).
- ARM: dts: colibri-imx6ull: limit SDIO clock to 25MHz
(bsc#1012628).
- ARM: imx: fix missing 3rd argument in macro imx_mmdc_perf_init
(bsc#1012628).
- ARM: dts: imx: Swap M53Menlo
pinctrl_power_button/pinctrl_power_out pins (bsc#1012628).
- arm64: dts: armada-3720-turris-mox: fixed indices for the SDHC
controllers (bsc#1012628).
- ext4: fix potential uninitialized access to retval in kmmpd
(bsc#1012628).
- arm64: dts: armada-3720-turris-mox: remove mrvl,i2c-fast-mode
(bsc#1012628).
- ALSA: usb-audio: fix incorrect clock source setting
(bsc#1012628).
- riscv: stacktrace: Fix NULL pointer dereference (bsc#1012628).
- clk: stm32f4: fix post divisor setup for I2S/SAI PLLs
(bsc#1012628).
- ARM: dts: am437x-l4: fix typo in can@0 node (bsc#1012628).
- omap5-board-common: remove not physically existing vdds_1v8_main
fixed-regulator (bsc#1012628).
- dmaengine: uniphier-xdmac: Use readl_poll_timeout_atomic()
in atomic state (bsc#1012628).
- clk: tegra: Implement disable_unused() of
tegra_clk_sdmmc_mux_ops (bsc#1012628).
- dmaengine: stm32-dma: Fix PM usage counter imbalance in stm32
dma ops (bsc#1012628).
- dmaengine: stm32-dmamux: Fix PM usage counter unbalance in
stm32 dmamux ops (bsc#1012628).
- spi: imx: mx51-ecspi: Reinstate low-speed CONFIGREG delay
(bsc#1012628).
- spi: imx: mx51-ecspi: Fix low-speed CONFIGREG delay calculation
(bsc#1012628).
- drm/kmb: Enable LCD DMA for low TVDDCV (bsc#1012628).
- media: videobuf2-core: dequeue if start_streaming fails
(bsc#1012628).
- ARM: dts: stm32: Prefer HW RTC on DHCOM SoM (bsc#1012628).
- ARM: dts: stm32: Disable LAN8710 EDPD on DHCOM (bsc#1012628).
- ARM: dts: stm32: Fix touchscreen IRQ line assignment on DHCOM
(bsc#1012628).
- dmaengine: imx-dma: configure the generic DMA type to make it
work (bsc#1012628).
- net, gro: Set inner transport header offset in tcp/udp GRO hook
(bsc#1012628).
- net: dsa: sja1105: overwrite dynamic FDB entries with static
ones in .port_fdb_add (bsc#1012628).
- net: dsa: sja1105: invalidate dynamic FDB entries learned
concurrently with statically added ones (bsc#1012628).
- net: dsa: sja1105: ignore the FDB entry for unknown multicast
when adding a new address (bsc#1012628).
- net: dsa: sja1105: be stateless with FDB entries on
SJA1105P/Q/R/S/SJA1110 too (bsc#1012628).
- net: dsa: sja1105: match FDB entries regardless of inner/outer
VLAN tag (bsc#1012628).
- net: phy: micrel: Fix detection of ksz87xx switch (bsc#1012628).
- net: natsemi: Fix missing pci_disable_device() in probe and
remove (bsc#1012628).
- gpio: tqmx86: really make IRQ optional (bsc#1012628).
- RDMA/mlx5: Delay emptying a cache entry when a new MR is added
to it recently (bsc#1012628).
- net: bridge: validate the NUD_PERMANENT bit when adding an
extern_learn FDB entry (bsc#1012628).
- sctp: move the active_key update after sh_keys is added
(bsc#1012628).
- drm/i915: Call i915_globals_exit() if pci_register_device()
fails (bsc#1012628).
- nfp: update ethtool reporting of pauseframe control
(bsc#1012628).
- net: ipv6: fix returned variable type in ip6_skb_dst_mtu
(bsc#1012628).
- RDMA/hns: Fix the double unlock problem of poll_sem
(bsc#1012628).
- net: dsa: qca: ar9331: reorder MDIO write sequence
(bsc#1012628).
- riscv: Disable STACKPROTECTOR_PER_TASK if GCC_PLUGIN_RANDSTRUCT
is enabled (bsc#1012628).
- net: sched: fix lockdep_set_class() typo error for sch->seqlock
(bsc#1012628).
- drm/i915: fix i915_globals_exit() section mismatch error
(bsc#1012628).
- MIPS: check return value of pgtable_pmd_page_ctor (bsc#1012628).
- x86/tools/relocs: Fix non-POSIX regexp (bsc#1012628).
- mips: Fix non-POSIX regexp (bsc#1012628).
- kbuild: cancel sub_make_done for the install target to fix DKMS
(bsc#1012628).
- bnx2x: fix an error code in bnx2x_nic_load() (bsc#1012628).
- net: ethernet: ti: am65-cpsw: fix crash in
am65_cpsw_port_offload_fwd_mark_update() (bsc#1012628).
- net: pegasus: fix uninit-value in get_interrupt_interval
(bsc#1012628).
- net: fec: fix use-after-free in fec_drv_remove (bsc#1012628).
- net: vxge: fix use-after-free in vxge_device_unregister
(bsc#1012628).
- blk-iolatency: error out if blk_get_queue() failed in
iolatency_set_limit() (bsc#1012628).
- Bluetooth: defer cleanup of resources in hci_unregister_dev()
(bsc#1012628).
- io-wq: fix no lock protection of acct->nr_worker (bsc#1012628).
- io-wq: fix lack of acct->nr_workers < acct->max_workers
judgement (bsc#1012628).
- USB: usbtmc: Fix RCU stall warning (bsc#1012628).
- USB: serial: option: add Telit FD980 composition 0x1056
(bsc#1012628).
- USB: serial: ch341: fix character loss at high transfer rates
(bsc#1012628).
- USB: serial: ftdi_sio: add device ID for Auto-M3 OP-COM v2
(bsc#1012628).
- USB: serial: pl2303: fix HX type detection (bsc#1012628).
- USB: serial: pl2303: fix GT type detection (bsc#1012628).
- firmware_loader: use -ETIMEDOUT instead of -EAGAIN in
fw_load_sysfs_fallback (bsc#1012628).
- firmware_loader: fix use-after-free in firmware_fallback_sysfs
(bsc#1012628).
- drm/amdgpu: fix checking pmops when PM_SLEEP is not enabled
(bsc#1012628).
- drm/amdgpu/display: fix DMUB firmware version info
(bsc#1012628).
- ALSA: pcm - fix mmap capability check for the snd-dummy driver
(bsc#1012628).
- ALSA: hda/realtek: add mic quirk for Acer SF314-42
(bsc#1012628).
- ALSA: hda/realtek: Fix headset mic for Acer SWIFT SF314-56
(ALC256) (bsc#1012628).
- ALSA: usb-audio: Fix superfluous autosuspend recovery
(bsc#1012628).
- ALSA: usb-audio: Add registration quirk for JBL Quantum 600
(bsc#1012628).
- ALSA: usb-audio: Avoid unnecessary or invalid connector
selection at resume (bsc#1012628).
- usb: dwc3: gadget: Use list_replace_init() before traversing
lists (bsc#1012628).
- usb: dwc3: gadget: Avoid runtime resume if disabling pullup
(bsc#1012628).
- usb: gadget: remove leaked entry from udc driver list
(bsc#1012628).
- usb: cdns3: Fixed incorrect gadget state (bsc#1012628).
- usb: cdnsp: Fixed issue with ZLP (bsc#1012628).
- usb: gadget: f_hid: added GET_IDLE and SET_IDLE handlers
(bsc#1012628).
- usb: gadget: f_hid: fixed NULL pointer dereference
(bsc#1012628).
- usb: gadget: f_hid: idle uses the highest byte for duration
(bsc#1012628).
- usb: host: ohci-at91: suspend/resume ports after/before OHCI
accesses (bsc#1012628).
- usb: typec: tcpm: Keep other events when receiving FRS and
Sourcing_vbus events (bsc#1012628).
- usb: otg-fsm: Fix hrtimer list corruption (bsc#1012628).
- clk: fix leak on devm_clk_bulk_get_all() unwind (bsc#1012628).
- scripts/tracing: fix the bug that can't parse raw_trace_func
(bsc#1012628).
- tracing / histogram: Give calculation hist_fields a size
(bsc#1012628).
- tracing: Reject string operand in the histogram expression
(bsc#1012628).
- tracing: Fix NULL pointer dereference in start_creating
(bsc#1012628).
- tracepoint: static call: Compare data on transition from 2->1
callees (bsc#1012628).
- tracepoint: Fix static call function vs data state mismatch
(bsc#1012628).
- tracepoint: Use rcu get state and cond sync for static call
updates (bsc#1012628).
- arm64: stacktrace: avoid tracing arch_stack_walk()
(bsc#1012628).
- optee: Clear stale cache entries during initialization
(bsc#1012628).
- tee: add tee_shm_alloc_kernel_buf() (bsc#1012628).
- tee: Correct inappropriate usage of TEE_SHM_DMA_BUF flag
(bsc#1012628).
- optee: Fix memory leak when failing to register shm pages
(bsc#1012628).
- optee: Refuse to load the driver under the kdump kernel
(bsc#1012628).
- optee: fix tee out of memory failure seen during kexec reboot
(bsc#1012628).
- tpm_ftpm_tee: Free and unregister TEE shared memory during kexec
(bsc#1012628).
- staging: rtl8723bs: Fix a resource leak in sd_int_dpc
(bsc#1012628).
- staging: rtl8712: get rid of flush_scheduled_work (bsc#1012628).
- staging: rtl8712: error handling refactoring (bsc#1012628).
- drivers core: Fix oops when driver probe fails (bsc#1012628).
- media: rtl28xxu: fix zero-length control request (bsc#1012628).
- pipe: increase minimum default pipe size to 2 pages
(bsc#1012628).
- ext4: fix potential htree corruption when growing large_dir
directories (bsc#1012628).
- Revert "thunderbolt: Hide authorized attribute if router does
not support PCIe tunnels" (bsc#1012628).
- serial: tegra: Only print FIFO error message when an error
occurs (bsc#1012628).
- serial: 8250_mtk: fix uart corruption issue when rx power off
(bsc#1012628).
- serial: 8250: Mask out floating 16/32-bit bus bits
(bsc#1012628).
- serial: 8250: fix handle_irq locking (bsc#1012628).
- MIPS: Malta: Do not byte-swap accesses to the CBUS UART
(bsc#1012628).
- serial: 8250_pci: Enumerate Elkhart Lake UARTs via dedicated
driver (bsc#1012628).
- serial: 8250_pci: Avoid irq sharing for MSI(-X) interrupts
(bsc#1012628).
- fpga: dfl: fme: Fix cpu hotplug issue in performance reporting
(bsc#1012628).
- timers: Move clearing of base::timer_running under base:: Lock
(bsc#1012628).
- virt: acrn: Do hcall_destroy_vm() before resource release
(bsc#1012628).
- perf: Fix required permissions if sigtrap is requested
(bsc#1012628).
- xfrm: Fix RCU vs hash_resize_mutex lock inversion (bsc#1012628).
- net/xfrm/compat: Copy xfrm_spdattr_type_t atributes
(bsc#1012628).
- pcmcia: i82092: fix a null pointer dereference bug
(bsc#1012628).
- scsi: ibmvfc: Fix command state accounting and stale response
detection (bsc#1012628).
- selinux: correct the return value when loads initial sids
(bsc#1012628).
- bus: ti-sysc: AM3: RNG is GP only (bsc#1012628).
- Revert "gpio: mpc8xxx: change the gpio interrupt
flags." (bsc#1012628).
- arm64: fix compat syscall return truncation (bsc#1012628).
- ARM: omap2+: hwmod: fix potential NULL pointer access
(bsc#1012628).
- md/raid10: properly indicate failure when ending a failed
write request (bsc#1012628).
- io-wq: fix race between worker exiting and activating free
worker (bsc#1012628).
- s390/dasd: fix use after free in dasd path handling
(bsc#1012628).
- KVM: x86: accept userspace interrupt only if no event is
injected (bsc#1012628).
- KVM: SVM: Fix off-by-one indexing when nullifying last used
SEV VMCB (bsc#1012628).
- KVM: Do not leak memory for duplicate debugfs directories
(bsc#1012628).
- KVM: x86/mmu: Fix per-cpu counter corruption on 32-bit builds
(bsc#1012628).
- soc: ixp4xx: fix printing resources (bsc#1012628).
- interconnect: Fix undersized devress_alloc allocation
(bsc#1012628).
- usb: cdnsp: Fix the IMAN_IE_SET and IMAN_IE_CLEAR macro
(bsc#1012628).
- usb: cdnsp: Fix incorrect supported maximum speed (bsc#1012628).
- spi: meson-spicc: fix memory leak in meson_spicc_remove
(bsc#1012628).
- interconnect: Zero initial BW after sync-state (bsc#1012628).
- interconnect: Always call pre_aggregate before aggregate
(bsc#1012628).
- interconnect: qcom: icc-rpmh: Ensure floor BW is enforced for
all nodes (bsc#1012628).
- drm/i915: Correct SFC_DONE register offset (bsc#1012628).
- soc: ixp4xx/qmgr: fix invalid __iomem access (bsc#1012628).
- perf/x86/amd: Don't touch the AMD64_EVENTSEL_HOSTONLY bit
inside the guest (bsc#1012628).
- sched/rt: Fix double enqueue caused by rt_effective_prio
(bsc#1012628).
- riscv: dts: fix memory size for the SiFive HiFive Unmatched
(bsc#1012628).
- libata: fix ata_pio_sector for CONFIG_HIGHMEM (bsc#1012628).
- reiserfs: add check for root_inode in reiserfs_fill_super
(bsc#1012628).
- reiserfs: check directory items on read from disk (bsc#1012628).
- virt_wifi: fix error on connect (bsc#1012628).
- net: qede: Fix end of loop tests for list_for_each_entry
(bsc#1012628).
- alpha: Send stop IPI to send to online CPUs (bsc#1012628).
- net/qla3xxx: fix schedule while atomic in ql_wait_for_drvr_lock
and ql_adapter_reset (bsc#1012628).
- smb3: rc uninitialized in one fallocate path (bsc#1012628).
- drm/amdgpu/display: only enable aux backlight control for OLED
panels (bsc#1012628).
- platform/x86: gigabyte-wmi: add support for B550 Aorus Elite V2
(bsc#1012628).
- HID: ft260: fix device removal due to USB disconnect
(bsc#1012628).
- commit 37b568f
-------------------------------------------------------------------
Wed Aug 11 19:07:42 CEST 2021 - msuchanek@suse.de
- README: Modernize build instructions.
- commit 8cc5c28
-------------------------------------------------------------------
Wed Aug 11 08:39:05 CEST 2021 - jslaby@suse.cz
- rpm/kernel-obs-build.spec.in: make builds reproducible (bsc#1189305)
- commit 7f9ade7
-------------------------------------------------------------------
Wed Aug 11 06:36:51 CEST 2021 - jslaby@suse.cz
- Revert "Revert "UsrMerge the kernel (boo#1184804)""
This reverts commit 999e6048a4cc6accd2653c9dccaaaff2f4ae8f86. By that,
we reverted the original commit 6f5ed044f52a. But the selinux problem is
hopefully fixed in the meantime, so it's time to try UsrMerge for kernel
again.
- Revert "Revert "UsrMerge the kernel (boo#1184804)""
This reverts commit b6ab3ded319fca797d007c541a190a308f940cf7. By that,
we reverted the original commit 6f5ed044f52a. But the two problems were
adressed in the meantime, so it's time to try UsrMerge for kernel again.
- commit 33df9c6
-------------------------------------------------------------------
Tue Aug 10 11:07:07 CEST 2021 - ykaukab@suse.de
- config: arm64: enable audio support for Nvidia Tegra SOCs
- commit 9983afb
-------------------------------------------------------------------
Mon Aug 9 22:56:36 CEST 2021 - jeffm@suse.com
- crypto: drbg - select SHA512 (bsc#1189034).
config: CRYPTO_SHA512 is built-in again.
- commit 80170a0
-------------------------------------------------------------------
Mon Aug 9 13:13:03 CEST 2021 - ludwig.nussel@suse.de
- Fix filesystem requirement and suse-release requires
Reduce filesystem conflict to anything less than 16 to allow pulling the
change into the next major stable version.
Don't require suse-release as that's not technically required. Conflict
with a too old one instead.
- commit 913f755
-------------------------------------------------------------------
Mon Aug 9 00:03:09 CEST 2021 - mkubecek@suse.cz
- Update to 5.14-rc5
- update configs
- PHYS_RAM_BASE_FIXED=n (riscv64 only, follow upstream revert)
- commit 1838496
-------------------------------------------------------------------
Sun Aug 8 12:50:22 CEST 2021 - jslaby@suse.cz
- blacklist.conf: add one docs fix
- commit 19b1d90
-------------------------------------------------------------------
Sun Aug 8 11:20:11 CEST 2021 - jslaby@suse.cz
- Revert "qed: fix possible unpaired spin_{un}lock_bh in
_qed_mcp_cmd_and_union()" (git-fixes).
- commit 27fe085
-------------------------------------------------------------------
Sun Aug 8 09:20:38 CEST 2021 - jslaby@suse.cz
- Linux 5.13.9 (bsc#1012628).
- drm/i915: Revert "drm/i915/gem: Asynchronous cmdparser"
(bsc#1012628).
- Revert "drm/i915: Propagate errors on awaiting already signaled
fences" (bsc#1012628).
- power: supply: ab8500: Call battery population once
(bsc#1012628).
- skmsg: Increase sk->sk_drops when dropping packets
(bsc#1012628).
- skmsg: Pass source psock to sk_psock_skb_redirect()
(bsc#1012628).
- bpf, sockmap: On cleanup we additionally need to remove cached
skb (bsc#1012628).
- cifs: use helpers when parsing uid/gid mount options and
validate them (bsc#1012628).
- cifs: add missing parsing of backupuid (bsc#1012628).
- net: dsa: sja1105: parameterize the number of ports
(bsc#1012628).
- net: dsa: sja1105: fix address learning getting disabled on
the CPU port (bsc#1012628).
- ASoC: Intel: boards: handle hda-dsp-common as a module
(bsc#1012628).
- ASoC: Intel: boards: create sof-maxim-common module
(bsc#1012628).
- ASoC: Intel: boards: fix xrun issue on platform with max98373
(bsc#1012628).
- regulator: rtmv20: Fix wrong mask for strobe-polarity-high
(bsc#1012628).
- regulator: rt5033: Fix n_voltages settings for BUCK and LDO
(bsc#1012628).
- spi: stm32h7: fix full duplex irq handler handling
(bsc#1012628).
- ASoC: tlv320aic31xx: fix reversed bclk/wclk master bits
(bsc#1012628).
- regulator: mtk-dvfsrc: Fix wrong dev pointer for
devm_regulator_register (bsc#1012628).
- qed: fix possible unpaired spin_{un}lock_bh in
_qed_mcp_cmd_and_union() (bsc#1012628).
- ASoC: rt5682: Fix the issue of garbled recording after
powerd_dbus_suspend (bsc#1012628).
- net: Fix zero-copy head len calculation (bsc#1012628).
- ASoC: ti: j721e-evm: Fix unbalanced domain activity tracking
during startup (bsc#1012628).
- ASoC: ti: j721e-evm: Check for not initialized parent_clk_id
(bsc#1012628).
- efi/mokvar: Reserve the table only if it is in boot services
data (bsc#1012628).
- nvme: fix nvme_setup_command metadata trace event (bsc#1012628).
- drm/amd/display: Fix comparison error in dcn21 DML
(bsc#1012628).
- drm/amd/display: Fix max vstartup calculation for modes with
borders (bsc#1012628).
- io_uring: never attempt iopoll reissue from release path
(bsc#1012628).
- io_uring: explicitly catch any illegal async queue attempt
(bsc#1012628).
- Revert "Bluetooth: Shutdown controller after workqueues are
flushed or cancelled" (bsc#1012628).
- Revert "watchdog: iTCO_wdt: Account for rebooting on second
timeout" (bsc#1012628).
- drm/amd/display: Fix ASSR regression on embedded panels
(bsc#1012628).
- spi: mediatek: Fix fifo transfer (bsc#1012628).
- Update config files.
- commit ed76d57
-------------------------------------------------------------------
Thu Aug 5 10:56:22 CEST 2021 - jslaby@suse.cz
- rpm/kernel-binary.spec.in: avoid high suse-release requirements
Not provided in stagings.
- commit 7839440
-------------------------------------------------------------------
Thu Aug 5 08:37:33 CEST 2021 - jslaby@suse.cz
- rpm/kernel-source.rpmlintrc: ignore new include/config files
In 5.13, since 0e0345b77ac4, config files have no longer .h suffix.
Adapt the zero-length check.
Based on Martin Liska's change.
- commit b6f021b
-------------------------------------------------------------------
Wed Aug 4 21:14:06 CEST 2021 - jeffm@suse.com
- config: make CONFIG_INTEL_PMC_CORE modular (bsc#1189072).
When this option was introduced, it was a boolean. Since then it's
been changed to a tristate and can be made modular again.
- config: config: disable epaper drivers and other old graphics (bsc#1189116).
- config: disable CONFIG_GAMEPORT (bsc#1189115).
The last SoundBlaster card to use a Game Port shipped in 2001. Devices
that connect via Game Port can still be used with a USB adapter, which
doesn't use the GAMEPORT driver.
- config: enable CONFIG_BT_MSFTEXT (bsc#1189113).
- config: disable CONFIG_ATALK (bsc#1189112).
This disables support for native AppleTalk which Apple stopped
supporting in 2009. AppleTalk over IP is implemented using the netatalk
package.
- config: enable CONFIG_CMA on x86_64 (bsc#1189109).
CMA was enabled in SLE15-SP3 via jsc#SLE-17227. One difference is that
v5.10-rc1 (b7176c261cd) upstream added the ability to allocate areas for
each NUMA node, which changed some of the defaults.
The default number of areas (19) is used here.
- config: make CONFIG_INTEL_PMC_CORE modular (bsc#1189072).
When this option was introduced, it was a boolean. Since then it's
been changed to a tristate and can be made modular again.
- config: config: disable epaper drivers and other old graphics (bsc#1189116).
- config: disable CONFIG_GAMEPORT (bsc#1189115).
The last SoundBlaster card to use a Game Port shipped in 2001. Devices
that connect via Game Port can still be used with a USB adapter, which
doesn't use the GAMEPORT driver.
- config: enable CONFIG_BT_MSFTEXT (bsc#1189113).
- config: disable CONFIG_ATALK (bsc#1189112).
This disables support for native AppleTalk which Apple stopped
supporting in 2009. AppleTalk over IP is implemented using the netatalk
package.
- config: enable CONFIG_CMA on x86_64 (bsc#1189109).
CMA was enabled in SLE15-SP3 via jsc#SLE-17227. One difference is that
v5.10-rc1 (b7176c261cd) upstream added the ability to allocate areas for
each NUMA node, which changed some of the defaults.
The default number of areas (19) is used here.
- commit c779dde
-------------------------------------------------------------------
Wed Aug 4 21:14:05 CEST 2021 - jeffm@suse.com
- config: enable CONFIG_EFI_RCI2_TABLE (bsc#1189108).
- config: disable X86_X32 (bsc#1189069).
This feature requires a userspace rebuild to use the X32 ABI and that
hasn't happened. If that support is eventually added, we can re-enable.
- config: enable CONFIG_EFI_RCI2_TABLE (bsc#1189108).
- config: disable X86_X32 (bsc#1189069).
This feature requires a userspace rebuild to use the X32 ABI and that
hasn't happened. If that support is eventually added, we can re-enable.
- commit 61cb111
-------------------------------------------------------------------
Wed Aug 4 14:51:57 CEST 2021 - iivanov@suse.de
- net: usb: lan78xx: don't modify phy_device state concurrently (bsc#1188270).
- commit 79524ad
-------------------------------------------------------------------
Wed Aug 4 13:10:33 CEST 2021 - jslaby@suse.cz
- Linux 5.13.8 (bsc#1012628).
- octeontx2-af: Remove unnecessary devm_kfree (bsc#1012628).
- perf pmu: Fix alias matching (bsc#1012628).
- can: j1939: j1939_session_deactivate(): clarify lifetime of
session object (bsc#1012628).
- i40e: Add additional info to PHY type error (bsc#1012628).
- io_uring: fix race in unified task_work running (bsc#1012628).
- Revert "perf map: Fix dso->nsinfo refcounting" (bsc#1012628).
- powerpc/pseries: Fix regression while building external modules
(bsc#1012628).
- powerpc/vdso: Don't use r30 to avoid breaking Go lang
(bsc#1012628).
- SMB3: fix readpage for large swap cache (bsc#1012628).
- bpf: Fix pointer arithmetic mask tightening under state pruning
(bsc#1012628).
- bpf: verifier: Allocate idmap scratch in verifier env
(bsc#1012628).
- bpf: Remove superfluous aux sanitation on subprog rejection
(bsc#1012628).
- bpf: Fix leakage due to insufficient speculative store bypass
mitigation (bsc#1012628).
- bpf: Introduce BPF nospec instruction for mitigating Spectre v4
(bsc#1012628).
- can: hi311x: fix a signedness bug in hi3110_cmd() (bsc#1012628).
- sis900: Fix missing pci_disable_device() in probe and remove
(bsc#1012628).
- tulip: windbond-840: Fix missing pci_disable_device() in probe
and remove (bsc#1012628).
- sctp: fix return value check in __sctp_rcv_asconf_lookup
(bsc#1012628).
- block: delay freeing the gendisk (bsc#1012628).
- net/mlx5: Fix mlx5_vport_tbl_attr chain from u16 to u32
(bsc#1012628).
- net/mlx5e: Fix nullptr in mlx5e_hairpin_get_mdev()
(bsc#1012628).
- net/mlx5: Unload device upon firmware fatal error (bsc#1012628).
- net/mlx5e: Fix page allocation failure for ptp-RQ over SF
(bsc#1012628).
- net/mlx5e: Fix page allocation failure for trap-RQ over SF
(bsc#1012628).
- net/mlx5e: Add NETIF_F_HW_TC to hw_features when HTB offload
is available (bsc#1012628).
- net/mlx5e: RX, Avoid possible data corruption when relaxed
ordering and LRO combined (bsc#1012628).
- net/mlx5: E-Switch, handle devcom events only for ports on
the same device (bsc#1012628).
- net/mlx5: E-Switch, Set destination vport vhca id only when
merged eswitch is supported (bsc#1012628).
- net/mlx5e: Disable Rx ntuple offload for uplink representor
(bsc#1012628).
- net/mlx5: Fix flow table chaining (bsc#1012628).
- bpf, sockmap: Zap ingress queues after stopping strparser
(bsc#1012628).
- KVM: selftests: Fix missing break in dirty_log_perf_test arg
parsing (bsc#1012628).
- drm/msm/dp: Initialize the INTF_CONFIG register (bsc#1012628).
- drm/msm/dp: use dp_ctrl_off_link_stream during PHY compliance
test run (bsc#1012628).
- drm/msm/dpu: Fix sm8250_mdp register length (bsc#1012628).
- net: llc: fix skb_over_panic (bsc#1012628).
- KVM: x86: Check the right feature bit for MSR_KVM_ASYNC_PF_ACK
access (bsc#1012628).
- drm/i915/bios: Fix ports mask (bsc#1012628).
- drm/panel: panel-simple: Fix proper bpc for ytc700tlag_05_201c
(bsc#1012628).
- mlx4: Fix missing error code in mlx4_load_one() (bsc#1012628).
- net: phy: broadcom: re-add check for PHY_BRCM_DIS_TXCRXC_NOENRGY
on the BCM54811 PHY (bsc#1012628).
- octeontx2-pf: Dont enable backpressure on LBK links
(bsc#1012628).
- octeontx2-pf: Fix interface down flag on error (bsc#1012628).
- tipc: do not write skb_shinfo frags when doing decrytion
(bsc#1012628).
- can: mcp251xfd: mcp251xfd_irq(): stop timestamping worker in
case error in IRQ (bsc#1012628).
- ionic: count csum_none when offload enabled (bsc#1012628).
- ionic: fix up dim accounting for tx and rx (bsc#1012628).
- ionic: remove intr coalesce update from napi (bsc#1012628).
- ionic: catch no ptp support earlier (bsc#1012628).
- ionic: make all rx_mode work threadsafe (bsc#1012628).
- net: qrtr: fix memory leaks (bsc#1012628).
- loop: reintroduce global lock for safe loop_validate_file()
traversal (bsc#1012628).
- net: dsa: mv88e6xxx: silently accept the deletion of VID 0 too
(bsc#1012628).
- net: Set true network header for ECN decapsulation
(bsc#1012628).
- tipc: fix sleeping in tipc accept routine (bsc#1012628).
- tipc: fix implicit-connect for SYN+ (bsc#1012628).
- i40e: Fix log TC creation failure when max num of queues is
exceeded (bsc#1012628).
- i40e: Fix queue-to-TC mapping on Tx (bsc#1012628).
- i40e: Fix firmware LLDP agent related warning (bsc#1012628).
- i40e: Fix logic of disabling queues (bsc#1012628).
- netfilter: nft_nat: allow to specify layer 4 protocol NAT only
(bsc#1012628).
- netfilter: conntrack: adjust stop timestamp to real expiry value
(bsc#1012628).
- mac80211: fix enabling 4-address mode on a sta vif after assoc
(bsc#1012628).
- bpf: Fix OOB read when printing XDP link fdinfo (bsc#1012628).
- netfilter: nf_tables: fix audit memory leak in nf_tables_commit
(bsc#1012628).
- RDMA/rxe: Fix memory leak in error path code (bsc#1012628).
- platform/x86: amd-pmc: Fix missing unlock on error in
amd_pmc_send_cmd() (bsc#1012628).
- platform/x86: amd-pmc: Fix SMU firmware reporting mechanism
(bsc#1012628).
- platform/x86: amd-pmc: Fix command completion code
(bsc#1012628).
- RDMA/bnxt_re: Fix stats counters (bsc#1012628).
- cfg80211: Fix possible memory leak in function
cfg80211_bss_update (bsc#1012628).
- io_uring: fix poll requests leaking second poll entries
(bsc#1012628).
- io_uring: don't block level reissue off completion path
(bsc#1012628).
- io_uring: fix io_prep_async_link locking (bsc#1012628).
- nfc: nfcsim: fix use after free during module unload
(bsc#1012628).
- blk-iocost: fix operation ordering in iocg_wake_fn()
(bsc#1012628).
- drm/amdgpu: Fix resource leak on probe error path (bsc#1012628).
- drm/amdgpu: Avoid printing of stack contents on firmware load
error (bsc#1012628).
- drm/amdgpu: Check pmops for desired suspend state (bsc#1012628).
- drm/amd/display: ensure dentist display clock update finished
in DCN20 (bsc#1012628).
- NIU: fix incorrect error return, missed in previous revert
(bsc#1012628).
- net: stmmac: add est_irq_status callback function for GMAC
4.10 and 5.10 (bsc#1012628).
- HID: wacom: Re-enable touch by default for Cintiq 24HDT /
27QHDT (bsc#1012628).
- alpha: register early reserved memory in memblock (bsc#1012628).
- can: esd_usb2: fix memory leak (bsc#1012628).
- can: ems_usb: fix memory leak (bsc#1012628).
- can: usb_8dev: fix memory leak (bsc#1012628).
- can: mcba_usb_start(): add missing urb->transfer_dma
initialization (bsc#1012628).
- can: peak_usb: pcan_usb_handle_bus_evt(): fix reading
rxerr/txerr values (bsc#1012628).
- can: raw: raw_setsockopt(): fix raw_rcv panic for sock UAF
(bsc#1012628).
- can: j1939: j1939_xtp_rx_dat_one(): fix rxtimer value between
consecutive TP.DT to 750ms (bsc#1012628).
- mm/memcg: fix NULL pointer dereference in memcg_slab_free_hook()
(bsc#1012628).
- mm: memcontrol: fix blocking rstat function called from atomic
cgroup1 thresholding code (bsc#1012628).
- ocfs2: issue zeroout to EOF blocks (bsc#1012628).
- ocfs2: fix zero out valid data (bsc#1012628).
- KVM: add missing compat KVM_CLEAR_DIRTY_LOG (bsc#1012628).
- x86/kvm: fix vcpu-id indexed array sizes (bsc#1012628).
- ACPI: DPTF: Fix reading of attributes (bsc#1012628).
- Revert "ACPI: resources: Add checks for ACPI IRQ override"
(bsc#1012628).
- btrfs: mark compressed range uptodate only if all bio succeed
(bsc#1012628).
- btrfs: fix rw device counting in __btrfs_free_extra_devids
(bsc#1012628).
- btrfs: fix lost inode on log replay after mix of fsync, rename
and inode eviction (bsc#1012628).
- fs/ext2: Avoid page_address on pages returned by ext2_get_page
(bsc#1012628).
- pipe: make pipe writes always wake up readers (bsc#1012628).
- selftest: fix build error in
tools/testing/selftests/vm/userfaultfd.c (bsc#1012628).
- commit 14162fe
-------------------------------------------------------------------
Tue Aug 3 22:50:55 CEST 2021 - jeffm@jeffm.io
- config: re-modularize CRYPTO_{CTS,ECB,HMAC,SHA512,XTS} (bsc#1189034).
Now that FS_ENCRYPTION_ALGS is modular, the crypto modules it utilizes
can be modular as well. CRYPTO_AES and CRYPTO_CBC are used by
ENCRYPTED_KEYS and must remain built-in.
- commit 263a9fe
-------------------------------------------------------------------
Tue Aug 3 22:42:15 CEST 2021 - jeffm@jeffm.io
- config: re-modularize CRYPTO_{GCM,GHASH,GF128MUL} (bsc#1189033).
These modules were selected as built-in due to Kconfig changes between
4.14-rc3 and 5.8-rc1 selecting them if BIG_KEYS was enabled. They can
be built as modules again now.
- commit 4426182
-------------------------------------------------------------------
Tue Aug 3 22:37:27 CEST 2021 - jeffm@jeffm.io
- config: re-modularize ext4 (bsc#1189032).
ext2/3/4 hasn't been a default file system for SLE or openSUSE in many
years. There is little reason to continue to keep it as a built-in.
- commit 5b1d047
-------------------------------------------------------------------
Tue Aug 3 10:56:12 CEST 2021 - mbrugger@suse.com
- arm64: Update config files. (bsc#1188702)
- arm63: Update config files. (bsc#1188702)
- commit a293b6e
-------------------------------------------------------------------
Mon Aug 2 07:52:51 CEST 2021 - mkubecek@suse.cz
- Update to 5.14-rc4
- refresh configs (cosmetic only)
- commit 025a97d
-------------------------------------------------------------------
Sun Aug 1 10:22:47 CEST 2021 - tiwai@suse.de
- scsi: sr: Return correct event when media event code is 3
(bsc#1188767 bsc#1188728).
- commit 5794a07
-------------------------------------------------------------------
Sat Jul 31 13:11:10 CEST 2021 - jslaby@suse.cz
- Linux 5.13.7 (bsc#1012628).
- ipv6: ip6_finish_output2: set sk into newly allocated nskb
(bsc#1012628).
- ARM: dts: versatile: Fix up interrupt controller node names
(bsc#1012628).
- iomap: remove the length variable in iomap_seek_hole
(bsc#1012628).
- iomap: remove the length variable in iomap_seek_data
(bsc#1012628).
- cifs: fix the out of range assignment to bit fields in
parse_server_interfaces (bsc#1012628).
- firmware: arm_scmi: Fix range check for the maximum number of
pending messages (bsc#1012628).
- firmware: arm_scmi: Fix possible scmi_linux_errmap buffer
overflow (bsc#1012628).
- hfs: add lock nesting notation to hfs_find_init (bsc#1012628).
- hfs: fix high memory mapping in hfs_bnode_read (bsc#1012628).
- hfs: add missing clean-up in hfs_fill_super (bsc#1012628).
- drm/ttm: add a check against null pointer dereference
(bsc#1012628).
- nvme-pci: fix multiple races in nvme_setup_io_queues
(bsc#1012628).
- ipv6: allocate enough headroom in ip6_finish_output2()
(bsc#1012628).
- rcu-tasks: Don't delete holdouts within
trc_wait_for_one_reader() (bsc#1012628).
- rcu-tasks: Don't delete holdouts within trc_inspect_reader()
(bsc#1012628).
- sctp: move 198 addresses from unusable to private scope
(bsc#1012628).
- net: annotate data race around sk_ll_usec (bsc#1012628).
- net/802/garp: fix memleak in garp_request_join() (bsc#1012628).
- net/802/mrp: fix memleak in mrp_request_join() (bsc#1012628).
- cgroup1: fix leaked context root causing sporadic NULL deref
in LTP (bsc#1012628).
- workqueue: fix UAF in pwq_unbound_release_workfn()
(bsc#1012628).
- af_unix: fix garbage collect vs MSG_PEEK (bsc#1012628).
- commit b1bb2c4
-------------------------------------------------------------------
Thu Jul 29 06:18:38 CEST 2021 - jslaby@suse.cz
- Update config files.
Just refresh.
- commit 2d7b44d
-------------------------------------------------------------------
Thu Jul 29 06:01:22 CEST 2021 - jslaby@suse.cz
- Linux 5.13.6 (bsc#1012628).
- igc: Fix use-after-free error during reset (bsc#1012628).
- igb: Fix use-after-free error during reset (bsc#1012628).
- igc: change default return of igc_read_phy_reg() (bsc#1012628).
- ixgbe: Fix an error handling path in 'ixgbe_probe()'
(bsc#1012628).
- igc: Fix an error handling path in 'igc_probe()' (bsc#1012628).
- igb: Fix an error handling path in 'igb_probe()' (bsc#1012628).
- fm10k: Fix an error handling path in 'fm10k_probe()'
(bsc#1012628).
- e1000e: Fix an error handling path in 'e1000_probe()'
(bsc#1012628).
- iavf: Fix an error handling path in 'iavf_probe()'
(bsc#1012628).
- igb: Check if num of q_vectors is smaller than max before
array access (bsc#1012628).
- igb: Fix position of assignment to *ring (bsc#1012628).
- net: stmmac: Terminate FPE workqueue in suspend (bsc#1012628).
- gve: Fix an error handling path in 'gve_probe()' (bsc#1012628).
- bpf, samples: Fix xdpsock with '-M' parameter missing unload
process (bsc#1012628).
- bonding: fix suspicious RCU usage in bond_ipsec_add_sa()
(bsc#1012628).
- bonding: fix null dereference in bond_ipsec_add_sa()
(bsc#1012628).
- ixgbevf: use xso.real_dev instead of xso.dev in callback
functions of struct xfrmdev_ops (bsc#1012628).
- bonding: fix suspicious RCU usage in bond_ipsec_del_sa()
(bsc#1012628).
- bonding: disallow setting nested bonding + ipsec offload
(bsc#1012628).
- bonding: Add struct bond_ipesc to manage SA (bsc#1012628).
- bonding: fix suspicious RCU usage in bond_ipsec_offload_ok()
(bsc#1012628).
- bonding: fix incorrect return value of bond_ipsec_offload_ok()
(bsc#1012628).
- ipv6: fix 'disable_policy' for fwd packets (bsc#1012628).
- stmmac: platform: Fix signedness bug in stmmac_probe_config_dt()
(bsc#1012628).
- selftests: icmp_redirect: remove from checking for IPv6 route
get (bsc#1012628).
- selftests: icmp_redirect: IPv6 PMTU info should be cleared
after redirect (bsc#1012628).
- pwm: sprd: Ensure configuring period and duty_cycle isn't
wrongly skipped (bsc#1012628).
- cxgb4: fix IRQ free race during driver unload (bsc#1012628).
- drm/vmwgfx: Fix a bad merge in otable batch takedown
(bsc#1012628).
- mptcp: fix warning in __skb_flow_dissect() when do syn cookie
for subflow join (bsc#1012628).
- mptcp: remove redundant req destruct in subflow_check_req()
(bsc#1012628).
- mptcp: fix syncookie process if mptcp can not_accept new subflow
(bsc#1012628).
- mptcp: add sk parameter for mptcp_get_options (bsc#1012628).
- mptcp: avoid processing packet if a subflow reset (bsc#1012628).
- selftests: mptcp: fix case multiple subflows limited by server
(bsc#1012628).
- mptcp: use fast lock for subflows when possible (bsc#1012628).
- mptcp: refine mptcp_cleanup_rbuf (bsc#1012628).
- mptcp: properly account bulk freed memory (bsc#1012628).
- net: phy: marvell10g: fix differentiation of 88X3310 from
88X3340 (bsc#1012628).
- nvme-pci: do not call nvme_dev_remove_admin from nvme_remove
(bsc#1012628).
- net: ocelot: fix switchdev objects synced for wrong netdev
with LAG offload (bsc#1012628).
- sfc: fix lack of XDP TX queues - error XDP TX failed (-22)
(bsc#1012628).
- KVM: x86/pmu: Clear anythread deprecated bit when 0xa leaf is
unsupported on the SVM (bsc#1012628).
- KVM: SVM: Return -EFAULT if copy_to_user() for SEV mig packet
header fails (bsc#1012628).
- KVM: SVM: Fix sev_pin_memory() error checks in SEV migration
utilities (bsc#1012628).
- arm64: mte: fix restoration of GCR_EL1 from suspend
(bsc#1012628).
- ARM: dts: aspeed: Update e3c246d4i vuart properties
(bsc#1012628).
- firmware: arm_scmi: Ensure drivers provide a probe function
(bsc#1012628).
- perf inject: Fix dso->nsinfo refcounting (bsc#1012628).
- perf map: Fix dso->nsinfo refcounting (bsc#1012628).
- perf probe: Fix dso->nsinfo refcounting (bsc#1012628).
- perf env: Fix sibling_dies memory leak (bsc#1012628).
- perf test session_topology: Delete session->evlist
(bsc#1012628).
- perf test event_update: Fix memory leak of evlist (bsc#1012628).
- perf test event_update: Fix memory leak of unit (bsc#1012628).
- perf dso: Fix memory leak in dso__new_map() (bsc#1012628).
- perf test maps__merge_in: Fix memory leak of maps (bsc#1012628).
- perf env: Fix memory leak of cpu_pmu_caps (bsc#1012628).
- perf report: Free generated help strings for sort option
(bsc#1012628).
- perf script: Release zstd data (bsc#1012628).
- perf script: Fix memory 'threads' and 'cpus' leaks on exit
(bsc#1012628).
- perf lzma: Close lzma stream on exit (bsc#1012628).
- perf probe-file: Delete namelist in del_events() on the error
path (bsc#1012628).
- perf data: Close all files in close_dir() (bsc#1012628).
- perf sched: Fix record failure when CONFIG_SCHEDSTATS is not
set (bsc#1012628).
- Kbuild: lto: fix module versionings mismatch in GNU make 3.X
(bsc#1012628).
- ASoC: wm_adsp: Correct wm_coeff_tlv_get handling (bsc#1012628).
- spi: stm32: fixes pm_runtime calls in probe/remove
(bsc#1012628).
- regulator: hi6421: Use correct variable type for regmap api
val argument (bsc#1012628).
- regulator: hi6421: Fix getting wrong drvdata (bsc#1012628).
- spi: mediatek: fix fifo rx mode (bsc#1012628).
- ASoC: rt5631: Fix regcache sync errors on resume (bsc#1012628).
- bpf, test: fix NULL pointer dereference on invalid
expected_attach_type (bsc#1012628).
- bpf: Fix tail_call_reachable rejection for interpreter when
jit failed (bsc#1012628).
- xdp, net: Fix use-after-free in bpf_xdp_link_release
(bsc#1012628).
- ASoC: SOF: Intel: Update ADL descriptor to use ACPI power states
(bsc#1012628).
- timers: Fix get_next_timer_interrupt() with no timers pending
(bsc#1012628).
- drm/vc4: hdmi: Drop devm interrupt handler for CEC interrupts
(bsc#1012628).
- net: dsa: mv88e6xxx: NET_DSA_MV88E6XXX_PTP should depend on
NET_DSA_MV88E6XXX (bsc#1012628).
- liquidio: Fix unintentional sign extension issue on left shift
of u16 (bsc#1012628).
- s390/bpf: Perform r1 range checking before accessing
jit->seen_reg[r1] (bsc#1012628).
- bpf, sockmap: Fix potential memory leak on unlikely error case
(bsc#1012628).
- bpf, sockmap, tcp: sk_prot needs inuse_idx set for proc stats
(bsc#1012628).
- bpf, sockmap, udp: sk_prot needs inuse_idx set for proc stats
(bsc#1012628).
- bpftool: Check malloc return value in mount_bpffs_for_pin
(bsc#1012628).
- net: fix uninit-value in caif_seqpkt_sendmsg (bsc#1012628).
- spi: spi-cadence-quadspi: Fix division by zero warning
(bsc#1012628).
- usb: hso: fix error handling code of hso_create_net_device
(bsc#1012628).
- dma-mapping: handle vmalloc addresses in
dma_common_{mmap,get_sgtable} (bsc#1012628).
- ASoC: soc-pcm: add a flag to reverse the stop sequence
(bsc#1012628).
- efi/tpm: Differentiate missing and invalid final event log table
(bsc#1012628).
- net: decnet: Fix sleeping inside in af_decnet (bsc#1012628).
- KVM: PPC: Book3S: Fix CONFIG_TRANSACTIONAL_MEM=n crash
(bsc#1012628).
- KVM: PPC: Fix kvm_arch_vcpu_ioctl vcpu_load leak (bsc#1012628).
- net: sched: fix memory leak in tcindex_partial_destroy_work
(bsc#1012628).
- sctp: trim optlen when it's a huge value in sctp_setsockopt
(bsc#1012628).
- netrom: Decrease sock refcount when sock timers expire
(bsc#1012628).
- scsi: iscsi: Fix iface sysfs attr detection (bsc#1012628).
- scsi: target: Fix protect handling in WRITE SAME(32)
(bsc#1012628).
- spi: cadence: Correct initialisation of runtime PM again
(bsc#1012628).
- ACPI: Kconfig: Fix table override from built-in initrd
(bsc#1012628).
- efi/dev-path-parser: Switch to use for_each_acpi_dev_match()
(bsc#1012628).
- ACPI: utils: Fix reference counting in for_each_acpi_dev_match()
(bsc#1012628).
- bnxt_en: don't disable an already disabled PCI device
(bsc#1012628).
- bnxt_en: Refresh RoCE capabilities in bnxt_ulp_probe()
(bsc#1012628).
- bnxt_en: Add missing check for BNXT_STATE_ABORT_ERR in
bnxt_fw_rset_task() (bsc#1012628).
- bnxt_en: fix error path of FW reset (bsc#1012628).
- bnxt_en: Validate vlan protocol ID on RX packets (bsc#1012628).
- bnxt_en: Check abort error state in bnxt_half_open_nic()
(bsc#1012628).
- net: hisilicon: rename CACHE_LINE_MASK to avoid redefinition
(bsc#1012628).
- net/tcp_fastopen: fix data races around tfo_active_disable_stamp
(bsc#1012628).
- ALSA: hda: intel-dsp-cfg: add missing ElkhartLake PCI ID
(bsc#1012628).
- net: hns3: fix possible mismatches resp of mailbox
(bsc#1012628).
- net: hns3: fix rx VLAN offload state inconsistent issue
(bsc#1012628).
- spi: spi-bcm2835: Fix deadlock (bsc#1012628).
- io_uring: fix memleak in io_init_wq_offload() (bsc#1012628).
- net/sched: act_skbmod: Skip non-Ethernet packets (bsc#1012628).
- ipv6: fix another slab-out-of-bounds in fib6_nh_flush_exceptions
(bsc#1012628).
- ceph: don't WARN if we're still opening a session to an MDS
(bsc#1012628).
- i2c: mpc: Poll for MCF (bsc#1012628).
- scsi: target: Fix NULL dereference on XCOPY completion
(bsc#1012628).
- drm/ttm: Force re-init if ttm_global_init() fails (bsc#1012628).
- nvme-pci: don't WARN_ON in nvme_reset_work if ctrl.state is
not RESETTING (bsc#1012628).
- Revert "USB: quirks: ignore remote wake-up on Fibocom L850-GL
LTE modem" (bsc#1012628).
- afs: Fix tracepoint string placement with built-in AFS
(bsc#1012628).
- afs: check function return (bsc#1012628).
- afs: Fix setting of writeback_index (bsc#1012628).
- r8169: Avoid duplicate sysfs entry creation error (bsc#1012628).
- nvme: set the PRACT bit when using Write Zeroes with T10 PI
(bsc#1012628).
- sctp: update active_key for asoc when old key is being replaced
(bsc#1012628).
- udp: check encap socket in __udp_lib_err (bsc#1012628).
- ibmvnic: Remove the proper scrq flush (bsc#1012628).
- riscv: Fix 32-bit RISC-V boot failure (bsc#1012628).
- tcp: disable TFO blackhole logic by default (bsc#1012628).
- net: dsa: sja1105: make VID 4095 a bridge VLAN too
(bsc#1012628).
- RISC-V: load initrd wherever it fits into memory (bsc#1012628).
- net: sched: cls_api: Fix the the wrong parameter (bsc#1012628).
- drm/panel: raspberrypi-touchscreen: Prevent double-free
(bsc#1012628).
- dpaa2-switch: seed the buffer pool after allocating the swp
(bsc#1012628).
- cifs: only write 64kb at a time when fallocating a small region
of a file (bsc#1012628).
- cifs: fix fallocate when trying to allocate a hole
(bsc#1012628).
- ACPI: fix NULL pointer dereference (bsc#1012628).
- io_uring: Fix race condition when sqp thread goes to sleep
(bsc#1012628).
- mmc: core: Don't allocate IDA for OF aliases (bsc#1012628).
- s390/ftrace: fix ftrace_update_ftrace_func implementation
(bsc#1012628).
- s390/boot: fix use of expolines in the DMA code (bsc#1012628).
- ALSA: usb-audio: Add missing proc text entry for BESPOKEN type
(bsc#1012628).
- ALSA: usb-audio: Add registration quirk for JBL Quantum headsets
(bsc#1012628).
- ALSA: sb: Fix potential ABBA deadlock in CSP driver
(bsc#1012628).
- ALSA: hda/realtek: Fix pop noise and 2 Front Mic issues on a
machine (bsc#1012628).
- ALSA: hdmi: Expose all pins on MSI MS-7C94 board (bsc#1012628).
- ALSA: pcm: Call substream ack() method upon compat mmap commit
(bsc#1012628).
- ALSA: pcm: Fix mmap capability check (bsc#1012628).
- usb: xhci: avoid renesas_usb_fw.mem when it's unusable
(bsc#1012628).
- xhci: Fix lost USB 2 remote wake (bsc#1012628).
- usb: ehci: Prevent missed ehci interrupts with edge-triggered
MSI (bsc#1012628).
- KVM: PPC: Book3S HV Nested: Sanitise H_ENTER_NESTED TM state
(bsc#1012628).
- usb: hub: Disable USB 3 device initiated lpm if exit latency
is too high (bsc#1012628).
- usb: hub: Fix link power management max exit latency (MEL)
calculations (bsc#1012628).
- USB: usb-storage: Add LaCie Rugged USB3-FW to IGNORE_UAS
(bsc#1012628).
- usb: max-3421: Prevent corruption of freed memory (bsc#1012628).
- usb: renesas_usbhs: Fix superfluous irqs happen after
usb_pkt_pop() (bsc#1012628).
- USB: serial: option: add support for u-blox LARA-R6 family
(bsc#1012628).
- USB: serial: cp210x: fix comments for GE CS1000 (bsc#1012628).
- USB: serial: cp210x: add ID for CEL EM3588 USB ZigBee stick
(bsc#1012628).
- usb: gadget: Fix Unbalanced pm_runtime_enable in
tegra_xudc_probe (bsc#1012628).
- usb: dwc2: Skip clock gating on Samsung SoCs (bsc#1012628).
- usb: dwc2: gadget: Fix GOUTNAK flow for Slave mode
(bsc#1012628).
- usb: dwc2: gadget: Fix sending zero length packet in DDMA mode
(bsc#1012628).
- usb: typec: tipd: Don't block probing of consumer of "connector"
nodes (bsc#1012628).
- usb: typec: stusb160x: register role switch before interrupt
registration (bsc#1012628).
- usb: typec: stusb160x: Don't block probing of consumer of
"connector" nodes (bsc#1012628).
- firmware/efi: Tell memblock about EFI iomem reservations
(bsc#1012628).
- tracepoints: Update static_call before tp_funcs when adding
a tracepoint (bsc#1012628).
- tracing/histogram: Rename "cpu" to "common_cpu" (bsc#1012628).
- tracing: Fix bug in rb_per_cpu_empty() that might cause deadloop
(bsc#1012628).
- tracing: Synthetic event field_pos is an index not a boolean
(bsc#1012628).
- btrfs: check for missing device in btrfs_trim_fs (bsc#1012628).
- btrfs: fix unpersisted i_size on fsync after expanding truncate
(bsc#1012628).
- btrfs: fix lock inversion problem when doing qgroup extent
tracing (bsc#1012628).
- media: ngene: Fix out-of-bounds bug in
ngene_command_config_free_buf() (bsc#1012628).
- ixgbe: Fix packet corruption due to missing DMA sync
(bsc#1012628).
- driver core: auxiliary bus: Fix memory leak when
driver_register() fail (bsc#1012628).
- bus: mhi: pci_generic: Apply no-op for wake using sideband
wake boolean (bsc#1012628).
- bus: mhi: core: Validate channel ID when processing command
completions (bsc#1012628).
- bus: mhi: pci_generic: Fix inbound IPCR channel (bsc#1012628).
- posix-cpu-timers: Fix rearm racing against process tick
(bsc#1012628).
- selftest: use mmap instead of posix_memalign to allocate memory
(bsc#1012628).
- io_uring: explicitly count entries for poll reqs (bsc#1012628).
- io_uring: remove double poll entry on arm failure (bsc#1012628).
- io_uring: fix early fdput() of file (bsc#1012628).
- userfaultfd: do not untag user pointers (bsc#1012628).
- kfence: move the size check to the beginning of __kfence_alloc()
(bsc#1012628).
- kfence: skip all GFP_ZONEMASK allocations (bsc#1012628).
- mm: call flush_dcache_page() in memcpy_to_page() and
memzero_page() (bsc#1012628).
- mm: page_alloc: fix page_poison=1 / INIT_ON_ALLOC_DEFAULT_ON
interaction (bsc#1012628).
- memblock: make for_each_mem_range() traverse MEMBLOCK_HOTPLUG
regions (bsc#1012628).
- mm: fix the deadlock in finish_fault() (bsc#1012628).
- hugetlbfs: fix mount mode command line processing (bsc#1012628).
- rbd: don't hold lock_rwsem while running_list is being drained
(bsc#1012628).
- rbd: always kick acquire on "acquired" and "released"
notifications (bsc#1012628).
- misc: eeprom: at24: Always append device id even if label
property is set (bsc#1012628).
- nds32: fix up stack guard gap (bsc#1012628).
- driver core: Prevent warning when removing a device link from
unregistered consumer (bsc#1012628).
- drm: Return -ENOTTY for non-drm ioctls (bsc#1012628).
- drm/amdgpu: update gc golden setting for dimgrey_cavefish
(bsc#1012628).
- drm/amdgpu: update the golden setting for vangogh (bsc#1012628).
- drm/amdgpu: update golden setting for sienna_cichlid
(bsc#1012628).
- spi: spi-cadence-quadspi: Revert "Fix division by zero warning"
(bsc#1012628).
- bonding: fix build issue (bsc#1012628).
- mptcp: fix 'masking a bool' warning (bsc#1012628).
- skbuff: Release nfct refcount on napi stolen or re-used skbs
(bsc#1012628).
- ARM: multi_v7_defconfig: Make NOP_USB_XCEIV driver built-in
(bsc#1012628).
- Documentation: Fix intiramfs script name (bsc#1012628).
- arm64: entry: fix KCOV suppression (bsc#1012628).
- perf inject: Close inject.output on exit (bsc#1012628).
- drm/i915/gvt: Clear d3_entered on elsp cmd submission
(bsc#1012628).
- spi: spi-cadence-quadspi: Fix division by zero warning - try2
(bsc#1012628).
- sfc: ensure correct number of XDP queues (bsc#1012628).
- skbuff: Fix build with SKB extensions disabled (bsc#1012628).
- commit b45358d
-------------------------------------------------------------------
Wed Jul 28 17:21:54 CEST 2021 - mkubecek@suse.cz
- use 3.0 SPDX identifier in rpm License tags
As requested by Maintenance, change rpm License tags from "GPL-2.0"
(SPDX 2.0) to "GPL-2.0-only" (SPDX 3.0) so that their scripts do not have
to adjust the tags with each maintenance update submission.
- commit f888e0b
-------------------------------------------------------------------
Wed Jul 28 11:51:15 CEST 2021 - msuchanek@suse.de
- KVM: PPC: Book3S: Fix H_RTAS rets buffer overflow (bsc#1188838
CVE-2021-37576).
- commit b53c0bd
-------------------------------------------------------------------
Mon Jul 26 00:43:06 CEST 2021 - mkubecek@suse.cz
- Update to 5.14-rc3
- eliminated 1 patch:
- patches.suse/seq_file-disallow-extremely-large-seq-buffer-allocat.patch
- update configs
- SND_SOC_SSM2518=n (x86 and riscv64)
- drop SND_SOC_ZX_AUD96P22
- commit ee7a475
-------------------------------------------------------------------
Sun Jul 25 19:34:29 CEST 2021 - jslaby@suse.cz
- Linux 5.13.5 (bsc#1012628).
- mt76: mt7921: continue to probe driver when fw already
downloaded (bsc#1012628).
- udp: properly flush normal packet at GRO time (bsc#1012628).
- udp: annotate data races around unix_sk(sk)->gso_size
(bsc#1012628).
- firmware: arm_scmi: Avoid padding in sensor message structure
(bsc#1012628).
- drm/panel: nt35510: Do not fail if DSI read fails (bsc#1012628).
- perf test bpf: Free obj_buf (bsc#1012628).
- bpf: Track subprog poke descriptors correctly and fix
use-after-free (bsc#1012628).
- bpftool: Properly close va_list 'ap' by va_end() on error
(bsc#1012628).
- tools: bpf: Fix error in 'make -C tools/ bpf_install'
(bsc#1012628).
- tcp: call sk_wmem_schedule before sk_mem_charge in zerocopy path
(bsc#1012628).
- ipv6: tcp: drop silly ICMPv6 packet too big messages
(bsc#1012628).
- tcp: fix tcp_init_transfer() to not reset icsk_ca_initialized
(bsc#1012628).
- tcp: annotate data races around tp->mtu_info (bsc#1012628).
- tcp: consistently disable header prediction for mptcp
(bsc#1012628).
- ARM: dts: everest: Add phase corrections for eMMC (bsc#1012628).
- ARM: dts: tacoma: Add phase corrections for eMMC (bsc#1012628).
- ARM: dts: aspeed: Fix AST2600 machines line names (bsc#1012628).
- vboxsf: Add support for the atomic_open directory-inode op
(bsc#1012628).
- vboxsf: Add vboxsf_[create|release]_sf_handle() helpers
(bsc#1012628).
- vboxsf: Make vboxsf_dir_create() return the handle for the
created file (bsc#1012628).
- vboxsf: Honor excl flag to the dir-inode create op
(bsc#1012628).
- kbuild: do not suppress Kconfig prompts for silent build
(bsc#1012628).
- dma-buf/sync_file: Don't leak fences on merge failure
(bsc#1012628).
- net: fddi: fix UAF in fza_probe (bsc#1012628).
- net: dsa: properly check for the bridge_leave methods in
dsa_switch_bridge_leave() (bsc#1012628).
- net: validate lwtstate->data before returning from
skb_tunnel_info() (bsc#1012628).
- net: do not reuse skbuff allocated from skbuff_fclone_cache
in the skb cache (bsc#1012628).
- net: send SYNACK packet with accepted fwmark (bsc#1012628).
- net: ti: fix UAF in tlan_remove_one (bsc#1012628).
- net: qcom/emac: fix UAF in emac_remove (bsc#1012628).
- net: moxa: fix UAF in moxart_mac_probe (bsc#1012628).
- net: ip_tunnel: fix mtu calculation for ETHER tunnel devices
(bsc#1012628).
- net: bcmgenet: Ensure all TX/RX queues DMAs are disabled
(bsc#1012628).
- net: netdevsim: use xso.real_dev instead of xso.dev in callback
functions of struct xfrmdev_ops (bsc#1012628).
- net: marvell: always set skb_shared_info in
mvneta_swbm_add_rx_fragment (bsc#1012628).
- net: bridge: sync fdb to new unicast-filtering ports
(bsc#1012628).
- net/sched: act_ct: remove and free nf_table callbacks
(bsc#1012628).
- vmxnet3: fix cksum offload issues for tunnels with non-default
udp ports (bsc#1012628).
- netfilter: nf_tables: Fix dereference of null pointer flow
(bsc#1012628).
- net/sched: act_ct: fix err check for nf_conntrack_confirm
(bsc#1012628).
- netfilter: ctnetlink: suspicious RCU usage in
ctnetlink_dump_helpinfo (bsc#1012628).
- net: ipv6: fix return value of ip6_skb_dst_mtu (bsc#1012628).
- net: dsa: mv88e6xxx: enable SerDes PCS register dump via
ethtool -d on Topaz (bsc#1012628).
- net: dsa: mv88e6xxx: enable SerDes RX stats for Topaz
(bsc#1012628).
- net: dsa: mv88e6xxx: enable devlink ATU hash param for Topaz
(bsc#1012628).
- net: dsa: mv88e6xxx: enable .rmu_disable() on Topaz
(bsc#1012628).
- net: dsa: mv88e6xxx: use correct .stats_set_histogram() on Topaz
(bsc#1012628).
- net: dsa: mv88e6xxx: enable .port_set_policy() on Topaz
(bsc#1012628).
- net: bcmgenet: ensure EXT_ENERGY_DET_MASK is clear
(bsc#1012628).
- f2fs: Show casefolding support only when supported
(bsc#1012628).
- mm/userfaultfd: fix uffd-wp special cases for fork()
(bsc#1012628).
- mm/thp: simplify copying of huge zero page pmd when fork
(bsc#1012628).
- Revert "mm/shmem: fix shmem_swapin() race with swapoff"
(bsc#1012628).
- Revert "swap: fix do_swap_page() race with swapoff"
(bsc#1012628).
- arm64: dts: marvell: armada-37xx: move firmware node to generic
dtsi file (bsc#1012628).
- firmware: turris-mox-rwtm: add marvell,armada-3700-rwtm-firmware
compatible string (bsc#1012628).
- cifs: prevent NULL deref in cifs_compose_mount_options()
(bsc#1012628).
- s390: introduce proper type handling call_on_stack() macro
(bsc#1012628).
- s390/traps: do not test MONITOR CALL without CONFIG_BUG
(bsc#1012628).
- thermal/core/thermal_of: Stop zone device before unregistering
it (bsc#1012628).
- perf/x86/intel/uncore: Clean up error handling path of iio
mapping (bsc#1012628).
- sched/fair: Fix CFS bandwidth hrtimer expiry type (bsc#1012628).
- scsi: qedf: Add check to synchronize abort and flush
(bsc#1012628).
- scsi: libfc: Fix array index out of bound exception
(bsc#1012628).
- scsi: libsas: Add LUN number check in .slave_alloc callback
(bsc#1012628).
- scsi: aic7xxx: Fix unintentional sign extension issue on left
shift of u8 (bsc#1012628).
- rtc: max77686: Do not enforce (incorrect) interrupt trigger type
(bsc#1012628).
- arch/arm64/boot/dts/marvell: fix NAND partitioning scheme
(bsc#1012628).
- kbuild: mkcompile_h: consider timestamp if
KBUILD_BUILD_TIMESTAMP is set (bsc#1012628).
- arm64: dts: qcom: sm8150: Disable Adreno and modem by default
(bsc#1012628).
- arm64: dts: qcom: sm8250: Fix pcie2_lane unit address
(bsc#1012628).
- thermal/drivers/sprd: Add missing of_node_put for loop iteration
(bsc#1012628).
- thermal/drivers/imx_sc: Add missing of_node_put for loop
iteration (bsc#1012628).
- thermal/drivers/rcar_gen3_thermal: Do not shadow
rcar_gen3_ths_tj_1 (bsc#1012628).
- thermal/core: Correct function name
thermal_zone_device_unregister() (bsc#1012628).
- arm64: dts: imx8: conn: fix enet clock setting (bsc#1012628).
- arm64: dts: imx8mq: assign PCIe clocks (bsc#1012628).
- arm64: dts: imx8mn-beacon-som: Assign PMIC clock (bsc#1012628).
- arm64: dts: ls208xa: remove bus-num from dspi node
(bsc#1012628).
- arm64: dts: rockchip: Update RK3399 PCI host bridge window to
32-bit address memory (bsc#1012628).
- firmware: tegra: bpmp: Fix Tegra234-only builds (bsc#1012628).
- soc/tegra: fuse: Fix Tegra234-only builds (bsc#1012628).
- ARM: OMAP2+: Block suspend for am3 and am4 if PM is not
configured (bsc#1012628).
- arm64: dts: qcom: sc7180: Add wakeup delay for adau codec
(bsc#1012628).
- ARM: dts: stm32: fix stpmic node for stm32mp1 boards
(bsc#1012628).
- ARM: dts: stm32: Rename spi-flash/mx66l51235l@N to flash@N on
DHCOM SoM (bsc#1012628).
- ARM: dts: stm32: Rename eth@N to ethernet@N on DHCOM SoM
(bsc#1012628).
- ARM: dts: stm32: Drop unused linux,wakeup from touchscreen
node on DHCOM SoM (bsc#1012628).
- ARM: dts: stm32: fix the Odyssey SoM eMMC VQMMC supply
(bsc#1012628).
- ARM: dts: stm32: fix ltdc pinctrl on microdev2.0-of7
(bsc#1012628).
- ARM: dts: stm32: move stmmac axi config in ethernet node on
stm32mp15 (bsc#1012628).
- ARM: dts: stm32: fix i2c node name on stm32f746 to prevent
warnings (bsc#1012628).
- ARM: dts: rockchip: fix supply properties in io-domains nodes
(bsc#1012628).
- arm64: dts: juno: Update SCPI nodes as per the YAML schema
(bsc#1012628).
- i3c: master: svc: drop free_irq of devm_request_irq allocated
irq (bsc#1012628).
- ARM: dts: bcm283x: Fix up GPIO LED node names (bsc#1012628).
- ARM: dts: bcm283x: Fix up MMC node names (bsc#1012628).
- ARM: dts: aspeed: Everest: Fix cable card PCA chips
(bsc#1012628).
- firmware: arm_scmi: Fix the build when CONFIG_MAILBOX is not
selected (bsc#1012628).
- firmware: arm_scmi: Add SMCCC discovery dependency in Kconfig
(bsc#1012628).
- memory: tegra: Fix compilation warnings on 64bit platforms
(bsc#1012628).
- ARM: dts: stm32: fix timer nodes on STM32 MCU to prevent
warnings (bsc#1012628).
- ARM: dts: stm32: fix RCC node name on stm32f429 MCU
(bsc#1012628).
- ARM: dts: stm32: fix gpio-keys node on STM32 MCU boards
(bsc#1012628).
- ARM: dts: stm32: fix stm32mp157c-odyssey card detect pin
(bsc#1012628).
- ARM: dts: stm32: Fix touchscreen node on dhcom-pdk2
(bsc#1012628).
- ARM: dts: stm32: Remove extra size-cells on dhcom-pdk2
(bsc#1012628).
- arm64: dts: qcom: sc7180: Move rmtfs memory region
(bsc#1012628).
- arm64: dts: qcom: sm8250: fix display nodes (bsc#1012628).
- arm64: dts: qcom: sm8350: fix the node unit addresses
(bsc#1012628).
- ARM: tegra: nexus7: Correct 3v3 regulator GPIO of PM269 variant
(bsc#1012628).
- ARM: tegra: wm8903: Fix polarity of headphones-detection GPIO
in device-trees (bsc#1012628).
- arm64: dts: ti: k3-am654x/j721e/j7200-common-proc-board:
Fix MCU_RGMII1_TXC direction (bsc#1012628).
- arm64: tegra: Add PMU node for Tegra194 (bsc#1012628).
- ARM: dts: OMAP2+: Replace underscores in sub-mailbox node names
(bsc#1012628).
- ARM: dts: am335x: fix ti,no-reset-on-init flag for gpios
(bsc#1012628).
- ARM: dts: am437x-gp-evm: fix ti,no-reset-on-init flag for gpios
(bsc#1012628).
- ARM: dts: am57xx-cl-som-am57x: fix ti,no-reset-on-init flag
for gpios (bsc#1012628).
- kbuild: sink stdout from cmd for silent build (bsc#1012628).
- arm64: dts: renesas: beacon: Fix USB ref clock references
(bsc#1012628).
- arm64: dts: renesas: beacon: Fix USB extal reference
(bsc#1012628).
- rtc: mxc_v2: add missing MODULE_DEVICE_TABLE (bsc#1012628).
- ARM: dts: ux500: Fix orientation of Janice accelerometer
(bsc#1012628).
- ARM: dts: imx6dl-riotboard: configure PHY clock and set proper
EEE value (bsc#1012628).
- ARM: dts: ux500: Fix some compatible strings (bsc#1012628).
- ARM: dts: ux500: Fix orientation of accelerometer (bsc#1012628).
- ARM: dts: ux500: Rename gpio-controller node (bsc#1012628).
- ARM: dts: ux500: Fix interrupt cells (bsc#1012628).
- arm64: dts: rockchip: fix regulator-gpio states array
(bsc#1012628).
- ARM: imx: pm-imx5: Fix references to imx5_cpu_suspend_info
(bsc#1012628).
- ARM: dts: imx6: phyFLEX: Fix UART hardware flow control
(bsc#1012628).
- soc: mediatek: add missing MODULE_DEVICE_TABLE (bsc#1012628).
- soc: bcm: brcmstb: remove unused variable
'brcmstb_machine_match' (bsc#1012628).
- ARM: dts: BCM5301X: Fix pinmux subnodes names (bsc#1012628).
- ARM: dts: Hurricane 2: Fix NAND nodes names (bsc#1012628).
- ARM: dts: BCM63xx: Fix NAND nodes names (bsc#1012628).
- ARM: NSP: dts: fix NAND nodes names (bsc#1012628).
- ARM: Cygnus: dts: fix NAND nodes names (bsc#1012628).
- ARM: brcmstb: dts: fix NAND nodes names (bsc#1012628).
- ARM: dts: BCM5301X: Fix NAND nodes names (bsc#1012628).
- reset: ti-syscon: fix to_ti_syscon_reset_data macro
(bsc#1012628).
- arm64: dts: rockchip: Fix power-controller node names for rk3399
(bsc#1012628).
- arm64: dts: rockchip: Fix power-controller node names for rk3328
(bsc#1012628).
- arm64: dts: rockchip: Fix power-controller node names for px30
(bsc#1012628).
- ARM: dts: rockchip: Fix power-controller node names for rk3288
(bsc#1012628).
- ARM: dts: rockchip: Fix power-controller node names for rk3188
(bsc#1012628).
- ARM: dts: rockchip: Fix power-controller node names for rk3066a
(bsc#1012628).
- ARM: dts: rockchip: Fix IOMMU nodes properties on rk322x
(bsc#1012628).
- ARM: dts: rockchip: Fix the timer clocks order (bsc#1012628).
- arm64: dts: rockchip: fix pinctrl sleep nodename for rk3399.dtsi
(bsc#1012628).
- arm64: dts: rockchip: Use only supported PCIe link speed on
rk3399 (bsc#1012628).
- ARM: dts: rockchip: fix pinctrl sleep nodename for rk3036-kylin
and rk3288 (bsc#1012628).
- ARM: dts: rockchip: Fix thermal sensor cells o rk322x
(bsc#1012628).
- ARM: dts: gemini: add device_type on pci (bsc#1012628).
- ARM: dts: gemini: rename mdio to the right name (bsc#1012628).
- commit d9d5075
-------------------------------------------------------------------
Fri Jul 23 11:12:03 CEST 2021 - tiwai@suse.de
- r8152: Fix a deadlock by doubly PM resume (bsc#1186194).
- r8152: Fix potential PM refcount imbalance (bsc#1186194).
- commit f6cd057
-------------------------------------------------------------------
Thu Jul 22 10:52:48 CEST 2021 - jslaby@suse.cz
- Update
patches.kernel.org/5.13.4-350-seq_file-disallow-extremely-large-seq-buffer-a.patch
(bsc#1012628 CVE-2021-33909 bsc#1188062).
Add CVE.
- commit d57f3b6
-------------------------------------------------------------------
Wed Jul 21 13:19:43 CEST 2021 - mkubecek@suse.cz
- seq_file: disallow extremely large seq buffer allocations
(CVE-2021-33909 bsc#1188062).
- commit 060b3df
-------------------------------------------------------------------
Wed Jul 21 09:25:01 CEST 2021 - tiwai@suse.de
- Revert "usb: renesas-xhci: Fix handling of unknown ROM state"
(bsc#1188485, bsc#1188515).
- commit 871d0f3
-------------------------------------------------------------------
Tue Jul 20 19:57:56 CEST 2021 - jslaby@suse.cz
- Linux 5.13.4 (bsc#1012628).
- seq_file: disallow extremely large seq buffer allocations
(bsc#1012628).
- misc: alcor_pci: fix inverted branch condition (bsc#1012628).
- scsi: scsi_dh_alua: Fix signedness bug in alua_rtpg()
(bsc#1012628).
- cpufreq: CPPC: Fix potential memleak in cppc_cpufreq_cpu_init
(bsc#1012628).
- perf tools: Fix pattern matching for same substring in different
PMU type (bsc#1012628).
- MIPS: vdso: Invalid GIC access through VDSO (bsc#1012628).
- s390/irq: remove HAVE_IRQ_EXIT_ON_IRQ_STACK (bsc#1012628).
- perf script python: Fix buffer size to report iregs in perf
script (bsc#1012628).
- mips: disable branch profiling in boot/decompress.o
(bsc#1012628).
- mips: always link byteswap helpers into decompressor
(bsc#1012628).
- kprobe/static_call: Restore missing static_call_text_reserved()
(bsc#1012628).
- static_call: Fix static_call_text_reserved() vs __init
(bsc#1012628).
- jump_label: Fix jump_label_text_reserved() vs __init
(bsc#1012628).
- sched/uclamp: Ignore max aggregation if rq is idle
(bsc#1012628).
- scsi: be2iscsi: Fix an error handling path in
beiscsi_dev_probe() (bsc#1012628).
- arm64: dts: rockchip: Re-add regulator-always-on for vcc_sdio
for rk3399-roc-pc (bsc#1012628).
- arm64: dts: rockchip: Re-add regulator-boot-on,
regulator-always-on for vdd_gpu on rk3399-roc-pc (bsc#1012628).
- firmware: turris-mox-rwtm: show message about HWRNG registration
(bsc#1012628).
- firmware: turris-mox-rwtm: fail probing when firmware does
not support hwrng (bsc#1012628).
- firmware: turris-mox-rwtm: report failures better (bsc#1012628).
- firmware: turris-mox-rwtm: fix reply status decoding function
(bsc#1012628).
- kbuild: remove trailing slashes from $(KBUILD_EXTMOD)
(bsc#1012628).
- thermal/drivers/rcar_gen3_thermal: Fix coefficient calculations
(bsc#1012628).
- arm64: dts: ti: k3-am642-evm: align ti,pindir-d0-out-d1-in
property with dt-shema (bsc#1012628).
- arm64: dts: ti: am65: align ti,pindir-d0-out-d1-in property
with dt-shema (bsc#1012628).
- arm64: dts: ti: k3-am642-main: fix ports mac properties
(bsc#1012628).
- ARM: dts: imx6q-dhcom: Add gpios pinctrl for i2c bus recovery
(bsc#1012628).
- ARM: dts: imx6q-dhcom: Fix ethernet plugin detection problems
(bsc#1012628).
- ARM: dts: imx6q-dhcom: Fix ethernet reset time properties
(bsc#1012628).
- thermal/drivers/sprd: Add missing MODULE_DEVICE_TABLE
(bsc#1012628).
- ARM: dts: am437x: align ti,pindir-d0-out-d1-in property with
dt-shema (bsc#1012628).
- ARM: dts: am335x: align ti,pindir-d0-out-d1-in property with
dt-shema (bsc#1012628).
- ARM: dts: dra7: Fix duplicate USB4 target module node
(bsc#1012628).
- arm64: dts: allwinner: a64-sopine-baseboard: change RGMII mode
to TXID (bsc#1012628).
- memory: fsl_ifc: fix leak of private memory on probe failure
(bsc#1012628).
- memory: fsl_ifc: fix leak of IO mapping on probe failure
(bsc#1012628).
- arm64: dts: ti: k3-j721e-common-proc-board: Re-name "link"
name as "phy" (bsc#1012628).
- arm64: dts: ti: k3-j721e-common-proc-board: Use external clock
for SERDES (bsc#1012628).
- arm64: dts: ti: k3-j721e-main: Fix external refclk input to
SERDES (bsc#1012628).
- firmware: arm_scmi: Add delayed response status check
(bsc#1012628).
- Revert "ARM: dts: bcm283x: increase dwc2's RX FIFO size"
(bsc#1012628).
- arm64: dts: renesas: r8a779a0: Drop power-domains property
from GIC node (bsc#1012628).
- reset: bail if try_module_get() fails (bsc#1012628).
- ARM: dts: BCM5301X: Fixup SPI binding (bsc#1012628).
- dt-bindings: i2c: at91: fix example for scl-gpios (bsc#1012628).
- firmware: arm_scmi: Reset Rx buffer to max size during async
commands (bsc#1012628).
- soc: mtk-pm-domains: Fix the clock prepared issue (bsc#1012628).
- soc: mtk-pm-domains: do not register smi node as syscon
(bsc#1012628).
- firmware: tegra: Fix error return code in tegra210_bpmp_init()
(bsc#1012628).
- arm64: dts: qcom: sc7180: Fix sc7180-qmp-usb3-dp-phy reg sizes
(bsc#1012628).
- arm64: dts: qcom: c630: Add no-hpd to DSI bridge node
(bsc#1012628).
- arm64: dts: qcom: trogdor: Add no-hpd to DSI bridge node
(bsc#1012628).
- ARM: dts: stm32: Rework LAN8710Ai PHY reset on DHCOM SoM
(bsc#1012628).
- arm64: dts: renesas: r8a7796[01]: Fix OPP table entry voltages
(bsc#1012628).
- arm64: dts: renesas: Add missing opp-suspend properties
(bsc#1012628).
- ARM: dts: qcom: sdx55-telit: Represent secure-regions as 64-bit
elements (bsc#1012628).
- ARM: dts: qcom: sdx55-t55: Represent secure-regions as 64-bit
elements (bsc#1012628).
- arm64: dts: ti: j7200-main: Enable USB2 PHY RX sensitivity
workaround (bsc#1012628).
- arm64: dts: ti: k3-j7200: Remove "#address-cells" property
from GPIO DT nodes (bsc#1012628).
- arm64: dts: ti: k3-am64-mcu: Fix the compatible string in GPIO
DT node (bsc#1012628).
- arm64: dts: qcom: sdm845-oneplus-common: guard rmtfs-mem
(bsc#1012628).
- ARM: dts: r8a7779, marzen: Fix DU clock names (bsc#1012628).
- arm64: dts: renesas: v3msk: Fix memory size (bsc#1012628).
- rtc: fix snprintf() checking in is_rtc_hctosys() (bsc#1012628).
- rtc: bd70528: fix BD71815 watchdog dependency (bsc#1012628).
- ARM: dts: sun8i: h3: orangepi-plus: Fix ethernet phy-mode
(bsc#1012628).
- memory: pl353: Fix error return code in pl353_smc_probe()
(bsc#1012628).
- arm64: defconfig: Do not override the MTK_PMIC_WRAP symbol
(bsc#1012628).
- reset: brcmstb: Add missing MODULE_DEVICE_TABLE (bsc#1012628).
- memory: atmel-ebi: add missing of_node_put for loop iteration
(bsc#1012628).
- memory: stm32-fmc2-ebi: add missing of_node_put for loop
iteration (bsc#1012628).
- ARM: dts: exynos: fix PWM LED max brightness on Odroid XU4
(bsc#1012628).
- ARM: dts: exynos: fix PWM LED max brightness on Odroid HC1
(bsc#1012628).
- ARM: dts: exynos: fix PWM LED max brightness on Odroid XU/XU3
(bsc#1012628).
- ARM: exynos: add missing of_node_put for loop iteration
(bsc#1012628).
- reset: a10sr: add missing of_match_table reference
(bsc#1012628).
- reset: RESET_INTEL_GW should depend on X86 (bsc#1012628).
- Update config files.
- reset: RESET_BRCMSTB_RESCAL should depend on ARCH_BRCMSTB
(bsc#1012628).
- Update config files.
- arm64: dts: rockchip: Drop fephy pinctrl from gmac2phy on
rk3328 rock-pi-e (bsc#1012628).
- arm64: dts: rockchip: rename LED label for NanoPi R4S
(bsc#1012628).
- ARM: dts: gemini-rut1xx: remove duplicate ethernet node
(bsc#1012628).
- hexagon: use common DISCARDS macro (bsc#1012628).
- hexagon: handle {,SOFT}IRQENTRY_TEXT in linker script
(bsc#1012628).
- NFSv4/pNFS: Don't call _nfs4_pnfs_v3_ds_connect multiple times
(bsc#1012628).
- NFSv4/pnfs: Fix layoutget behaviour after invalidation
(bsc#1012628).
- NFSv4/pnfs: Fix the layout barrier update (bsc#1012628).
- NFS: Fix fscache read from NFS after cache error (bsc#1012628).
- NFS: Ensure nfs_readpage returns promptly when internal error
occurs (bsc#1012628).
- virtio-mem: don't read big block size in Sub Block Mode
(bsc#1012628).
- vdpa/mlx5: Clear vq ready indication upon device reset
(bsc#1012628).
- ALSA: isa: Fix error return code in snd_cmi8330_probe()
(bsc#1012628).
- nfsd: fix NULL dereference in nfs3svc_encode_getaclres
(bsc#1012628).
- NFSD: Prevent a possible oops in the nfs_dirent() tracepoint
(bsc#1012628).
- nfsd: Reduce contention for the nfsd_file nf_rwsem
(bsc#1012628).
- nfsd: move fsnotify on client creation outside spinlock
(bsc#1012628).
- NFSD: Add nfsd_clid_confirmed tracepoint (bsc#1012628).
- powerpc/bpf: Fix detecting BPF atomic instructions
(bsc#1012628).
- nvme-tcp: can't set sk_user_data without write_lock
(bsc#1012628).
- virtio_net: move tx vq operation under tx queue lock
(bsc#1012628).
- vdp/mlx5: Fix setting the correct dma_device (bsc#1012628).
- vdpa/mlx5: Fix possible failure in umem size calculation
(bsc#1012628).
- vdpa/mlx5: Fix umem sizes assignments on VQ create
(bsc#1012628).
- vp_vdpa: correct the return value when fail to map notification
(bsc#1012628).
- io_uring: remove not needed PF_EXITING check (bsc#1012628).
- io_uring: inline __tctx_task_work() (bsc#1012628).
- io_uring: move creds from io-wq work to io_kiocb (bsc#1012628).
- io_uring: don't bounce submit_state cachelines (bsc#1012628).
- io_uring: shuffle rarely used ctx fields (bsc#1012628).
- io_uring: get rid of files in exit cancel (bsc#1012628).
- block: grab a device refcount in disk_uevent (bsc#1012628).
- arch_topology: Avoid use-after-free for scale_freq_data
(bsc#1012628).
- PCI: tegra194: Fix tegra_pcie_ep_raise_msi_irq() ill-defined
shift (bsc#1012628).
- f2fs: remove false alarm on iget failure during GC
(bsc#1012628).
- nfs: update has_sec_mnt_opts after cloning lsm options from
parent (bsc#1012628).
- pwm: imx1: Don't disable clocks at device remove time
(bsc#1012628).
- PCI: intel-gw: Fix INTx enable (bsc#1012628).
- x86/fpu: Limit xstate copy size in xstateregs_set()
(bsc#1012628).
- x86/fpu: Fix copy_xstate_to_kernel() gap handling (bsc#1012628).
- f2fs: fix to avoid adding tab before doc section (bsc#1012628).
- PCI: iproc: Support multi-MSI only on uniprocessor kernel
(bsc#1012628).
- PCI: iproc: Fix multi-MSI base vector number allocation
(bsc#1012628).
- ubifs: Set/Clear I_LINKABLE under i_lock for whiteout inode
(bsc#1012628).
- nfs: fix acl memory leak of posix_acl_create() (bsc#1012628).
- SUNRPC: prevent port reuse on transports which don't request it
(bsc#1012628).
- watchdog: jz4740: Fix return value check in jz4740_wdt_probe()
(bsc#1012628).
- watchdog: aspeed: fix hardware timeout calculation
(bsc#1012628).
- watchdog: keembay: Removed timeout update in the TO ISR
(bsc#1012628).
- watchdog: keembay: Remove timeout update in the WDT start
function (bsc#1012628).
- watchdog: keembay: Clear either the TO or TH interrupt bit
(bsc#1012628).
- watchdog: keembay: Update pretimeout to zero in the TH ISR
(bsc#1012628).
- watchdog: keembay: Upadate WDT pretimeout for every update in
timeout (bsc#1012628).
- watchdog: keembay: Update WDT pre-timeout during the
initialization (bsc#1012628).
- ubifs: journal: Fix error return code in ubifs_jnl_write_inode()
(bsc#1012628).
- um: fix error return code in winch_tramp() (bsc#1012628).
- um: fix error return code in slip_open() (bsc#1012628).
- um: Fix stack pointer alignment (bsc#1012628).
- sunrpc: Avoid a KASAN slab-out-of-bounds bug in
xdr_set_page_base() (bsc#1012628).
- NFSv4: Fix an Oops in pnfs_mark_request_commit() when doing
O_DIRECT (bsc#1012628).
- NFSv4: Initialise connection to the server in
nfs4_alloc_client() (bsc#1012628).
- power: supply: rt5033_battery: Fix device tree enumeration
(bsc#1012628).
- Update config files.
- PCI/sysfs: Fix dsm_label_utf16s_to_utf8s() buffer overrun
(bsc#1012628).
- power: supply: surface-charger: Fix type of integer variable
(bsc#1012628).
- remoteproc: k3-r5: Fix an error message (bsc#1012628).
- remoteproc: stm32: fix phys_addr_t format string (bsc#1012628).
- f2fs: compress: fix to disallow temp extension (bsc#1012628).
- f2fs: add MODULE_SOFTDEP to ensure crc32 is included in the
initramfs (bsc#1012628).
- module: correctly exit module_kallsyms_on_each_symbol when fn()
!= 0 (bsc#1012628).
- pwm: visconti: Fix and simplify period calculation
(bsc#1012628).
- cpufreq: scmi: Fix an error message (bsc#1012628).
- x86/signal: Detect and prevent an alternate signal stack
overflow (bsc#1012628).
- NFSD: Fix TP_printk() format specifier in nfsd_clid_class
(bsc#1012628).
- f2fs: atgc: fix to set default age threshold (bsc#1012628).
- power: supply: surface_battery: Fix battery event handling
(bsc#1012628).
- block: fix the problem of io_ticks becoming smaller
(bsc#1012628).
- virtio_console: Assure used length from device is limited
(bsc#1012628).
- virtio_net: Fix error handling in virtnet_restore()
(bsc#1012628).
- virtio-blk: Fix memory leak among suspend/resume procedure
(bsc#1012628).
- ext4: fix WARN_ON_ONCE(!buffer_uptodate) after an error writing
the superblock (bsc#1012628).
- PCI: rockchip: Register IRQ handlers after device and data
are ready (bsc#1012628).
- ACPI: video: Add quirk for the Dell Vostro 3350 (bsc#1012628).
- ACPI: AMBA: Fix resource name in /proc/iomem (bsc#1012628).
- pwm: tegra: Don't modify HW state in .remove callback
(bsc#1012628).
- pwm: img: Fix PM reference leak in img_pwm_enable()
(bsc#1012628).
- drm/amdkfd: fix sysfs kobj leak (bsc#1012628).
- drm/amdgpu: fix Navi1x tcp power gating hang when issuing
lightweight invalidaiton (bsc#1012628).
- power: supply: axp288_fuel_gauge: Make "T3 MRD" no_battery_list
DMI entry more generic (bsc#1012628).
- power: supply: ab8500: add missing MODULE_DEVICE_TABLE
(bsc#1012628).
- power: supply: charger-manager: add missing MODULE_DEVICE_TABLE
(bsc#1012628).
- power: reset: regulator-poweroff: add missing
MODULE_DEVICE_TABLE (bsc#1012628).
- NFS: nfs_find_open_context() may only select open files
(bsc#1012628).
- drm/gma500: Add the missed drm_gem_object_put() in
psb_user_framebuffer_create() (bsc#1012628).
- ceph: remove bogus checks and WARN_ONs from ceph_set_page_dirty
(bsc#1012628).
- orangefs: fix orangefs df output (bsc#1012628).
- NFSv4: Fix handling of non-atomic change attrbute updates
(bsc#1012628).
- NFS: Fix up inode attribute revalidation timeouts (bsc#1012628).
- PCI: tegra: Add missing MODULE_DEVICE_TABLE (bsc#1012628).
- remoteproc: stm32: fix mbox_send_message call (bsc#1012628).
- remoteproc: core: Fix cdev remove and rproc del (bsc#1012628).
- x86/fpu: Return proper error codes from user access functions
(bsc#1012628).
- PCI: mediatek-gen3: Add missing MODULE_DEVICE_TABLE
(bsc#1012628).
- fuse: fix illegal access to inode with reused nodeid
(bsc#1012628).
- virtiofs: propagate sync() to file server (bsc#1012628).
- watchdog: iTCO_wdt: Account for rebooting on second timeout
(bsc#1012628).
- watchdog: imx_sc_wdt: fix pretimeout (bsc#1012628).
- watchdog: Fix possible use-after-free by calling
del_timer_sync() (bsc#1012628).
- watchdog: sc520_wdt: Fix possible use-after-free in
wdt_turnoff() (bsc#1012628).
- watchdog: Fix possible use-after-free in wdt_startup()
(bsc#1012628).
- PCI: Dynamically map ECAM regions (bsc#1012628).
- PCI: pciehp: Ignore Link Down/Up caused by DPC (bsc#1012628).
- NFSv4: Fix delegation return in cases where we have to retry
(bsc#1012628).
- PCI/P2PDMA: Avoid pci_get_slot(), which may sleep (bsc#1012628).
- ARM: 9087/1: kprobes: test-thumb: fix for LLVM_IAS=1
(bsc#1012628).
- power: reset: gpio-poweroff: add missing MODULE_DEVICE_TABLE
(bsc#1012628).
- power: supply: max17040: Do not enforce (incorrect) interrupt
trigger type (bsc#1012628).
- power: supply: max17042: Do not enforce (incorrect) interrupt
trigger type (bsc#1012628).
- pwm: pca9685: Restrict period change for enabled PWMs
(bsc#1012628).
- PCI: hv: Fix a race condition when removing the device
(bsc#1012628).
- power: supply: ab8500: Enable USB and AC (bsc#1012628).
- power: supply: ab8500: Avoid NULL pointers (bsc#1012628).
- power: supply: ab8500: Move to componentized binding
(bsc#1012628).
- PCI: ftpci100: Rename macro name collision (bsc#1012628).
- pwm: spear: Don't modify HW state in .remove callback
(bsc#1012628).
- power: supply: sc2731_charger: Add missing MODULE_DEVICE_TABLE
(bsc#1012628).
- power: supply: sc27xx: Add missing MODULE_DEVICE_TABLE
(bsc#1012628).
- kcov: add __no_sanitize_coverage to fix noinstr for all
architectures (bsc#1012628).
- lib/decompress_unlz4.c: correctly handle zero-padding around
initrds (bsc#1012628).
- phy: intel: Fix for warnings due to EMMC clock 175Mhz change
in FIP (bsc#1012628).
- i2c: core: Disable client irq on reboot/shutdown (bsc#1012628).
- intel_th: Wait until port is in reset before programming it
(bsc#1012628).
- staging: rtl8723bs: fix check allowing 5Ghz settings
(bsc#1012628).
- staging: rtl8723bs: fix macro value for 2.4Ghz only device
(bsc#1012628).
- leds: turris-omnia: add missing MODULE_DEVICE_TABLE
(bsc#1012628).
- ALSA: firewire-motu: fix detection for S/PDIF source on optical
interface in v2 protocol (bsc#1012628).
- ALSA: usb-audio: scarlett2: Fix 6i6 Gen 2 line out descriptions
(bsc#1012628).
- ALSA: hda: Add IRQ check for platform_get_irq() (bsc#1012628).
- backlight: lm3630a: Fix return code of .update_status() callback
(bsc#1012628).
- ASoC: Intel: kbl_da7219_max98357a: shrink platform_id below
20 characters (bsc#1012628).
- ASoC: fsl_xcvr: check return value after calling
platform_get_resource_byname() (bsc#1012628).
- powerpc/boot: Fixup device-tree on little endian (bsc#1012628).
- usb: gadget: hid: fix error return code in hid_bind()
(bsc#1012628).
- usb: gadget: f_hid: fix endianness issue with descriptors
(bsc#1012628).
- ALSA: usb-audio: scarlett2: Fix scarlett2_*_ctl_put() return
values (bsc#1012628).
- ALSA: usb-audio: scarlett2: Fix data_mutex lock (bsc#1012628).
- ALSA: usb-audio: scarlett2: Fix 18i8 Gen 2 PCM Input count
(bsc#1012628).
- m68knommu: fix missing LCD splash screen data initializer
(bsc#1012628).
- ALSA: bebob: add support for ToneWeal FW66 (bsc#1012628).
- Input: hideep - fix the uninitialized use in hideep_nvm_unlock()
(bsc#1012628).
- s390/mem_detect: fix tprot() program check new psw handling
(bsc#1012628).
- s390/mem_detect: fix diag260() program check new psw handling
(bsc#1012628).
- s390/ipl_parm: fix program check new psw handling (bsc#1012628).
- s390/processor: always inline stap() and __load_psw_mask()
(bsc#1012628).
- habanalabs/gaudi: set the correct rc in case of err
(bsc#1012628).
- habanalabs: remove node from list before freeing the node
(bsc#1012628).
- habanalabs: set rc as 'valid' in case of intentional func exit
(bsc#1012628).
- habanalabs: fix mask to obtain page offset (bsc#1012628).
- habanalabs/gaudi: set the correct cpu_id on MME2_QM failure
(bsc#1012628).
- habanalabs: check if asic secured with asic type (bsc#1012628).
- xhci: handle failed buffer copy to URB sg list and fix a W=1
copiler warning (bsc#1012628).
- ASoC: soc-core: Fix the error return code in
snd_soc_of_parse_audio_routing() (bsc#1012628).
- powerpc/mm/book3s64: Fix possible build error (bsc#1012628).
- gpio: pca953x: Add support for the On Semi pca9655
(bsc#1012628).
- selftests/powerpc: Fix "no_handler" EBB selftest (bsc#1012628).
- ALSA: ppc: fix error return code in snd_pmac_probe()
(bsc#1012628).
- scsi: storvsc: Correctly handle multiple flags in srb_status
(bsc#1012628).
- powerpc/inst: Fix sparse detection on get_user_instr()
(bsc#1012628).
- thunderbolt: Fix DROM handling for USB4 DROM (bsc#1012628).
- gpio: zynq: Check return value of irq_get_irq_data
(bsc#1012628).
- gpio: zynq: Check return value of pm_runtime_get_sync
(bsc#1012628).
- ASoC: soc-pcm: fix the return value in dpcm_apply_symmetry()
(bsc#1012628).
- ALSA: control_led - fix initialization in the mode show callback
(bsc#1012628).
- ALSA: n64: check return value after calling
platform_get_resource() (bsc#1012628).
- iommu/arm-smmu: Fix arm_smmu_device refcount leak in address
translation (bsc#1012628).
- iommu/arm-smmu: Fix arm_smmu_device refcount leak when
arm_smmu_rpm_get fails (bsc#1012628).
- powerpc/ps3: Add dma_mask to ps3_dma_region (bsc#1012628).
- ALSA: sb: Fix potential double-free of CSP mixer elements
(bsc#1012628).
- iommu/arm-smmu-qcom: Skip the TTBR1 quirk for db820c
(bsc#1012628).
- selftests: timers: rtcpie: skip test if default RTC device
does not exist (bsc#1012628).
- s390: disable SSP when needed (bsc#1012628).
- s390/sclp_vt220: fix console name to match device (bsc#1012628).
- serial: tty: uartlite: fix console setup (bsc#1012628).
- fsi: Add missing MODULE_DEVICE_TABLE (bsc#1012628).
- iov_iter_advance(): use consistent semantics for move past
the end (bsc#1012628).
- ASoC: img: Fix PM reference leak in img_i2s_in_probe()
(bsc#1012628).
- mfd: cpcap: Fix cpcap dmamask not set warnings (bsc#1012628).
- mfd: da9052/stmpe: Add and modify MODULE_DEVICE_TABLE
(bsc#1012628).
- scsi: qedi: Fix cleanup session block/unblock use (bsc#1012628).
- scsi: qedi: Fix TMF session block/unblock use (bsc#1012628).
- scsi: qedi: Fix race during abort timeouts (bsc#1012628).
- scsi: qedi: Fix null ref during abort handling (bsc#1012628).
- scsi: iscsi: Fix shost->max_id use (bsc#1012628).
- scsi: iscsi: Fix conn use after free during resets
(bsc#1012628).
- scsi: iscsi: Add iscsi_cls_conn refcount helpers (bsc#1012628).
- scsi: megaraid_sas: Handle missing interrupts while re-enabling
IRQs (bsc#1012628).
- scsi: megaraid_sas: Early detection of VD deletion through
RaidMap update (bsc#1012628).
- scsi: megaraid_sas: Fix resource leak in case of probe failure
(bsc#1012628).
- fs/jfs: Fix missing error code in lmLogInit() (bsc#1012628).
- scsi: scsi_dh_alua: Check for negative result value
(bsc#1012628).
- scsi: core: Fixup calling convention for scsi_mode_sense()
(bsc#1012628).
- scsi: mpt3sas: Fix deadlock while cancelling the running
firmware event (bsc#1012628).
- tty: serial: 8250: serial_cs: Fix a memory leak in error
handling path (bsc#1012628).
- ASoC: cs42l42: Fix 1536000 Bit Clock instability (bsc#1012628).
- ALSA: ac97: fix PM reference leak in ac97_bus_remove()
(bsc#1012628).
- scsi: core: Cap scsi_host cmd_per_lun at can_queue
(bsc#1012628).
- scsi: lpfc: Fix crash when lpfc_sli4_hba_setup() fails to
initialize the SGLs (bsc#1012628).
- scsi: lpfc: Fix "Unexpected timeout" error in direct attach
topology (bsc#1012628).
- scsi: hisi_sas: Propagate errors in interrupt_init_v1_hw()
(bsc#1012628).
- scsi: arcmsr: Fix doorbell status being updated late on ARC-1886
(bsc#1012628).
- w1: ds2438: fixing bug that would always get page0
(bsc#1012628).
- ASoC: SOF: topology: fix assignment to use le32_to_cpu
(bsc#1012628).
- usb: common: usb-conn-gpio: fix NULL pointer dereference of
charger (bsc#1012628).
- Revert "ALSA: bebob/oxfw: fix Kconfig entry for Mackie d.2 Pro"
(bsc#1012628).
- ALSA: usx2y: Don't call free_pages_exact() with NULL address
(bsc#1012628).
- ALSA: usx2y: Avoid camelCase (bsc#1012628).
- iio: magn: bmc150: Balance runtime pm + use
pm_runtime_resume_and_get() (bsc#1012628).
- iio: gyro: fxa21002c: Balance runtime pm + use
pm_runtime_resume_and_get() (bsc#1012628).
- iio: imu: st_lsm6dsx: correct ODR in header (bsc#1012628).
- partitions: msdos: fix one-byte get_unaligned() (bsc#1012628).
- ASoC: intel/boards: add missing MODULE_DEVICE_TABLE
(bsc#1012628).
- misc: alcor_pci: fix null-ptr-deref when there is no PCI bridge
(bsc#1012628).
- misc/libmasm/module: Fix two use after free in ibmasm_init_one
(bsc#1012628).
- serial: 8250: of: Check for CONFIG_SERIAL_8250_BCM7271
(bsc#1012628).
- serial: fsl_lpuart: disable DMA for console and fix sysrq
(bsc#1012628).
- tty: serial: fsl_lpuart: fix the potential risk of division
or modulo by zero (bsc#1012628).
- usb: dwc3: pci: Fix DEFINE for Intel Elkhart Lake (bsc#1012628).
- soundwire: bus: handle -ENODATA errors in clock stop/start
sequences (bsc#1012628).
- soundwire: bus: only use CLOCK_STOP_MODE0 and fix confusions
(bsc#1012628).
- rcu: Reject RCU_LOCKDEP_WARN() false positives (bsc#1012628).
- srcu: Fix broken node geometry after early ssp init
(bsc#1012628).
- scsi: arcmsr: Fix the wrong CDB payload report to IOP
(bsc#1012628).
- dmaengine: fsl-qdma: check dma_set_mask return value
(bsc#1012628).
- ASoC: Intel: sof_sdw: add mutual exclusion between PCH DMIC
and RT715 (bsc#1012628).
- leds: tlc591xx: fix return value check in tlc591xx_probe()
(bsc#1012628).
- net: bridge: multicast: fix MRD advertisement router port
marking race (bsc#1012628).
- net: bridge: multicast: fix PIM hello router port marking race
(bsc#1012628).
- drm/dp_mst: Add missing drm parameters to recently added call
to drm_dbg_kms() (bsc#1012628).
- drm/dp_mst: Avoid to mess up payload table by ports in stale
topology (bsc#1012628).
- drm/dp_mst: Do not set proposed vcpi directly (bsc#1012628).
- btrfs: zoned: fix wrong mutex unlock on failure to allocate
log root tree (bsc#1012628).
- btrfs: don't block if we can't acquire the reclaim lock
(bsc#1012628).
- btrfs: rework chunk allocation to avoid exhaustion of the
system chunk array (bsc#1012628).
- btrfs: fix deadlock with concurrent chunk allocations involving
system chunks (bsc#1012628).
- btrfs: zoned: fix types for u64 division in
btrfs_reclaim_bgs_work (bsc#1012628).
- btrfs: properly split extent_map for REQ_OP_ZONE_APPEND
(bsc#1012628).
- io_uring: use right task for exiting checks (bsc#1012628).
- arm64: Avoid premature usercopy failure (bsc#1012628).
- mm/hugetlb: fix refs calculation from unaligned @vaddr
(bsc#1012628).
- EDAC/igen6: fix core dependency AGAIN (bsc#1012628).
- Update config files.
- fbmem: Do not delete the mode that is still in use
(bsc#1012628).
- cgroup: verify that source is a string (bsc#1012628).
- drm/i915/gt: Fix -EDEADLK handling regression (bsc#1012628).
- drm/i915/gtt: drop the page table optimisation (bsc#1012628).
- drm/amdgpu: add another Renoir DID (bsc#1012628).
- tracing: Do not reference char * as a string in histograms
(bsc#1012628).
- iommu/vt-d: Fix clearing real DMA device's scalable-mode
context entries (bsc#1012628).
- iommu/vt-d: Global devTLB flush when present context entry
changed (bsc#1012628).
- scsi: zfcp: Report port fc_security as unknown early during
remote cable pull (bsc#1012628).
- scsi: core: Fix bad pointer dereference when ehandler kthread
is invalid (bsc#1012628).
- KVM: SVM: remove INIT intercept handler (bsc#1012628).
- KVM: SVM: #SMI interception must not skip the instruction
(bsc#1012628).
- KVM: X86: Disable hardware breakpoints unconditionally before
kvm_x86->run() (bsc#1012628).
- KVM: nSVM: Check the value written to MSR_VM_HSAVE_PA
(bsc#1012628).
- KVM: SVM: Revert clearing of C-bit on GPA in #NPF handler
(bsc#1012628).
- KVM: x86/mmu: Do not apply HPA (memory encryption) mask to GPAs
(bsc#1012628).
- KVM: x86: Use kernel's x86_phys_bits to handle reduced
MAXPHYADDR (bsc#1012628).
- KVM: x86: Use guest MAXPHYADDR from CPUID.0x8000_0008 iff TDP
is enabled (bsc#1012628).
- KVM: selftests: do not require 64GB in set_memory_region_test
(bsc#1012628).
- KVM: mmio: Fix use-after-free Read in
kvm_vm_ioctl_unregister_coalesced_mmio (bsc#1012628).
- cifs: Do not use the original cruid when following DFS links
for multiuser mounts (bsc#1012628).
- cifs: handle reconnect of tcon when there is no cached dfs
referral (bsc#1012628).
- cifs: use the expiry output of dns_query to schedule next
resolution (bsc#1012628).
- commit da86d62
-------------------------------------------------------------------
Mon Jul 19 16:54:04 CEST 2021 - dmueller@suse.com
- armv6hl/v7hl: temporarily disable FIPS to workaround crash (bsc#1188327)
- commit 91a0cca
-------------------------------------------------------------------
Mon Jul 19 12:07:16 CEST 2021 - jslaby@suse.cz
- Linux 5.13.3 (bsc#1012628).
- f2fs: fix to avoid racing on fsync_entry_slab by multi
filesystem instances (bsc#1012628).
- smackfs: restrict bytes count in smk_set_cipso() (bsc#1012628).
- media: v4l2-core: explicitly clear ioctl input data
(bsc#1012628).
- jfs: fix GPF in diFree (bsc#1012628).
- ext4: fix possible UAF when remounting r/o a mmp-protected
file system (bsc#1012628).
- drm/ast: Remove reference to struct drm_device.pdev
(bsc#1012628).
- pinctrl: mcp23s08: Fix missing unlock on error in mcp23s08_irq()
(bsc#1012628).
- dm writecache: write at least 4k when committing (bsc#1012628).
- media: uvcvideo: Fix pixel format change for Elgato Cam Link 4K
(bsc#1012628).
- media: gspca/sunplus: fix zero-length control requests
(bsc#1012628).
- media: gspca/sq905: fix control-request direction (bsc#1012628).
- media: ccs: Fix the op_pll_multiplier address (bsc#1012628).
- media: zr364xx: fix memory leak in zr364xx_start_readpipe
(bsc#1012628).
- media: dtv5100: fix control-request directions (bsc#1012628).
- media: i2c: ccs-core: fix pm_runtime_get_sync() usage count
(bsc#1012628).
- media: subdev: disallow ioctl for saa6588/davinci (bsc#1012628).
- PCI: aardvark: Implement workaround for the readback value of
VEND_ID (bsc#1012628).
- PCI: aardvark: Fix checking for PIO Non-posted Request
(bsc#1012628).
- PCI: Leave Apple Thunderbolt controllers on for s2idle or
standby (bsc#1012628).
- dm btree remove: assign new_root only when removal succeeds
(bsc#1012628).
- dm writecache: flush origin device when writing and cache is
full (bsc#1012628).
- dm zoned: check zone capacity (bsc#1012628).
- coresight: tmc-etf: Fix global-out-of-bounds in
tmc_update_etf_buffer() (bsc#1012628).
- coresight: Propagate symlink failure (bsc#1012628).
- ipack/carriers/tpci200: Fix a double free in tpci200_pci_probe
(bsc#1012628).
- tracing: Resize tgid_map to pid_max, not PID_MAX_DEFAULT
(bsc#1012628).
- tracing: Simplify & fix saved_tgids logic (bsc#1012628).
- rq-qos: fix missed wake-ups in rq_qos_throttle try two
(bsc#1012628).
- seq_buf: Fix overflow in seq_buf_putmem_hex() (bsc#1012628).
- lkdtm: Enable DOUBLE_FAULT on all architectures (bsc#1012628).
- extcon: intel-mrfld: Sync hardware and software state on init
(bsc#1012628).
- selftests/lkdtm: Fix expected text for CR4 pinning
(bsc#1012628).
- lkdtm/bugs: XFAIL UNALIGNED_LOAD_STORE_WRITE (bsc#1012628).
- nvmem: core: add a missing of_node_put (bsc#1012628).
- mfd: syscon: Free the allocated name field of struct
regmap_config (bsc#1012628).
- power: supply: ab8500: Fix an old bug (bsc#1012628).
- ubifs: Fix races between xattr_{set|get} and listxattr
operations (bsc#1012628).
- thermal/drivers/int340x/processor_thermal: Fix tcc setting
(bsc#1012628).
- ipmi/watchdog: Stop watchdog timer when the current action is
'none' (bsc#1012628).
- qemu_fw_cfg: Make fw_cfg_rev_attr a proper kobj_attribute
(bsc#1012628).
- i40e: fix PTP on 5Gb links (bsc#1012628).
- mwifiex: bring down link before deleting interface
(bsc#1012628).
- ASoC: tegra: Set driver_name=tegra for all machine drivers
(bsc#1012628).
- fpga: stratix10-soc: Add missing fpga_mgr_free() call
(bsc#1012628).
- clocksource/arm_arch_timer: Improve Allwinner A64 timer
workaround (bsc#1012628).
- cpu/hotplug: Cure the cpusets trainwreck (bsc#1012628).
- arm64: tlb: fix the TTL value of tlb_get_level (bsc#1012628).
- ata: ahci_sunxi: Disable DIPM (bsc#1012628).
- docs: Makefile: Use CONFIG_SHELL not SHELL (bsc#1012628).
- mmc: core: Allow UHS-I voltage switch for SDSC cards if
supported (bsc#1012628).
- mmc: core: clear flags before allowing to retune (bsc#1012628).
- mmc: sdhci: Fix warning message when accessing RPMB in HS400
mode (bsc#1012628).
- mmc: sdhci-acpi: Disable write protect detection on Toshiba
Encore 2 WT8-B (bsc#1012628).
- drm/i915/display: Do not zero past infoframes.vsc (bsc#1012628).
- drm/ingenic: Switch IPU plane to type OVERLAY (bsc#1012628).
- drm/nouveau: Don't set allow_fb_modifiers explicitly
(bsc#1012628).
- drm/arm/malidp: Always list modifiers (bsc#1012628).
- drm/msm/mdp4: Fix modifier support enabling (bsc#1012628).
- drm/tegra: Don't set allow_fb_modifiers explicitly
(bsc#1012628).
- drm/ingenic: Fix pixclock rate for 24-bit serial panels
(bsc#1012628).
- drm/amd/display: Reject non-zero src_y and src_x for video
planes (bsc#1012628).
- pinctrl/amd: Add device HID for new AMD GPIO controller
(bsc#1012628).
- drm/amd/display: fix incorrrect valid irq check (bsc#1012628).
- drm/rockchip: dsi: remove extra component_del() call
(bsc#1012628).
- drm/dp: Handle zeroed port counts in
drm_dp_read_downstream_info() (bsc#1012628).
- drm/vc4: hdmi: Prevent clock unbalance (bsc#1012628).
- drm/vc4: crtc: Skip the TXP (bsc#1012628).
- drm/vc4: txp: Properly set the possible_crtcs mask
(bsc#1012628).
- drm/radeon: Call radeon_suspend_kms() in radeon_pci_shutdown()
for Loongson64 (bsc#1012628).
- drm/radeon: Add the missed drm_gem_object_put() in
radeon_user_framebuffer_create() (bsc#1012628).
- drm/amdgpu: fix the hang caused by PCIe link width switch
(bsc#1012628).
- drm/amdgpu: fix NAK-G generation during PCI-e link width switch
(bsc#1012628).
- drm/amdgpu: enable sdma0 tmz for Raven/Renoir(V2) (bsc#1012628).
- drm/amdgpu: Update NV SIMD-per-CU to 2 (bsc#1012628).
- drm/amdgpu: add new dimgrey cavefish DID (bsc#1012628).
- powerpc/powernv/vas: Release reference to tgid during window
close (bsc#1012628).
- powerpc/barrier: Avoid collision with clang's __lwsync macro
(bsc#1012628).
- powerpc/xive: Fix error handling when allocating an IPI
(bsc#1012628).
- powerpc/bpf: Reject atomic ops in ppc32 JIT (bsc#1012628).
- powerpc/mm: Fix lockup on kernel exec fault (bsc#1012628).
- mm/mremap: hold the rmap lock in write mode when moving page
table entries (bsc#1012628).
- MIPS: MT extensions are not available on MIPS32r1 (bsc#1012628).
- PCI: tegra194: Fix host initialization during resume
(bsc#1012628).
- MIPS: CI20: Reduce clocksource to 750 kHz (bsc#1012628).
- MIPS: set mips32r5 for virt extensions (bsc#1012628).
- MIPS: loongsoon64: Reserve memory below starting pfn to prevent
Oops (bsc#1012628).
- sctp: add size validation when walking chunks (bsc#1012628).
- sctp: validate from_addr_param return (bsc#1012628).
- flow_offload: action should not be NULL when it is referenced
(bsc#1012628).
- bpf: Fix false positive kmemleak report in
bpf_ringbuf_area_alloc() (bsc#1012628).
- sched/fair: Ensure _sum and _avg values stay consistent
(bsc#1012628).
- Bluetooth: btusb: fix bt fiwmare downloading failure issue
for qca btsoc (bsc#1012628).
- Bluetooth: btusb: use default nvm if boardID is 0 for wcn6855
(bsc#1012628).
- Bluetooth: mgmt: Fix the command returns garbage parameter value
(bsc#1012628).
- Bluetooth: btusb: Add support USB ALT 3 for WBS (bsc#1012628).
- Bluetooth: L2CAP: Fix invalid access on ECRED Connection
response (bsc#1012628).
- Bluetooth: L2CAP: Fix invalid access if ECRED Reconfigure fails
(bsc#1012628).
- Bluetooth: btusb: Add a new QCA_ROME device (0cf3:e500)
(bsc#1012628).
- Bluetooth: Shutdown controller after workqueues are flushed
or cancelled (bsc#1012628).
- Bluetooth: Fix alt settings for incoming SCO with transparent
coding format (bsc#1012628).
- Bluetooth: Fix the HCI to MGMT status conversion table
(bsc#1012628).
- Bluetooth: cmtp: fix file refcount when cmtp_attach_device fails
(bsc#1012628).
- Bluetooth: btusb: Fixed too many in-token issue for Mediatek
Chip (bsc#1012628).
- RDMA/cma: Fix rdma_resolve_route() memory leak (bsc#1012628).
- net: ip: avoid OOM kills with large UDP sends over loopback
(bsc#1012628).
- net: retrieve netns cookie via getsocketopt (bsc#1012628).
- media, bpf: Do not copy more entries than user space requested
(bsc#1012628).
- IB/isert: Align target max I/O size to initiator size
(bsc#1012628).
- mac80211: Properly WARN on HW scan before restart (bsc#1012628).
- mac80211_hwsim: add concurrent channels scanning support over
virtio (bsc#1012628).
- mac80211: consider per-CPU statistics if present (bsc#1012628).
- cfg80211: fix default HE tx bitrate mask in 2G band
(bsc#1012628).
- wireless: wext-spy: Fix out-of-bounds warning (bsc#1012628).
- sfc: error code if SRIOV cannot be disabled (bsc#1012628).
- sfc: avoid double pci_remove of VFs (bsc#1012628).
- rtw88: add quirks to disable pci capabilities (bsc#1012628).
- rtw88: 8822c: update RF parameter tables to v62 (bsc#1012628).
- iwlwifi: pcie: fix context info freeing (bsc#1012628).
- iwlwifi: pcie: free IML DMA memory allocation (bsc#1012628).
- iwlwifi: mvm: fix error print when session protection ends
(bsc#1012628).
- iwlwifi: mvm: apply RX diversity per PHY context (bsc#1012628).
- iwlwifi: mvm: don't change band on bound PHY contexts
(bsc#1012628).
- RDMA/rxe: Don't overwrite errno from ib_umem_get()
(bsc#1012628).
- drm/amd/display: Fix edp_bootup_bl_level initialization issue
(bsc#1012628).
- vsock: notify server to shutdown when client has pending signal
(bsc#1012628).
- atm: nicstar: register the interrupt handler in the right place
(bsc#1012628).
- atm: nicstar: use 'dma_free_coherent' instead of 'kfree'
(bsc#1012628).
- net: fec: add ndo_select_queue to fix TX bandwidth fluctuations
(bsc#1012628).
- net: fec: add FEC_QUIRK_HAS_MULTI_QUEUES represents i.MX6SX
ENET IP (bsc#1012628).
- MIPS: add PMD table accounting into MIPS'pmd_alloc_one
(bsc#1012628).
- rtl8xxxu: Fix device info for RTL8192EU devices (bsc#1012628).
- mt76: fix iv and CCMP header insertion (bsc#1012628).
- mt76: mt7915: fix IEEE80211_HE_PHY_CAP7_MAX_NC for station mode
(bsc#1012628).
- mt76: connac: fix the maximum interval schedule scan can support
(bsc#1012628).
- mt76: connac: fix UC entry is being overwritten (bsc#1012628).
- mt76: mt7921: enable hw offloading for wep keys (bsc#1012628).
- mt76: mt7921: reset wfsys during hw probe (bsc#1012628).
- mt76: mt7921: fix reset under the deep sleep is enabled
(bsc#1012628).
- mt76: mt7915: fix tssi indication field of DBDC NICs
(bsc#1012628).
- drm/amdkfd: Walk through list with dqm lock hold (bsc#1012628).
- drm/amdgpu: fix bad address translation for sienna_cichlid
(bsc#1012628).
- io_uring: fix false WARN_ONCE (bsc#1012628).
- net: sched: fix error return code in tcf_del_walker()
(bsc#1012628).
- net: ipa: Add missing of_node_put() in ipa_firmware_load()
(bsc#1012628).
- net: fix mistake path for netdev_features_strings (bsc#1012628).
- mt76: dma: use ieee80211_tx_status_ext to free packets when
tx fails (bsc#1012628).
- mt76: mt7615: fix fixed-rate tx status reporting (bsc#1012628).
- ice: mark PTYPE 2 as reserved (bsc#1012628).
- ice: fix incorrect payload indicator on PTYPE (bsc#1012628).
- ext4: fix memory leak in ext4_fill_super (bsc#1012628).
- bpf: Fix up register-based shifts in interpreter to silence
KUBSAN (bsc#1012628).
- net: hsr: don't check sequence number if tag removal is
offloaded (bsc#1012628).
- drm/amdkfd: Fix circular lock in nocpsch path (bsc#1012628).
- drm/amdkfd: fix circular locking on get_wave_state
(bsc#1012628).
- cw1200: add missing MODULE_DEVICE_TABLE (bsc#1012628).
- wl1251: Fix possible buffer overflow in wl1251_cmd_scan
(bsc#1012628).
- net: phy: nxp-c45-tja11xx: enable MDIO write access to the
master/slave registers (bsc#1012628).
- wlcore/wl12xx: Fix wl12xx get_mac error if device is in ELP
(bsc#1012628).
- dm writecache: commit just one block, not a full page
(bsc#1012628).
- xfrm: Fix error reporting in xfrm_state_construct (bsc#1012628).
- ibmvnic: fix kernel build warnings in build_hdr_descs_arr
(bsc#1012628).
- drm/amd/display: Verify Gamma & Degamma LUT sizes in
amdgpu_dm_atomic_check (bsc#1012628).
- r8169: avoid link-up interrupt issue on RTL8106e if user
enables ASPM (bsc#1012628).
- selinux: use __GFP_NOWARN with GFP_NOWAIT in the AVC
(bsc#1012628).
- net: mido: mdio-mux-bcm-iproc: Use
devm_platform_get_and_ioremap_resource() (bsc#1012628).
- fjes: check return value after calling platform_get_resource()
(bsc#1012628).
- drm/amdkfd: use allowed domain for vmbo validation
(bsc#1012628).
- net: sgi: ioc3-eth: check return value after calling
platform_get_resource() (bsc#1012628).
- selftests: Clean forgotten resources as part of cleanup()
(bsc#1012628).
- net: phy: realtek: add delay to fix RXC generation issue
(bsc#1012628).
- drm/amd/display: Fix crash during MPO + ODM combine mode
recalculation (bsc#1012628).
- drm/amd/display: Fix off-by-one error in DML (bsc#1012628).
- drm/amd/display: Set DISPCLK_MAX_ERRDET_CYCLES to 7
(bsc#1012628).
- drm/amd/display: Release MST resources on switch from MST to
SST (bsc#1012628).
- drm/amd/display: Update scaling settings on modeset
(bsc#1012628).
- drm/amd/display: Revert "Fix clock table filling logic"
(bsc#1012628).
- drm/amd/display: Fix DCN 3.01 DSCCLK validation (bsc#1012628).
- net: moxa: Use devm_platform_get_and_ioremap_resource()
(bsc#1012628).
- net: micrel: check return value after calling
platform_get_resource() (bsc#1012628).
- net: mvpp2: check return value after calling
platform_get_resource() (bsc#1012628).
- net: bcmgenet: check return value after calling
platform_get_resource() (bsc#1012628).
- net: mscc: ocelot: check return value after calling
platform_get_resource() (bsc#1012628).
- virtio_net: Remove BUG() to avoid machine dead (bsc#1012628).
- ice: fix clang warning regarding deadcode.DeadStores
(bsc#1012628).
- ice: set the value of global config lock timeout longer
(bsc#1012628).
- pinctrl: mcp23s08: fix race condition in irq handler
(bsc#1012628).
- net: bridge: mrp: Update ring transitions (bsc#1012628).
- block: introduce BIO_ZONE_WRITE_LOCKED bio flag (bsc#1012628).
- dm: Fix dm_accept_partial_bio() relative to zone management
commands (bsc#1012628).
- dm writecache: don't split bios when overwriting contiguous
cache content (bsc#1012628).
- dm space maps: don't reset space map allocation cursor when
committing (bsc#1012628).
- RDMA/cxgb4: Fix missing error code in create_qp() (bsc#1012628).
- icmp: fix lib conflict with trinity (bsc#1012628).
- net: tcp better handling of reordering then loss cases
(bsc#1012628).
- clk: tegra: tegra124-emc: Fix clock imbalance in
emc_set_timing() (bsc#1012628).
- drm/amdgpu: remove unsafe optimization to drop preamble ib
(bsc#1012628).
- drm/amd/display: Avoid HDCP over-read and corruption
(bsc#1012628).
- drm/amdgpu: fix sdma firmware version error in sriov
(bsc#1012628).
- drm/amdgpu: fix metadata_size for ubo ioctl queries
(bsc#1012628).
- MIPS: ingenic: Select CPU_SUPPORTS_CPUFREQ &&
MIPS_EXTERNAL_TIMER (bsc#1012628).
- MIPS: cpu-probe: Fix FPU detection on Ingenic JZ4760(B)
(bsc#1012628).
- ipv6: use prandom_u32() for ID generation (bsc#1012628).
- virtio-net: Add validation for used length (bsc#1012628).
- drm: bridge: cdns-mhdp8546: Fix PM reference leak in
(bsc#1012628).
- clk: tegra: Ensure that PLLU configuration is applied properly
(bsc#1012628).
- clk: tegra: Fix refcounting of gate clocks (bsc#1012628).
- drm/tegra: hub: Fix YUV support (bsc#1012628).
- RDMA/rtrs: Change MAX_SESS_QUEUE_DEPTH (bsc#1012628).
- net: stmmac: the XPCS obscures a potential "PHY not found"
error (bsc#1012628).
- drm: rockchip: add missing registers for RK3066 (bsc#1012628).
- drm: rockchip: add missing registers for RK3188 (bsc#1012628).
- net/mlx5: Fix lag port remapping logic (bsc#1012628).
- net/mlx5e: IPsec/rep_tc: Fix rep_tc_update_skb drops IPsec
packet (bsc#1012628).
- drm/amdgpu/swsmu/aldebaran: fix check in is_dpm_running
(bsc#1012628).
- drm/amd/display: fix odm scaling (bsc#1012628).
- clk: renesas: r8a77995: Add ZA2 clock (bsc#1012628).
- drm/bridge: cdns: Fix PM reference leak in cdns_dsi_transfer()
(bsc#1012628).
- igb: fix assignment on big endian machines (bsc#1012628).
- igb: handle vlan types with checker enabled (bsc#1012628).
- e100: handle eeprom as little endian (bsc#1012628).
- drm/vc4: hdmi: Fix PM reference leak in
vc4_hdmi_encoder_pre_crtc_co() (bsc#1012628).
- drm/vc4: Fix clock source for VEC PixelValve on BCM2711
(bsc#1012628).
- drm/amd/pm: fix return value in aldebaran_set_mp1_state()
(bsc#1012628).
- net: xilinx_emaclite: Do not print real IOMEM pointer
(bsc#1012628).
- udf: Fix NULL pointer dereference in udf_symlink function
(bsc#1012628).
- drm/sched: Avoid data corruptions (bsc#1012628).
- drm/scheduler: Fix hang when sched_entity released
(bsc#1012628).
- pinctrl: equilibrium: Add missing MODULE_DEVICE_TABLE
(bsc#1012628).
- net: ethernet: ixp4xx: Fix return value check in
ixp4xx_eth_probe() (bsc#1012628).
- net/sched: cls_api: increase max_reclassify_loop (bsc#1012628).
- net: mdio: provide shim implementation of
devm_of_mdiobus_register (bsc#1012628).
- drm/virtio: Fix double free on probe failure (bsc#1012628).
- reiserfs: add check for invalid 1st journal block (bsc#1012628).
- drm/bridge: lt9611: Add missing MODULE_DEVICE_TABLE
(bsc#1012628).
- net: mdio: ipq8064: add regmap config to disable REGCACHE
(bsc#1012628).
- drm/panfrost: devfreq: Disable devfreq when num_supplies > 1
(bsc#1012628).
- drm/mediatek: Fix PM reference leak in mtk_crtc_ddp_hw_init()
(bsc#1012628).
- net: Treat __napi_schedule_irqoff() as __napi_schedule()
on PREEMPT_RT (bsc#1012628).
- atm: nicstar: Fix possible use-after-free in nicstar_cleanup()
(bsc#1012628).
- mISDN: fix possible use-after-free in HFC_cleanup()
(bsc#1012628).
- atm: iphase: fix possible use-after-free in ia_module_exit()
(bsc#1012628).
- hugetlb: clear huge pte during flush function on mips platform
(bsc#1012628).
- MIPS: Loongson64: Fix build error 'secondary_kexec_args'
undeclared under !SMP (bsc#1012628).
- clk: renesas: rcar-usb2-clock-sel: Fix error handling in
.probe() (bsc#1012628).
- drm/amd/display: fix use_max_lb flag for 420 pixel formats
(bsc#1012628).
- drm/amd/display: Fix clock table filling logic (bsc#1012628).
- drm/amdgpu: change the default timeout for kernel compute queues
(bsc#1012628).
- net: pch_gbe: Use proper accessors to BE data in pch_ptp_match()
(bsc#1012628).
- drm/imx: Add 8 pixel alignment fix (bsc#1012628).
- drm/bridge: nwl-dsi: Force a full modeset when
crtc_state->active is changed to be true (bsc#1012628).
- drm/vc4: fix argument ordering in vc4_crtc_get_margins()
(bsc#1012628).
- drm/amd/amdgpu/sriov disable all ip hw status by default
(bsc#1012628).
- drm/amd/display: Fix BSOD with NULL check (bsc#1012628).
- drm/amd/display: Revert wait vblank on update dpp clock
(bsc#1012628).
- drm/amd/display: fix HDCP reset sequence on reinitialize
(bsc#1012628).
- drm/ast: Fixed CVE for DP501 (bsc#1012628).
- drm/zte: Don't select DRM_KMS_FB_HELPER (bsc#1012628).
- drm/mxsfb: Don't select DRM_KMS_FB_HELPER (bsc#1012628).
- commit 6e62593
-------------------------------------------------------------------
Mon Jul 19 09:26:49 CEST 2021 - dmueller@suse.com
- armv6hl/armv7hl: set CRYPTO_ECDSA=m (bsc#1188327)
This avoids a crash on boot as the ECDSA code relies on unaligned
accesses.
- commit ccf5b18
-------------------------------------------------------------------
Sun Jul 18 23:32:14 CEST 2021 - mkubecek@suse.cz
- Update to 5.14-rc2
- update configs
- NCSI_OEM_CMD_KEEP_PHY=y
- EDAC_IGEN6=m (x86_64 only)
- commit 1d63327
-------------------------------------------------------------------
Sun Jul 18 23:25:19 CEST 2021 - mkubecek@suse.cz
- series.conf: cleanup
- move submitted patch to "almost mainline" section:
- patches.suse/arm64-dts-rockchip-Disable-CDN-DP-on-Pinebook-Pro.patch
- commit df2d619
-------------------------------------------------------------------
Fri Jul 16 13:12:51 CEST 2021 - msuchanek@suse.de
- rpm/kernel-binary.spec.in: Do not install usrmerged kernel on Leap
(boo#1184804).
- commit 5b51131
-------------------------------------------------------------------
Thu Jul 15 18:47:58 CEST 2021 - mbrugger@suse.com
- arm64: dts: rockchip: Disable CDN DP on Pinebook Pro
(bsc#1188234).
- commit 73020a9
-------------------------------------------------------------------
Wed Jul 14 20:12:49 CEST 2021 - jslaby@suse.cz
- Linux 5.13.2 (bsc#1012628).
- Bluetooth: hci_qca: fix potential GPF (bsc#1012628).
- Bluetooth: Remove spurious error message (bsc#1012628).
- ALSA: bebob: fix rx packet format for Yamaha GO44/GO46,
Terratec Phase 24/x24 (bsc#1012628).
- ALSA: usb-audio: fix rate on Ozone Z90 USB headset
(bsc#1012628).
- ALSA: usb-audio: Fix OOB access at proc output (bsc#1012628).
- ALSA: firewire-motu: fix stream format for MOTU 8pre FireWire
(bsc#1012628).
- ALSA: usb-audio: scarlett2: Fix wrong resume call (bsc#1012628).
- ALSA: intel8x0: Fix breakage at ac97 clock measurement
(bsc#1012628).
- ALSA: hda/realtek: fix mute/micmute LEDs for HP ProBook 450 G8
(bsc#1012628).
- ALSA: hda/realtek: fix mute/micmute LEDs for HP ProBook 445 G8
(bsc#1012628).
- ALSA: hda/realtek: fix mute/micmute LEDs for HP ProBook 630 G8
(bsc#1012628).
- ALSA: hda/realtek: Add another ALC236 variant support
(bsc#1012628).
- ALSA: hda/realtek: fix mute/micmute LEDs for HP EliteBook x360
830 G8 (bsc#1012628).
- ALSA: hda/realtek: Improve fixup for HP Spectre x360 15-df0xxx
(bsc#1012628).
- ALSA: hda/realtek: Fix bass speaker DAC mapping for Asus UM431D
(bsc#1012628).
- ALSA: hda/realtek: Apply LED fixup for HP Dragonfly G1, too
(bsc#1012628).
- ALSA: hda/realtek: fix mute/micmute LEDs for HP EliteBook 830
G8 Notebook PC (bsc#1012628).
- ALSA: hda/realtek: fix mute led of the HP Pavilion 15-eh1xxx
series (bsc#1012628).
- media: dvb-usb: fix wrong definition (bsc#1012628).
- Input: usbtouchscreen - fix control-request directions
(bsc#1012628).
- net: can: ems_usb: fix use-after-free in ems_usb_disconnect()
(bsc#1012628).
- usb: gadget: eem: fix echo command packet response issue
(bsc#1012628).
- usb: renesas-xhci: Fix handling of unknown ROM state
(bsc#1012628).
- USB: cdc-acm: blacklist Heimann USB Appset device (bsc#1012628).
- usb: dwc3: Fix debugfs creation flow (bsc#1012628).
- usb: typec: tcpci: Fix up sink disconnect thresholds for PD
(bsc#1012628).
- usb: typec: tcpm: Relax disconnect threshold during power
negotiation (bsc#1012628).
- usb: typec: Add the missed altmode_id_remove() in
typec_register_altmode() (bsc#1012628).
- xhci: solve a double free problem while doing s4 (bsc#1012628).
- mm/page_alloc: fix memory map initialization for descending
nodes (bsc#1012628).
- gfs2: Fix underflow in gfs2_page_mkwrite (bsc#1012628).
- gfs2: Fix error handling in init_statfs (bsc#1012628).
- ntfs: fix validity check for file name attribute (bsc#1012628).
- selftests/lkdtm: Avoid needing explicit sub-shell (bsc#1012628).
- copy_page_to_iter(): fix ITER_DISCARD case (bsc#1012628).
- teach copy_page_to_iter() to handle compound pages
(bsc#1012628).
- iov_iter_fault_in_readable() should do nothing in xarray case
(bsc#1012628).
- Input: joydev - prevent use of not validated data in
JSIOCSBTNMAP ioctl (bsc#1012628).
- crypto: nx - Fix memcpy() over-reading in nonce (bsc#1012628).
- arm_pmu: Fix write counter incorrect in ARMv7 big-endian mode
(bsc#1012628).
- ARM: dts: ux500: Fix LED probing (bsc#1012628).
- ARM: dts: at91: sama5d4: fix pinctrl muxing (bsc#1012628).
- btrfs: zoned: print message when zone sanity check type fails
(bsc#1012628).
- btrfs: zoned: bail out if we can't read a reliable write pointer
(bsc#1012628).
- btrfs: send: fix invalid path for unlink operations after
parent orphanization (bsc#1012628).
- btrfs: compression: don't try to compress if we don't have
enough pages (bsc#1012628).
- btrfs: fix unbalanced unlock in qgroup_account_snapshot()
(bsc#1012628).
- btrfs: clear defrag status of a root if starting transaction
fails (bsc#1012628).
- ext4: cleanup in-core orphan list if ext4_truncate() failed
to get a transaction handle (bsc#1012628).
- ext4: fix kernel infoleak via ext4_extent_header (bsc#1012628).
- ext4: fix overflow in ext4_iomap_alloc() (bsc#1012628).
- ext4: return error code when ext4_fill_flex_info() fails
(bsc#1012628).
- ext4: correct the cache_nr in tracepoint ext4_es_shrink_exit
(bsc#1012628).
- ext4: remove check for zero nr_to_scan in ext4_es_scan()
(bsc#1012628).
- ext4: fix avefreec in find_group_orlov (bsc#1012628).
- ext4: use ext4_grp_locked_error in mb_find_extent (bsc#1012628).
- can: bcm: delay release of struct bcm_op after synchronize_rcu()
(bsc#1012628).
- can: gw: synchronize rcu operations before removing gw job entry
(bsc#1012628).
- can: isotp: isotp_release(): omit unintended hrtimer restart
on socket release (bsc#1012628).
- can: j1939: j1939_sk_init(): set SOCK_RCU_FREE to call
sk_destruct() after RCU is done (bsc#1012628).
- can: peak_pciefd: pucan_handle_status(): fix a potential
starvation issue in TX path (bsc#1012628).
- mac80211: remove iwlwifi specific workaround that broke sta
NDP tx (bsc#1012628).
- mac80211: fix NULL ptr dereference during mesh peer connection
for non HE devices (bsc#1012628).
- SUNRPC: Fix the batch tasks count wraparound (bsc#1012628).
- SUNRPC: Should wake up the privileged task firstly
(bsc#1012628).
- bus: mhi: core: Fix power down latency (bsc#1012628).
- bus: mhi: Wait for M2 state during system resume (bsc#1012628).
- bus: mhi: pci-generic: Add missing
'pci_disable_pcie_error_reporting()' calls (bsc#1012628).
- mm/gup: fix try_grab_compound_head() race with split_huge_page()
(bsc#1012628).
- perf/smmuv3: Don't trample existing events with global filter
(bsc#1012628).
- KVM: nVMX: Handle split-lock #AC exceptions that happen in L2
(bsc#1012628).
- KVM: PPC: Book3S HV: Workaround high stack usage with clang
(bsc#1012628).
- KVM: x86/mmu: Remove broken WARN that fires on 32-bit KVM w/
nested EPT (bsc#1012628).
- KVM: x86/mmu: Treat NX as used (not reserved) for all !TDP
shadow MMUs (bsc#1012628).
- KVM: x86/mmu: Use MMU's role to detect CR4.SMEP value in nested
NPT walk (bsc#1012628).
- KVM: x86: Properly reset MMU context at vCPU RESET/INIT
(bsc#1012628).
- KVM: x86: Force all MMUs to reinitialize if guest CPUID is
modified (bsc#1012628).
- s390/cio: dont call css_wait_for_slow_path() inside a lock
(bsc#1012628).
- s390: mm: Fix secure storage access exception handling
(bsc#1012628).
- f2fs: Advertise encrypted casefolding in sysfs (bsc#1012628).
- f2fs: Prevent swap file in LFS mode (bsc#1012628).
- clk: k210: Fix k210_clk_set_parent() (bsc#1012628).
- clk: agilex/stratix10/n5x: fix how the bypass_reg is handled
(bsc#1012628).
- clk: agilex/stratix10: remove noc_clk (bsc#1012628).
- clk: agilex/stratix10: fix bypass representation (bsc#1012628).
- clk: agilex/stratix10: add support for the 2nd bypass
(bsc#1012628).
- rtc: stm32: Fix unbalanced clk_disable_unprepare() on probe
error path (bsc#1012628).
- iio: frequency: adf4350: disable reg and clk on error in
adf4350_probe() (bsc#1012628).
- iio: light: tcs3472: do not free unallocated IRQ (bsc#1012628).
- iio: ltr501: mark register holding upper 8 bits of ALS_DATA{0,1}
and PS_DATA as volatile, too (bsc#1012628).
- iio: ltr501: ltr559: fix initialization of LTR501_ALS_CONTR
(bsc#1012628).
- iio: ltr501: ltr501_read_ps(): add missing endianness conversion
(bsc#1012628).
- iio: accel: bma180: Fix BMA25x bandwidth register values
(bsc#1012628).
- iio: accel: bmc150: Fix bma222 scale unit (bsc#1012628).
- iio: accel: bmc150: Fix dereferencing the wrong pointer in
bmc150_get/set_second_device (bsc#1012628).
- iio: accel: bmc150: Don't make the remove function of the
second accelerometer unregister itself (bsc#1012628).
- serial: mvebu-uart: fix calculation of clock divisor
(bsc#1012628).
- serial: sh-sci: Stop dmaengine transfer in sci_stop_tx()
(bsc#1012628).
- serial_cs: Add Option International GSM-Ready 56K/ISDN modem
(bsc#1012628).
- serial_cs: remove wrong GLOBETROTTER.cis entry (bsc#1012628).
- ath9k: Fix kernel NULL pointer dereference during
ath_reset_internal() (bsc#1012628).
- ssb: sdio: Don't overwrite const buffer if block_write fails
(bsc#1012628).
- rsi: Assign beacon rate settings to the correct rate_info
descriptor field (bsc#1012628).
- rsi: fix AP mode with WPA failure due to encrypted EAPOL
(bsc#1012628).
- selftests/resctrl: Fix incorrect parsing of option "-t"
(bsc#1012628).
- tracing/histograms: Fix parsing of "sym-offset" modifier
(bsc#1012628).
- tracepoint: Add tracepoint_probe_register_may_exist() for BPF
tracing (bsc#1012628).
- seq_buf: Make trace_seq_putmem_hex() support data longer than 8
(bsc#1012628).
- powerpc/stacktrace: Fix spurious "stale" traces in
raise_backtrace_ipi() (bsc#1012628).
- x86/gpu: add JasperLake to gen11 early quirks (bsc#1012628).
- perf/x86/intel: Fix fixed counter check warning for some Alder
Lake (bsc#1012628).
- perf/x86/intel: Add more events requires FRONTEND MSR on
Sapphire Rapids (bsc#1012628).
- perf/x86/intel: Fix instructions:ppp support in Sapphire Rapids
(bsc#1012628).
- loop: Fix missing discard support when using LOOP_CONFIGURE
(bsc#1012628).
- evm: Execute evm_inode_init_security() only when an HMAC key
is loaded (bsc#1012628).
- evm: Refuse EVM_ALLOW_METADATA_WRITES only if an HMAC key is
loaded (bsc#1012628).
- fuse: Fix crash in fuse_dentry_automount() error path
(bsc#1012628).
- fuse: Fix crash if superblock of submount gets killed early
(bsc#1012628).
- fuse: Fix infinite loop in sget_fc() (bsc#1012628).
- fuse: ignore PG_workingset after stealing (bsc#1012628).
- fuse: check connected before queueing on fpq->io (bsc#1012628).
- fuse: reject internal errno (bsc#1012628).
- thermal/cpufreq_cooling: Update offline CPUs per-cpu
thermal_pressure (bsc#1012628).
- spi: Make of_register_spi_device also set the fwnode
(bsc#1012628).
- Add a reference to ucounts for each cred (bsc#1012628).
- staging: media: rkvdec: fix pm_runtime_get_sync() usage count
(bsc#1012628).
- media: i2c: imx334: fix the pm runtime get logic (bsc#1012628).
- media: marvel-ccic: fix some issues when getting pm_runtime
(bsc#1012628).
- media: mdk-mdp: fix pm_runtime_get_sync() usage count
(bsc#1012628).
- media: s5p: fix pm_runtime_get_sync() usage count (bsc#1012628).
- media: am437x: fix pm_runtime_get_sync() usage count
(bsc#1012628).
- media: sh_vou: fix pm_runtime_get_sync() usage count
(bsc#1012628).
- media: mtk-vcodec: fix PM runtime get logic (bsc#1012628).
- media: s5p-jpeg: fix pm_runtime_get_sync() usage count
(bsc#1012628).
- media: sunxi: fix pm_runtime_get_sync() usage count
(bsc#1012628).
- media: sti/bdisp: fix pm_runtime_get_sync() usage count
(bsc#1012628).
- media: exynos4-is: fix pm_runtime_get_sync() usage count
(bsc#1012628).
- media: exynos-gsc: fix pm_runtime_get_sync() usage count
(bsc#1012628).
- spi: spi-loopback-test: Fix 'tx_buf' might be 'rx_buf'
(bsc#1012628).
- spi: spi-topcliff-pch: Fix potential double free in
pch_spi_process_messages() (bsc#1012628).
- spi: omap-100k: Fix the length judgment problem (bsc#1012628).
- regulator: uniphier: Add missing MODULE_DEVICE_TABLE
(bsc#1012628).
- sched/core: Initialize the idle task with preemption disabled
(bsc#1012628).
- hwrng: exynos - Fix runtime PM imbalance on error (bsc#1012628).
- crypto: nx - add missing MODULE_DEVICE_TABLE (bsc#1012628).
- media: sti: fix obj-$(config) targets (bsc#1012628).
- sched: Make the idle task quack like a per-CPU kthread
(bsc#1012628).
- media: cpia2: fix memory leak in cpia2_usb_probe (bsc#1012628).
- media: cobalt: fix race condition in setting HPD (bsc#1012628).
- media: hevc: Fix dependent slice segment flags (bsc#1012628).
- media: pvrusb2: fix warning in pvr2_i2c_core_done (bsc#1012628).
- media: imx: imx7_mipi_csis: Fix logging of only error event
counters (bsc#1012628).
- crypto: qat - check return code of qat_hal_rd_rel_reg()
(bsc#1012628).
- crypto: qat - remove unused macro in FW loader (bsc#1012628).
- crypto: qce: skcipher: Fix incorrect sg count for dma transfers
(bsc#1012628).
- crypto: ecdh - fix ecdh-nist-p192's entry in testmgr
(bsc#1012628).
- crypto: ecdh - fix 'ecdh_init' (bsc#1012628).
- arm64: perf: Convert snprintf to sysfs_emit (bsc#1012628).
- sched/fair: Fix ascii art by relpacing tabs (bsc#1012628).
- ima: Don't remove security.ima if file must not be appraised
(bsc#1012628).
- media: i2c: ov2659: Use clk_{prepare_enable,disable_unprepare}()
to set xvclk on/off (bsc#1012628).
- media: bt878: do not schedule tasklet when it is not setup
(bsc#1012628).
- media: em28xx: Fix possible memory leak of em28xx struct
(bsc#1012628).
- media: hantro: Fix .buf_prepare (bsc#1012628).
- media: cedrus: Fix .buf_prepare (bsc#1012628).
- media: v4l2-core: Avoid the dangling pointer in v4l2_fh_release
(bsc#1012628).
- media: bt8xx: Fix a missing check bug in bt878_probe
(bsc#1012628).
- media: st-hva: Fix potential NULL pointer dereferences
(bsc#1012628).
- crypto: hisilicon/sec - fixup 3des minimum key size declaration
(bsc#1012628).
- arm64: entry: don't instrument entry code with KCOV
(bsc#1012628).
- Makefile: fix GDB warning with CONFIG_RELR (bsc#1012628).
- media: dvd_usb: memory leak in cinergyt2_fe_attach
(bsc#1012628).
- memstick: rtsx_usb_ms: fix UAF (bsc#1012628).
- mmc: sdhci-sprd: use sdhci_sprd_writew (bsc#1012628).
- mmc: via-sdmmc: add a check against NULL pointer dereference
(bsc#1012628).
- mmc: sdhci-of-aspeed: Turn down a phase correction warning
(bsc#1012628).
- spi: meson-spicc: fix a wrong goto jump for avoiding memory leak
(bsc#1012628).
- spi: meson-spicc: fix memory leak in meson_spicc_probe
(bsc#1012628).
- regulator: mt6315: Fix checking return value of
devm_regmap_init_spmi_ext (bsc#1012628).
- crypto: shash - avoid comparing pointers to exported functions
under CFI (bsc#1012628).
- media: dvb_net: avoid speculation from net slot (bsc#1012628).
- media: dvbdev: fix error logic at dvb_register_device()
(bsc#1012628).
- media: siano: fix device register error path (bsc#1012628).
- media: imx-csi: Skip first few frames from a BT.656 source
(bsc#1012628).
- hwmon: (max31790) Report correct current pwm duty cycles
(bsc#1012628).
- hwmon: (max31790) Fix pwmX_enable attributes (bsc#1012628).
- sched/fair: Take thermal pressure into account while estimating
energy (bsc#1012628).
- perf/x86: Reset the dirty counter to prevent the leak for an
RDPMC task (bsc#1012628).
- drivers/perf: fix the missed ida_simple_remove() in
ddr_perf_probe() (bsc#1012628).
- KVM: arm64: Restore PMU configuration on first run
(bsc#1012628).
- KVM: PPC: Book3S HV: Fix TLB management on SMT8 POWER9 and
POWER10 processors (bsc#1012628).
- btrfs: fix error handling in __btrfs_update_delayed_inode
(bsc#1012628).
- btrfs: abort transaction if we fail to update the delayed inode
(bsc#1012628).
- btrfs: always abort the transaction if we abort a trans handle
(bsc#1012628).
- btrfs: sysfs: fix format string for some discard stats
(bsc#1012628).
- btrfs: don't clear page extent mapped if we're not invalidating
the full page (bsc#1012628).
- btrfs: disable build on platforms having page size 256K
(bsc#1012628).
- locking/lockdep: Fix the dep path printing for backwards BFS
(bsc#1012628).
- lockding/lockdep: Avoid to find wrong lock dep path in
check_irq_usage() (bsc#1012628).
- KVM: s390: get rid of register asm usage (bsc#1012628).
- regulator: mt6358: Fix vdram2 .vsel_mask (bsc#1012628).
- regulator: da9052: Ensure enough delay time for
.set_voltage_time_sel (bsc#1012628).
- media: Fix Media Controller API config checks (bsc#1012628).
- seccomp: Support atomic "addfd + send reply" (bsc#1012628).
- HID: do not use down_interruptible() when unbinding devices
(bsc#1012628).
- EDAC/ti: Add missing MODULE_DEVICE_TABLE (bsc#1012628).
- ACPI: scan: Rearrange dep_unmet initialization (bsc#1012628).
- hv_utils: Fix passing zero to 'PTR_ERR' warning (bsc#1012628).
- lib: vsprintf: Fix handling of number field widths in vsscanf
(bsc#1012628).
- Input: goodix - platform/x86: touchscreen_dmi - Move upside
down quirks to touchscreen_dmi.c (bsc#1012628).
- platform/x86: touchscreen_dmi: Add an extra entry for the upside
down Goodix touchscreen on Teclast X89 tablets (bsc#1012628).
- platform/x86: touchscreen_dmi: Add info for the Goodix GT912
panel of TM800A550L tablets (bsc#1012628).
- ACPI: EC: Make more Asus laptops use ECDT _GPE (bsc#1012628).
- block_dump: remove block_dump feature in mark_inode_dirty()
(bsc#1012628).
- blk-mq: grab rq->refcount before calling ->fn in
blk_mq_tagset_busy_iter (bsc#1012628).
- blk-mq: clear stale request in tags->rq[] before freeing one
request pool (bsc#1012628).
- fs: dlm: fix srcu read lock usage (bsc#1012628).
- fs: dlm: reconnect if socket error report occurs (bsc#1012628).
- fs: dlm: cancel work sync othercon (bsc#1012628).
- fs: dlm: fix connection tcp EOF handling (bsc#1012628).
- random32: Fix implicit truncation warning in
prandom_seed_state() (bsc#1012628).
- open: don't silently ignore unknown O-flags in openat2()
(bsc#1012628).
- drivers: hv: Fix missing error code in vmbus_connect()
(bsc#1012628).
- fs: dlm: fix lowcomms_start error case (bsc#1012628).
- fs: dlm: fix memory leak when fenced (bsc#1012628).
- ACPICA: Fix memory leak caused by _CID repair function
(bsc#1012628).
- ACPI: bus: Call kobject_put() in acpi_init() error path
(bsc#1012628).
- ACPI: resources: Add checks for ACPI IRQ override (bsc#1012628).
- HID: hid-input: add Surface Go battery quirk (bsc#1012628).
- HID: sony: fix freeze when inserting ghlive ps3/wii dongles
(bsc#1012628).
- block: fix race between adding/removing rq qos and normal IO
(bsc#1012628).
- platform/x86: asus-nb-wmi: Revert "Drop duplicate DMI quirk
structures" (bsc#1012628).
- platform/x86: asus-nb-wmi: Revert "add support for ASUS ROG
Zephyrus G14 and G15" (bsc#1012628).
- platform/x86: toshiba_acpi: Fix missing error code in
toshiba_acpi_setup_keyboard() (bsc#1012628).
- nvme-pci: fix var. type for increasing cq_head (bsc#1012628).
- nvmet-fc: do not check for invalid target port in
nvmet_fc_handle_fcp_rqst() (bsc#1012628).
- EDAC/Intel: Do not load EDAC driver when running as a guest
(bsc#1012628).
- tools/power/x86/intel-speed-select: Fix uncore memory frequency
display (bsc#1012628).
- PCI: hv: Add check for hyperv_initialized in init_hv_pci_drv()
(bsc#1012628).
- cifs: improve fallocate emulation (bsc#1012628).
- cifs: fix check of dfs interlinks (bsc#1012628).
- cifs: retry lookup and readdir when EAGAIN is returned
(bsc#1012628).
- smb3: fix uninitialized value for port in witness protocol move
(bsc#1012628).
- cifs: fix SMB1 error path in cifs_get_file_info_unix
(bsc#1012628).
- ACPI: EC: trust DSDT GPE for certain HP laptop (bsc#1012628).
- block, bfq: fix delayed stable merge check (bsc#1012628).
- clocksource: Retry clock read if long delays detected
(bsc#1012628).
- clocksource: Check per-CPU clock synchronization when marked
unstable (bsc#1012628).
- tpm_tis_spi: add missing SPI device ID entries (bsc#1012628).
- ACPI: tables: Add custom DSDT file as makefile prerequisite
(bsc#1012628).
- smb3: fix possible access to uninitialized pointer to DACL
(bsc#1012628).
- HID: wacom: Correct base usage for capacitive ExpressKey status
bits (bsc#1012628).
- cifs: fix missing spinlock around update to ses->status
(bsc#1012628).
- mailbox: qcom: Use PLATFORM_DEVID_AUTO to register platform
device (bsc#1012628).
- block: fix discard request merge (bsc#1012628).
- kthread_worker: fix return value when kthread_mod_delayed_work()
races with kthread_cancel_delayed_work_sync() (bsc#1012628).
- ia64: mca_drv: fix incorrect array size calculation
(bsc#1012628).
- writeback, cgroup: increment isw_nr_in_flight before grabbing
an inode (bsc#1012628).
- mm: define default MAX_PTRS_PER_* in include/pgtable.h
(bsc#1012628).
- kbuild: skip per-CPU BTF generation for pahole v1.18-v1.21
(bsc#1012628).
- spi: Allow to have all native CSs in use along with GPIOs
(bsc#1012628).
- spi: Avoid undefined behaviour when counting unused native CSs
(bsc#1012628).
- media: venus: Rework error fail recover logic (bsc#1012628).
- media: s5p_cec: decrement usage count if disabled (bsc#1012628).
- media: i2c: ccs-core: return the right error code at suspend
(bsc#1012628).
- media: hantro: do a PM resume earlier (bsc#1012628).
- crypto: ixp4xx - dma_unmap the correct address (bsc#1012628).
- crypto: ixp4xx - update IV after requests (bsc#1012628).
- crypto: ux500 - Fix error return code in hash_hw_final()
(bsc#1012628).
- sata_highbank: fix deferred probing (bsc#1012628).
- pata_rb532_cf: fix deferred probing (bsc#1012628).
- media: I2C: change 'RST' to "RSET" to fix multiple build errors
(bsc#1012628).
- sched/uclamp: Fix wrong implementation of cpu.uclamp.min
(bsc#1012628).
- sched/uclamp: Fix locking around cpu_util_update_eff()
(bsc#1012628).
- kbuild: Fix objtool dependency for
'OBJECT_FILES_NON_STANDARD_<obj> := n' (bsc#1012628).
- pata_octeon_cf: avoid WARN_ON() in ata_host_activate()
(bsc#1012628).
- evm: fix writing <securityfs>/evm overflow (bsc#1012628).
- crypto: testmgr - fix initialization of 'secret_size'
(bsc#1012628).
- crypto: hisilicon/hpre - fix unmapping invalid dma address
(bsc#1012628).
- x86/elf: Use _BITUL() macro in UAPI headers (bsc#1012628).
- crypto: sa2ul - Fix leaks on failure paths with sa_dma_init()
(bsc#1012628).
- crypto: sa2ul - Fix pm_runtime enable in sa_ul_probe()
(bsc#1012628).
- crypto: sa2ul - Use of_device_get_match_data() helper
(bsc#1012628).
- crypto: ccp - Fix a resource leak in an error handling path
(bsc#1012628).
- media: rc: i2c: Fix an error message (bsc#1012628).
- regulator: bd71815: add select to fix build (bsc#1012628).
- pata_ep93xx: fix deferred probing (bsc#1012628).
- locking/lockdep: Reduce LOCKDEP dependency list (bsc#1012628).
- sched: Don't defer CPU pick to migration_cpu_stop()
(bsc#1012628).
- media: ipu3-cio2: Fix reference counting when looping over
ACPI devices (bsc#1012628).
- media: venus: hfi_cmds: Fix conceal color property
(bsc#1012628).
- media: rkvdec: Fix .buf_prepare (bsc#1012628).
- media: exynos4-is: Fix a use after free in isp_video_release
(bsc#1012628).
- media: au0828: fix a NULL vs IS_ERR() check (bsc#1012628).
- media: tc358743: Fix error return code in tc358743_probe_of()
(bsc#1012628).
- media: vicodec: Use _BITUL() macro in UAPI headers
(bsc#1012628).
- media: gspca/gl860: fix zero-length control requests
(bsc#1012628).
- regulator: fan53555: Fix missing slew_reg/mask/shift settings
for FAN53526 (bsc#1012628).
- drivers/perf: hisi: Fix data source control (bsc#1012628).
- m68k: atari: Fix ATARI_KBD_CORE kconfig unmet dependency warning
(bsc#1012628).
- media: siano: Fix out-of-bounds warnings in
smscore_load_firmware_family2() (bsc#1012628).
- regulator: fan53880: Fix vsel_mask setting for FAN53880_BUCK
(bsc#1012628).
- crypto: nitrox - fix unchecked variable in
nitrox_register_interrupts (bsc#1012628).
- crypto: omap-sham - Fix PM reference leak in omap sham ops
(bsc#1012628).
- crypto: x86/curve25519 - fix cpu feature checking logic in
mod_exit (bsc#1012628).
- crypto: sm2 - fix a memory leak in sm2 (bsc#1012628).
- mmc: usdhi6rol0: fix error return code in usdhi6_probe()
(bsc#1012628).
- arm64/mm: Fix ttbr0 values stored in struct thread_info for
software-pan (bsc#1012628).
- media: v4l2-core: ignore native time32 ioctls on 64-bit
(bsc#1012628).
- media: subdev: remove VIDIOC_DQEVENT_TIME32 handling
(bsc#1012628).
- media: s5p-g2d: Fix a memory leak on ctx->fh.m2m_ctx
(bsc#1012628).
- media: i2c: rdacm21: Fix OV10640 powerup (bsc#1012628).
- media: i2c: rdacm21: Power up OV10640 before OV490
(bsc#1012628).
- hwmon: (pmbus/bpa-rs600) Handle Vin readings >= 256V
(bsc#1012628).
- hwmon: (lm70) Revert "hwmon: (lm70) Add support for ACPI"
(bsc#1012628).
- hwmon: (max31722) Remove non-standard ACPI device IDs
(bsc#1012628).
- hwmon: (max31790) Fix fan speed reporting for fan7..12
(bsc#1012628).
- KVM: nVMX: Add a return code to
vmx_complete_nested_posted_interrupt (bsc#1012628).
- KVM: nVMX: Sync all PGDs on nested transition with shadow paging
(bsc#1012628).
- KVM: nVMX: Ensure 64-bit shift when checking VMFUNC bitmap
(bsc#1012628).
- KVM: nVMX: Don't clobber nested MMU's A/D status on EPTP switch
(bsc#1012628).
- KVM: x86/mmu: Fix return value in
tdp_mmu_map_handle_target_level() (bsc#1012628).
- KVM: x86/mmu: Fix pf_fixed count in
tdp_mmu_map_handle_target_level() (bsc#1012628).
- perf/arm-cmn: Fix invalid pointer when access dtc object
sharing the same IRQ number (bsc#1012628).
- KVM: arm64: Don't zero the cycle count register when PMCR_EL0.P
is set (bsc#1012628).
- regulator: hi655x: Fix pass wrong pointer to config.driver_data
(bsc#1012628).
- regulator: qcom-rpmh: Add terminator at the end of
pm7325x_vreg_data[] array (bsc#1012628).
- regulator: hi6421v600: Fix setting idle mode (bsc#1012628).
- regulator: bd9576: Fix the driver name in id table
(bsc#1012628).
- btrfs: clear log tree recovering status if starting transaction
fails (bsc#1012628).
- x86/sev: Make sure IRQs are disabled while GHCB is active
(bsc#1012628).
- x86/sev: Split up runtime #VC handler for correct state tracking
(bsc#1012628).
- sched/rt: Fix RT utilization tracking during policy change
(bsc#1012628).
- sched/rt: Fix Deadline utilization tracking during policy change
(bsc#1012628).
- sched/uclamp: Fix uclamp_tg_restrict() (bsc#1012628).
- lockdep: Fix wait-type for empty stack (bsc#1012628).
- lockdep/selftests: Fix selftests vs PROVE_RAW_LOCK_NESTING
(bsc#1012628).
- x86/sev: Use "SEV: " prefix for messages from sev.c
(bsc#1012628).
- spi: spi-sun6i: Fix chipselect/clock bug (bsc#1012628).
- perf: Fix task context PMU for Hetero (bsc#1012628).
- crypto: nx - Fix RCU warning in nx842_OF_upd_status
(bsc#1012628).
- objtool: Don't make .altinstructions writable (bsc#1012628).
- psi: Fix race between psi_trigger_create/destroy (bsc#1012628).
- KVM: selftests: fix triple fault if ept=0 in dirty_log_test
(bsc#1012628).
- KVM: selftests: Remove errant asm/barrier.h include to fix
arm64 build (bsc#1012628).
- media: video-mux: Skip dangling endpoints (bsc#1012628).
- media: mtk-vpu: on suspend, read/write regs only if vpu is
running (bsc#1012628).
- media: s5p-mfc: Fix display delay control creation
(bsc#1012628).
- EDAC/aspeed: Use proper format string for printing resource
(bsc#1012628).
- PM / devfreq: Add missing error code in devfreq_add_device()
(bsc#1012628).
- ACPI: PM / fan: Put fan device IDs into separate header file
(bsc#1012628).
- block: avoid double io accounting for flush request
(bsc#1012628).
- x86/hyperv: fix logical processor creation (bsc#1012628).
- nvme-pci: look for StorageD3Enable on companion ACPI device
instead (bsc#1012628).
- ACPI: tables: FPDT: Add missing acpi_put_table() in
acpi_init_fpdt() (bsc#1012628).
- ACPI: sysfs: Fix a buffer overrun problem with
description_show() (bsc#1012628).
- mark pstore-blk as broken (bsc#1012628).
- md: revert io stats accounting (bsc#1012628).
- HID: surface-hid: Fix get-report request (bsc#1012628).
- clocksource/drivers/timer-ti-dm: Save and restore timer
TIOCP_CFG (bsc#1012628).
- nvme-tcp: fix error codes in nvme_tcp_setup_ctrl()
(bsc#1012628).
- extcon: extcon-max8997: Fix IRQ freeing at error path
(bsc#1012628).
- ACPI: APEI: fix synchronous external aborts in user-mode
(bsc#1012628).
- EDAC/igen6: fix core dependency (bsc#1012628).
- blk-wbt: introduce a new disable state to prevent false positive
by rwb_enabled() (bsc#1012628).
- blk-wbt: make sure throttle is enabled properly (bsc#1012628).
- block, bfq: avoid delayed merge of async queues (bsc#1012628).
- block, bfq: reset waker pointer with shared queues
(bsc#1012628).
- ACPI: bgrt: Fix CFI violation (bsc#1012628).
- cpufreq: Make cpufreq_online() call driver->offline() on errors
(bsc#1012628).
- PM / devfreq: passive: Fix get_target_freq when not using
required-opp (bsc#1012628).
- block: fix trace completion for chained bio (bsc#1012628).
- blk-mq: update hctx->dispatch_busy in case of real scheduler
(bsc#1012628).
- ocfs2: fix snprintf() checking (bsc#1012628).
- dax: fix ENOMEM handling in grab_mapping_entry() (bsc#1012628).
- mm/debug_vm_pgtable: ensure THP availability via
has_transparent_hugepage() (bsc#1012628).
- mm: mmap_lock: use local locks instead of disabling preemption
(bsc#1012628).
- swap: fix do_swap_page() race with swapoff (bsc#1012628).
- mm/shmem: fix shmem_swapin() race with swapoff (bsc#1012628).
- mm: memcg/slab: properly set up gfp flags for objcg pointer
array (bsc#1012628).
- mm/page_alloc: fix counting of managed_pages (bsc#1012628).
- xfrm: xfrm_state_mtu should return at least 1280 for ipv6
(bsc#1012628).
- drm/bridge/sii8620: fix dependency on extcon (bsc#1012628).
- drm/bridge: Fix the stop condition of
drm_bridge_chain_pre_enable() (bsc#1012628).
- drm/amd/dc: Fix a missing check bug in dm_dp_mst_detect()
(bsc#1012628).
- drm/ast: Fix missing conversions to managed API (bsc#1012628).
- drm/bridge: anx7625: Fix power on delay (bsc#1012628).
- drm/bridge: fix LONTIUM_LT8912B dependencies (bsc#1012628).
- video: fbdev: imxfb: Fix an error message (bsc#1012628).
- drm/imx: ipuv3-plane: do not advertise YUV formats on planes
without CSC (bsc#1012628).
- drm/imx: ipuv3-plane: fix PRG modifiers after drm managed
resource conversion (bsc#1012628).
- rtnetlink: avoid RCU read lock when holding RTNL (bsc#1012628).
- net: mvpp2: Put fwnode in error case during ->probe()
(bsc#1012628).
- net: pch_gbe: Propagate error from devm_gpio_request_one()
(bsc#1012628).
- pinctrl: renesas: r8a7796: Add missing bias for PRESET# pin
(bsc#1012628).
- pinctrl: renesas: r8a77990: JTAG pins do not have pull-down
capabilities (bsc#1012628).
- RDMA/hns: Remove the condition of light load for posting DWQE
(bsc#1012628).
- drm/vmwgfx: Mark a surface gpu-dirty after the
SVGA3dCmdDXGenMips command (bsc#1012628).
- drm/vmwgfx: Fix cpu updates of coherent multisample surfaces
(bsc#1012628).
- libbpf: Fix ELF symbol visibility update logic (bsc#1012628).
- drm/i915: Merge fix for "drm: Switch to %p4cc format modifier"
(bsc#1012628).
- net: qrtr: ns: Fix error return code in qrtr_ns_init()
(bsc#1012628).
- clk: meson: g12a: fix gp0 and hifi ranges (bsc#1012628).
- drm/amd/display: fix potential gpu reset deadlock (bsc#1012628).
- drm/amd/display: Avoid HPD IRQ in GPU reset state (bsc#1012628).
- drm/amd/display: take dc_lock in short pulse handler only
(bsc#1012628).
- net: ftgmac100: add missing error return code in
ftgmac100_probe() (bsc#1012628).
- clk: rockchip: fix rk3568 cpll clk gate bits (bsc#1012628).
- clk: sunxi-ng: v3s: fix incorrect postdivider on pll-audio
(bsc#1012628).
- drm/vc4: crtc: Pass the drm_atomic_state to config_pv
(bsc#1012628).
- drm/vc4: crtc: Fix vc4_get_crtc_encoder logic (bsc#1012628).
- drm/vc4: crtc: Lookup the encoder from the register at boot
(bsc#1012628).
- drm: rockchip: set alpha_en to 0 if it is not used
(bsc#1012628).
- drm/rockchip: cdn-dp-core: add missing clk_disable_unprepare()
on error in cdn_dp_grf_write() (bsc#1012628).
- drm/rockchip: dsi: move all lane config except LCDC mux to
bind() (bsc#1012628).
- drm/rockchip: lvds: Fix an error handling path (bsc#1012628).
- drm/rockchip: cdn-dp: fix sign extension on an int multiply
for a u64 result (bsc#1012628).
- mptcp: fix pr_debug in mptcp_token_new_connect (bsc#1012628).
- mptcp: generate subflow hmac after mptcp_finish_join()
(bsc#1012628).
- mptcp: make sure flag signal is set when add addr with port
(bsc#1012628).
- RDMA/hns: Fix wrong timer context buffer page size
(bsc#1012628).
- RDMA/srp: Fix a recently introduced memory leak (bsc#1012628).
- RDMA/rtrs-clt: Check state of the rtrs_clt_sess before reading
its stats (bsc#1012628).
- RDMA/rtrs: Do not reset hb_missed_max after re-connection
(bsc#1012628).
- RDMA/rtrs-srv: Fix memory leak of unfreed rtrs_srv_stats object
(bsc#1012628).
- RDMA/rtrs-srv: Fix memory leak when having multiple sessions
(bsc#1012628).
- RDMA/rtrs-clt: Check if the queue_depth has changed during a
reconnection (bsc#1012628).
- RDMA/rtrs-clt: Fix memory leak of not-freed sess->stats and
stats->pcpu_stats (bsc#1012628).
- ehea: fix error return code in ehea_restart_qps() (bsc#1012628).
- clk: tegra30: Use 300MHz for video decoder by default
(bsc#1012628).
- xfrm: remove the fragment check for ipv6 beet mode
(bsc#1012628).
- net/sched: act_vlan: Fix modify to allow 0 (bsc#1012628).
- RDMA/core: Sanitize WQ state received from the userspace
(bsc#1012628).
- IB/cm: Pair cm_alloc_response_msg() with a
cm_free_response_msg() (bsc#1012628).
- IB/cm: Split cm_alloc_msg() (bsc#1012628).
- Revert "IB/cm: Mark stale CM id's whenever the mad agent was
unregistered" (bsc#1012628).
- IB/cm: Improve the calling of cm_init_av_for_lap and
cm_init_av_by_path (bsc#1012628).
- drm/pl111: depend on CONFIG_VEXPRESS_CONFIG (bsc#1012628).
- RDMA/rxe: Fix failure during driver load (bsc#1012628).
- drm/pl111: Actually fix CONFIG_VEXPRESS_CONFIG depends
(bsc#1012628).
- drm/vc4: hdmi: Fix error path of hpd-gpios (bsc#1012628).
- clk: vc5: fix output disabling when enabling a FOD
(bsc#1012628).
- drm: qxl: ensure surf.data is ininitialized (bsc#1012628).
- stmmac: prefetch right address (bsc#1012628).
- net: stmmac: Fix potential integer overflow (bsc#1012628).
- tools/bpftool: Fix error return code in do_batch()
(bsc#1012628).
- ath10k: go to path err_unsupported when chip id is not supported
(bsc#1012628).
- ath10k: add missing error return code in ath10k_pci_probe()
(bsc#1012628).
- wireless: carl9170: fix LEDS build errors & warnings
(bsc#1012628).
- ieee802154: hwsim: Fix possible memory leak in
hwsim_subscribe_all_others (bsc#1012628).
- clk: imx8mq: remove SYS PLL 1/2 clock gates (bsc#1012628).
- wcn36xx: Move hal_buf allocation to devm_kmalloc in probe
(bsc#1012628).
- net: wwan: Fix WWAN config symbols (bsc#1012628).
- drm/i915/selftests: Reorder tasklet_disable vs local_bh_disable
(bsc#1012628).
- ssb: Fix error return code in ssb_bus_scan() (bsc#1012628).
- brcmfmac: fix setting of station info chains bitmask
(bsc#1012628).
- brcmfmac: correctly report average RSSI in station info
(bsc#1012628).
- brcmfmac: Fix a double-free in brcmf_sdio_bus_reset
(bsc#1012628).
- brcmsmac: mac80211_if: Fix a resource leak in an error handling
path (bsc#1012628).
- cw1200: Revert unnecessary patches that fix unreal
use-after-free bugs (bsc#1012628).
- ath11k: Fix an error handling path in
ath11k_core_fetch_board_data_api_n() (bsc#1012628).
- ath10k: Fix an error code in ath10k_add_interface()
(bsc#1012628).
- ath11k: send beacon template after vdev_start/restart during
csa (bsc#1012628).
- wil6210: remove erroneous wiphy locking (bsc#1012628).
- netlabel: Fix memory leak in netlbl_mgmt_add_common
(bsc#1012628).
- RDMA/mlx5: Don't add slave port to unaffiliated list
(bsc#1012628).
- netfilter: nft_exthdr: check for IPv6 packet before further
processing (bsc#1012628).
- netfilter: nft_osf: check for TCP packet before further
processing (bsc#1012628).
- netfilter: nft_tproxy: restrict support to TCP and UDP transport
protocols (bsc#1012628).
- RDMA/rxe: Fix qp reference counting for atomic ops
(bsc#1012628).
- selftests/bpf: Whitelist test_progs.h from .gitignore
(bsc#1012628).
- selftests/bpf: Fix ringbuf test fetching map FD (bsc#1012628).
- xsk: Fix missing validation for skb and unaligned mode
(bsc#1012628).
- xsk: Fix broken Tx ring validation (bsc#1012628).
- bpf: Fix libelf endian handling in resolv_btfids (bsc#1012628).
- RDMA/rtrs-srv: Set minimal max_send_wr and max_recv_wr
(bsc#1012628).
- RDMA/hns: Clear extended doorbell info before using
(bsc#1012628).
- samples/bpf: Fix Segmentation fault for xdp_redirect command
(bsc#1012628).
- samples/bpf: Fix the error return code of xdp_redirect's main()
(bsc#1012628).
- net: pxa168_eth: Fix a potential data race in pxa168_eth_remove
(bsc#1012628).
- mt76: mt7915: fix a signedness bug in mt7915_mcu_apply_tx_dpd()
(bsc#1012628).
- mt76: fix possible NULL pointer dereference in mt76_tx
(bsc#1012628).
- mt76: mt7615: fix NULL pointer dereference in tx_prepare_skb()
(bsc#1012628).
- mt76: mt7921: fix mt7921_wfsys_reset sequence (bsc#1012628).
- mt76: mt7921: Don't alter Rx path classifier (bsc#1012628).
- mt76: connac: fw_own rely on all packet memory all being free
(bsc#1012628).
- mt76: connac: fix WoW with disconnetion and bitmap pattern
(bsc#1012628).
- mt76: mt7921: consider the invalid value for to_rssi
(bsc#1012628).
- mt76: mt7921: add back connection monitor support (bsc#1012628).
- mt76: mt7921: fix invalid register access in wake_work
(bsc#1012628).
- mt76: mt7921: fix OMAC idx usage (bsc#1012628).
- mt76: mt7921: avoid unnecessary consecutive WiFi resets
(bsc#1012628).
- mt76: mt7921: do not schedule hw reset if the device is not
running (bsc#1012628).
- mt76: testmode: fix memory leak in mt76_testmode_alloc_skb
(bsc#1012628).
- mt76: testmode: remove undefined behaviour in
mt76_testmode_alloc_skb (bsc#1012628).
- mt76: mt7615: fix potential overflow on large shift
(bsc#1012628).
- mt76: mt7915: fix MT_EE_CAL_GROUP_SIZE (bsc#1012628).
- mt76: mt7921: wake the device before dumping power table
(bsc#1012628).
- mt76: mt7915: fix rx fcs error count in testmode (bsc#1012628).
- mt76: mt7921: fix kernel warning when reset on vif is not sta
(bsc#1012628).
- mt76: mt7921: fix the coredump is being truncated (bsc#1012628).
- net: ethernet: aeroflex: fix UAF in greth_of_remove
(bsc#1012628).
- net: ethernet: ezchip: fix UAF in nps_enet_remove (bsc#1012628).
- net: ethernet: ezchip: fix error handling (bsc#1012628).
- selftests/bpf: Retry for EAGAIN in udp_redir_to_connected()
(bsc#1012628).
- udp: Fix a memory leak in udp_read_sock() (bsc#1012628).
- skmsg: Clear skb redirect pointer before dropping it
(bsc#1012628).
- skmsg: Fix a memory leak in sk_psock_verdict_apply()
(bsc#1012628).
- skmsg: Teach sk_psock_verdict_apply() to return errors
(bsc#1012628).
- vrf: do not push non-ND strict packets with a source LLA
through packet taps again (bsc#1012628).
- net: sched: add barrier to ensure correct ordering for lockless
qdisc (bsc#1012628).
- selftests: tls: clean up uninitialized warnings (bsc#1012628).
- selftests: tls: fix chacha+bidir tests (bsc#1012628).
- tls: prevent oversized sendfile() hangs by ignoring MSG_MORE
(bsc#1012628).
- netfilter: nf_tables: memleak in hw offload abort path
(bsc#1012628).
- netfilter: nf_tables_offload: check FLOW_DISSECTOR_KEY_BASIC
in VLAN transfer logic (bsc#1012628).
- mptcp: fix bad handling of 32 bit ack wrap-around (bsc#1012628).
- mptcp: fix 32 bit DSN expansion (bsc#1012628).
- net: mana: Fix a memory leak in an error handling path in
'mana_create_txq()' (bsc#1012628).
- net: dsa: mv88e6xxx: Fix adding vlan 0 (bsc#1012628).
- pkt_sched: sch_qfq: fix qfq_change_class() error path
(bsc#1012628).
- xfrm: Fix xfrm offload fallback fail case (bsc#1012628).
- netfilter: nf_tables: skip netlink portID validation if zero
(bsc#1012628).
- netfilter: nf_tables: do not allow to delete table with owner
by handle (bsc#1012628).
- iwlwifi: increase PNVM load timeout (bsc#1012628).
- bpf: Fix regression on BPF_OBJ_GET with non-O_RDWR flags
(bsc#1012628).
- rtw88: 8822c: fix lc calibration timing (bsc#1012628).
- vxlan: add missing rcu_read_lock() in neigh_reduce()
(bsc#1012628).
- bpf: Fix integer overflow in argument calculation for
bpf_map_area_alloc (bsc#1012628).
- mptcp: avoid race on msk state changes (bsc#1012628).
- ip6_tunnel: fix GRE6 segmentation (bsc#1012628).
- net/ipv4: swap flow ports when validating source (bsc#1012628).
- net: broadcom: bcm4908_enet: reset DMA rings sw indexes properly
(bsc#1012628).
- net: ti: am65-cpsw-nuss: Fix crash when changing number of TX
queues (bsc#1012628).
- tc-testing: fix list handling (bsc#1012628).
- RDMA/hns: Force rewrite inline flag of WQE (bsc#1012628).
- RDMA/hns: Fix uninitialized variable (bsc#1012628).
- ieee802154: hwsim: Fix memory leak in hwsim_add_one
(bsc#1012628).
- ieee802154: hwsim: avoid possible crash in hwsim_del_edge_nl()
(bsc#1012628).
- bpf: Fix null ptr deref with mixed tail calls and subprogs
(bsc#1012628).
- drm/msm/dp: handle irq_hpd with sink_count = 0 correctly
(bsc#1012628).
- drm/msm/disp/dpu1: avoid perf update in frame done event
(bsc#1012628).
- drm/msm: Fix error return code in msm_drm_init() (bsc#1012628).
- drm/msm/dpu: Fix error return code in dpu_mdss_init()
(bsc#1012628).
- mac80211: remove iwlwifi specific workaround NDPs of
null_response (bsc#1012628).
- net: bcmgenet: Fix attaching to PYH failed on RPi 4B
(bsc#1012628).
- ipv6: exthdrs: do not blindly use init_net (bsc#1012628).
- can: j1939: j1939_sk_setsockopt(): prevent allocation of j1939
filter for optlen == 0 (bsc#1012628).
- bpf: Do not change gso_size during bpf_skb_change_proto()
(bsc#1012628).
- i40e: Fix error handling in i40e_vsi_open (bsc#1012628).
- i40e: Fix autoneg disabling for non-10GBaseT links
(bsc#1012628).
- i40e: Fix missing rtnl locking when setting up pf switch
(bsc#1012628).
- RDMA/hns: Add a check to ensure integer mtu is positive
(bsc#1012628).
- RDMA/hns: Add window selection field of congestion control
(bsc#1012628).
- Revert "ibmvnic: simplify reset_long_term_buff function"
(bsc#1012628).
- Revert "ibmvnic: remove duplicate napi_schedule call in open
function" (bsc#1012628).
- ibmvnic: clean pending indirect buffs during reset
(bsc#1012628).
- ibmvnic: account for bufs already saved in indir_buf
(bsc#1012628).
- ibmvnic: set ltb->buff to NULL after freeing (bsc#1012628).
- ibmvnic: free tx_pool if tso_pool alloc fails (bsc#1012628).
- RDMA/cma: Protect RMW with qp_mutex (bsc#1012628).
- net: macsec: fix the length used to copy the key for offloading
(bsc#1012628).
- net: phy: mscc: fix macsec key length (bsc#1012628).
- net: atlantic: fix the macsec key length (bsc#1012628).
- ipv6: fix out-of-bound access in ip6_parse_tlv() (bsc#1012628).
- e1000e: Check the PCIm state (bsc#1012628).
- net: dsa: sja1105: fix NULL pointer dereference in
sja1105_reload_cbs() (bsc#1012628).
- bpfilter: Specify the log level for the kmsg message
(bsc#1012628).
- RDMA/cma: Fix incorrect Packet Lifetime calculation
(bsc#1012628).
- gve: Fix swapped vars when fetching max queues (bsc#1012628).
- Revert "be2net: disable bh with spin_lock in be_process_mcc"
(bsc#1012628).
- clk: zynqmp: fix compile testing without ZYNQMP_FIRMWARE
(bsc#1012628).
- Bluetooth: virtio_bt: add missing null pointer check on
alloc_skb call return (bsc#1012628).
- Bluetooth: mgmt: Fix slab-out-of-bounds in tlv_data_is_valid
(bsc#1012628).
- Bluetooth: Fix Set Extended (Scan Response) Data (bsc#1012628).
- Bluetooth: Fix handling of HCI_LE_Advertising_Set_Terminated
event (bsc#1012628).
- clk: qcom: gcc: Add support for a new frequency for SC7280
(bsc#1012628).
- clk: actions: Fix UART clock dividers on Owl S500 SoC
(bsc#1012628).
- clk: actions: Fix SD clocks factor table on Owl S500 SoC
(bsc#1012628).
- clk: actions: Fix bisp_factor_table based clocks on Owl S500
SoC (bsc#1012628).
- clk: actions: Fix AHPPREDIV-H-AHB clock chain on Owl S500 SoC
(bsc#1012628).
- clk: qcom: clk-alpha-pll: fix CAL_L write in
alpha_pll_fabia_prepare (bsc#1012628).
- clk: si5341: Wait for DEVICE_READY on startup (bsc#1012628).
- clk: si5341: Avoid divide errors due to bogus register contents
(bsc#1012628).
- clk: si5341: Check for input clock presence and PLL lock on
startup (bsc#1012628).
- clk: si5341: Update initialization magic (bsc#1012628).
- bpf, x86: Fix extable offset calculation (bsc#1012628).
- writeback: fix obtain a reference to a freeing memcg css
(bsc#1012628).
- net: lwtunnel: handle MTU calculation in forwading
(bsc#1012628).
- net: sched: fix warning in tcindex_alloc_perfect_hash
(bsc#1012628).
- net: tipc: fix FB_MTU eat two pages (bsc#1012628).
- RDMA/mlx5: Don't access NULL-cleared mpi pointer (bsc#1012628).
- RDMA/core: Always release restrack object (bsc#1012628).
- MIPS: Fix PKMAP with 32-bit MIPS huge page support
(bsc#1012628).
- staging: rtl8712: Fix some tests against some 'data' subtype
frames (bsc#1012628).
- staging: fbtft: Rectify GPIO handling (bsc#1012628).
- staging: fbtft: Don't spam logs when probe is deferred
(bsc#1012628).
- ASoC: rt5682: Disable irq on shutdown (bsc#1012628).
- rcu: Invoke rcu_spawn_core_kthreads() from
rcu_spawn_gp_kthread() (bsc#1012628).
- serial: fsl_lpuart: don't modify arbitrary data on lpuart32
(bsc#1012628).
- serial: fsl_lpuart: remove RTSCTS handling from get_mctrl()
(bsc#1012628).
- serial: 8250_omap: fix a timeout loop condition (bsc#1012628).
- tty: nozomi: Fix a resource leak in an error handling function
(bsc#1012628).
- phy: ralink: phy-mt7621-pci: properly print pointer address
(bsc#1012628).
- mwifiex: re-fix for unaligned accesses (bsc#1012628).
- iio: adis_buffer: do not return ints in irq handlers
(bsc#1012628).
- iio: adis16400: do not return ints in irq handlers
(bsc#1012628).
- iio: adis16475: do not return ints in irq handlers
(bsc#1012628).
- iio: accel: bma180: Fix buffer alignment in
iio_push_to_buffers_with_timestamp() (bsc#1012628).
- iio: accel: bma220: Fix buffer alignment in
iio_push_to_buffers_with_timestamp() (bsc#1012628).
- iio: accel: hid: Fix buffer alignment in
iio_push_to_buffers_with_timestamp() (bsc#1012628).
- iio: accel: kxcjk-1013: Fix buffer alignment in
iio_push_to_buffers_with_timestamp() (bsc#1012628).
- iio: accel: mxc4005: Fix overread of data and alignment issue
(bsc#1012628).
- iio: accel: stk8312: Fix buffer alignment in
iio_push_to_buffers_with_timestamp() (bsc#1012628).
- iio: accel: stk8ba50: Fix buffer alignment in
iio_push_to_buffers_with_timestamp() (bsc#1012628).
- iio: adc: ti-ads1015: Fix buffer alignment in
iio_push_to_buffers_with_timestamp() (bsc#1012628).
- iio: adc: vf610: Fix buffer alignment in
iio_push_to_buffers_with_timestamp() (bsc#1012628).
- iio: gyro: bmg160: Fix buffer alignment in
iio_push_to_buffers_with_timestamp() (bsc#1012628).
- iio: humidity: am2315: Fix buffer alignment in
iio_push_to_buffers_with_timestamp() (bsc#1012628).
- iio: prox: srf08: Fix buffer alignment in
iio_push_to_buffers_with_timestamp() (bsc#1012628).
- iio: prox: pulsed-light: Fix buffer alignment in
iio_push_to_buffers_with_timestamp() (bsc#1012628).
- iio: prox: as3935: Fix buffer alignment in
iio_push_to_buffers_with_timestamp() (bsc#1012628).
- iio: magn: hmc5843: Fix buffer alignment in
iio_push_to_buffers_with_timestamp() (bsc#1012628).
- iio: magn: bmc150: Fix buffer alignment in
iio_push_to_buffers_with_timestamp() (bsc#1012628).
- iio: light: isl29125: Fix buffer alignment in
iio_push_to_buffers_with_timestamp() (bsc#1012628).
- iio: light: tcs3414: Fix buffer alignment in
iio_push_to_buffers_with_timestamp() (bsc#1012628).
- iio: light: tcs3472: Fix buffer alignment in
iio_push_to_buffers_with_timestamp() (bsc#1012628).
- iio: chemical: atlas: Fix buffer alignment in
iio_push_to_buffers_with_timestamp() (bsc#1012628).
- iio: cros_ec_sensors: Fix alignment of buffer in
iio_push_to_buffers_with_timestamp() (bsc#1012628).
- iio: potentiostat: lmp91000: Fix alignment of buffer in
iio_push_to_buffers_with_timestamp() (bsc#1012628).
- ASoC: rk3328: fix missing clk_disable_unprepare() on error in
rk3328_platform_probe() (bsc#1012628).
- ASoC: hisilicon: fix missing clk_disable_unprepare() on error
in hi6210_i2s_startup() (bsc#1012628).
- backlight: lm3630a_bl: Put fwnode in error case during ->probe()
(bsc#1012628).
- usb: typec: tcpm: Fix up PR_SWAP when vsafe0v is signalled
(bsc#1012628).
- ASoC: rsnd: tidyup loop on rsnd_adg_clk_query() (bsc#1012628).
- Input: hil_kbd - fix error return code in hil_dev_connect()
(bsc#1012628).
- perf scripting python: Fix tuple_set_u64() (bsc#1012628).
- mtd: partitions: redboot: seek fis-index-block in the right node
(bsc#1012628).
- mtd: parsers: qcom: Fix leaking of partition name (bsc#1012628).
- mtd: rawnand: arasan: Ensure proper configuration for the
asserted target (bsc#1012628).
- staging: mmal-vchiq: Fix incorrect static vchiq_instance
(bsc#1012628).
- char: pcmcia: error out if 'num_bytes_read' is greater than
4 in set_protocol() (bsc#1012628).
- misc/pvpanic-pci: Fix error handling in 'pvpanic_pci_probe()'
(bsc#1012628).
- misc/pvpanic-mmio: Fix error handling in 'pvpanic_mmio_probe()'
(bsc#1012628).
- firmware: stratix10-svc: Fix a resource leak in an error
handling path (bsc#1012628).
- tty: nozomi: Fix the error handling path of 'nozomi_card_init()'
(bsc#1012628).
- leds: class: The -ENOTSUPP should never be seen by user space
(bsc#1012628).
- leds: lgm-sso: Fix clock handling (bsc#1012628).
- leds: lm3532: select regmap I2C API (bsc#1012628).
- leds: lm36274: Put fwnode in error case during ->probe()
(bsc#1012628).
- leds: lm3692x: Put fwnode in any case during ->probe()
(bsc#1012628).
- leds: lm3697: Don't spam logs when probe is deferred
(bsc#1012628).
- leds: lp50xx: Put fwnode in error case during ->probe()
(bsc#1012628).
- scsi: FlashPoint: Rename si_flags field (bsc#1012628).
- scsi: iscsi: Stop queueing during ep_disconnect (bsc#1012628).
- scsi: iscsi: Force immediate failure during shutdown
(bsc#1012628).
- scsi: iscsi: Use system_unbound_wq for destroy_work
(bsc#1012628).
- scsi: iscsi: Rel ref after iscsi_lookup_endpoint()
(bsc#1012628).
- scsi: iscsi: Fix in-kernel conn failure handling (bsc#1012628).
- scsi: iscsi: Flush block work before unblock (bsc#1012628).
- mfd: mp2629: Select MFD_CORE to fix build error (bsc#1012628).
- mfd: Remove software node conditionally and locate at right
place (bsc#1012628).
- mfd: rn5t618: Fix IRQ trigger by changing it to level mode
(bsc#1012628).
- fsi: core: Fix return of error values on failures (bsc#1012628).
- fsi: scom: Reset the FSI2PIB engine for any error (bsc#1012628).
- fsi: occ: Don't accept response from un-initialized OCC
(bsc#1012628).
- fsi/sbefifo: Clean up correct FIFO when receiving reset request
from SBE (bsc#1012628).
- fsi/sbefifo: Fix reset timeout (bsc#1012628).
- visorbus: fix error return code in visorchipset_init()
(bsc#1012628).
- iommu/amd: Fix extended features logging (bsc#1012628).
- iommu/amd: Tidy up DMA ops init (bsc#1012628).
- s390: enable HAVE_IOREMAP_PROT (bsc#1012628).
- s390: appldata depends on PROC_SYSCTL (bsc#1012628).
- selftests: splice: Adjust for handler fallback removal
(bsc#1012628).
- iommu/dma: Fix IOVA reserve dma ranges (bsc#1012628).
- ASoC: max98373-sdw: add missing memory allocation check
(bsc#1012628).
- ASoC: max98373-sdw: use first_hw_init flag on resume
(bsc#1012628).
- ASoC: rt1308-sdw: use first_hw_init flag on resume
(bsc#1012628).
- ASoC: rt1316-sdw: use first_hw_init flag on resume
(bsc#1012628).
- ASoC: rt5682-sdw: use first_hw_init flag on resume
(bsc#1012628).
- ASoC: rt700-sdw: use first_hw_init flag on resume (bsc#1012628).
- ASoC: rt711-sdca-sdw: use first_hw_init flag on resume
(bsc#1012628).
- ASoC: rt711-sdw: use first_hw_init flag on resume (bsc#1012628).
- ASoC: rt715-sdca-sdw: use first_hw_init flag on resume
(bsc#1012628).
- ASoC: rt715-sdw: use first_hw_init flag on resume (bsc#1012628).
- ASoC: rt715-sdca: fix clock stop prepare timeout issue
(bsc#1012628).
- ASoC: rt5682: Fix a problem with error handling in the io init
function of the soundwire (bsc#1012628).
- ASoC: rt5682-sdw: set regcache_cache_only false before reading
RT5682_DEVICE_ID (bsc#1012628).
- ASoC: rt711-sdca-sdw: add readable for SDW_SDCA_CTL() registers
(bsc#1012628).
- ASoC: rt711-sdca: handle mbq_regmap in rt711_sdca_io_init
(bsc#1012628).
- ASoC: mediatek: mtk-btcvsd: Fix an error handling path in
'mtk_btcvsd_snd_probe()' (bsc#1012628).
- usb: gadget: f_fs: Fix setting of device and driver data
cross-references (bsc#1012628).
- usb: dwc2: Don't reset the core after setting turnaround time
(bsc#1012628).
- eeprom: idt_89hpesx: Put fwnode in matching case during
->probe() (bsc#1012628).
- eeprom: idt_89hpesx: Restore printing the unsupported fwnode
name (bsc#1012628).
- mtd: spi-nor: otp: fix access to security registers in 4 byte
mode (bsc#1012628).
- mtd: spi-nor: otp: return -EROFS if region is read-only
(bsc#1012628).
- thunderbolt: Bond lanes only when dual_link_port != NULL in
alloc_dev_default() (bsc#1012628).
- mtd: spinand: Fix double counting of ECC stats (bsc#1012628).
- kunit: Fix result propagation for parameterised tests
(bsc#1012628).
- iio: dummy: Fix build error when CONFIG_IIO_TRIGGERED_BUFFER
is not set (bsc#1012628).
- iio: adc: at91-sama5d2: Fix buffer alignment in
iio_push_to_buffers_with_timestamp() (bsc#1012628).
- iio: adc: hx711: Fix buffer alignment in
iio_push_to_buffers_with_timestamp() (bsc#1012628).
- iio: adc: mxs-lradc: Fix buffer alignment in
iio_push_to_buffers_with_timestamp() (bsc#1012628).
- iio: adc: ti-ads8688: Fix alignment of buffer in
iio_push_to_buffers_with_timestamp() (bsc#1012628).
- iio: magn: rm3100: Fix alignment of buffer in
iio_push_to_buffers_with_timestamp() (bsc#1012628).
- iio: light: vcnl4000: Fix buffer alignment in
iio_push_to_buffers_with_timestamp() (bsc#1012628).
- ASoC: fsl_spdif: Fix error handler with pm_runtime_enable
(bsc#1012628).
- staging: gdm724x: check for buffer overflow in
gdm_lte_multi_sdu_pkt() (bsc#1012628).
- staging: gdm724x: check for overflow in gdm_lte_netif_rx()
(bsc#1012628).
- staging: rtl8712: fix error handling in r871xu_drv_init
(bsc#1012628).
- staging: rtl8712: fix memory leak in rtl871x_load_fw_cb
(bsc#1012628).
- coresight: core: Fix use of uninitialized pointer (bsc#1012628).
- staging: mt7621-dts: fix pci address for PCI memory range
(bsc#1012628).
- usb: phy: tegra: Wait for VBUS wakeup status deassertion on
suspend (bsc#1012628).
- usb: phy: tegra: Correct definition of B_SESS_VLD_WAKEUP_EN bit
(bsc#1012628).
- serial: 8250: Actually allow UPF_MAGIC_MULTIPLIER baud rates
(bsc#1012628).
- iio: light: vcnl4035: Fix buffer alignment in
iio_push_to_buffers_with_timestamp() (bsc#1012628).
- iio: prox: isl29501: Fix buffer alignment in
iio_push_to_buffers_with_timestamp() (bsc#1012628).
- ASoC: cs42l42: Correct definition of CS42L42_ADC_PDN_MASK
(bsc#1012628).
- of: Fix truncation of memory sizes on 32-bit platforms
(bsc#1012628).
- mtd: rawnand: marvell: add missing clk_disable_unprepare()
on error in marvell_nfc_resume() (bsc#1012628).
- habanalabs: Fix an error handling path in 'hl_pci_probe()'
(bsc#1012628).
- scsi: mpt3sas: Fix error return value in _scsih_expander_add()
(bsc#1012628).
- soundwire: stream: Fix test for DP prepare complete
(bsc#1012628).
- phy: uniphier-pcie: Fix updating phy parameters (bsc#1012628).
- phy: ti: dm816x: Fix the error handling path in
'dm816x_usb_phy_probe() (bsc#1012628).
- extcon: sm5502: Drop invalid register write in sm5502_reg_data
(bsc#1012628).
- extcon: max8997: Add missing modalias string (bsc#1012628).
- powerpc/powernv: Fix machine check reporting of async store
errors (bsc#1012628).
- ASoC: atmel-i2s: Set symmetric sample bits (bsc#1012628).
- ASoC: atmel-i2s: Fix usage of capture and playback at the same
time (bsc#1012628).
- ASoC: fsl_xcvr: disable all interrupts when suspend happens
(bsc#1012628).
- configfs: fix memleak in configfs_release_bin_file
(bsc#1012628).
- ASoC: Intel: sof_sdw: add SOF_RT715_DAI_ID_FIX for AlderLake
(bsc#1012628).
- ASoC: fsl_spdif: Fix unexpected interrupt after suspend
(bsc#1012628).
- leds: as3645a: Fix error return code in as3645a_parse_node()
(bsc#1012628).
- leds: ktd2692: Fix an error handling path (bsc#1012628).
- selftests/ftrace: fix event-no-pid on 1-core machine
(bsc#1012628).
- selftests/sgx: remove checks for file execute permissions
(bsc#1012628).
- staging: rtl8723bs: Fix an error handling path (bsc#1012628).
- serial: 8250: 8250_omap: Fix possible interrupt storm on K3 SoCs
(bsc#1012628).
- powerpc: Offline CPU in stop_this_cpu() (bsc#1012628).
- powerpc/papr_scm: Properly handle UUID types and API
(bsc#1012628).
- powerpc/64s: Fix copy-paste data exposure into newly created
tasks (bsc#1012628).
- powerpc/papr_scm: Make 'perf_stats' invisible if perf-stats
unavailable (bsc#1012628).
- powerpc: Fix is_kvm_guest() / kvm_para_available()
(bsc#1012628).
- ALSA: firewire-lib: Fix 'amdtp_domain_start()' when no
AMDTP_OUT_STREAM stream is found (bsc#1012628).
- serial: mvebu-uart: do not allow changing baudrate when uartclk
is not available (bsc#1012628).
- serial: mvebu-uart: correctly calculate minimal possible
baudrate (bsc#1012628).
- arm64: dts: marvell: armada-37xx: Fix reg for standard variant
of UART (bsc#1012628).
- powerpc/64s: fix hash page fault interrupt handler
(bsc#1012628).
- powerpc/64s/interrupt: preserve regs->softe for NMI interrupts
(bsc#1012628).
- vfio/pci: Handle concurrent vma faults (bsc#1012628).
- mm/huge_memory.c: remove dedicated macro HPAGE_CACHE_INDEX_MASK
(bsc#1012628).
- mm/huge_memory.c: add missing read-only THP checking in
transparent_hugepage_enabled() (bsc#1012628).
- mm/huge_memory.c: don't discard hugepage if other processes
are mapping it (bsc#1012628).
- hugetlb: remove prep_compound_huge_page cleanup (bsc#1012628).
- mm/z3fold: fix potential memory leak in z3fold_destroy_pool()
(bsc#1012628).
- mm/z3fold: use release_z3fold_page_locked() to release locked
z3fold page (bsc#1012628).
- mm: migrate: fix missing update page_private to
hugetlb_page_subpool (bsc#1012628).
- mm/zswap.c: fix two bugs in zswap_writeback_entry()
(bsc#1012628).
- kfence: unconditionally use unbound work queue (bsc#1012628).
- lib/math/rational.c: fix divide by zero (bsc#1012628).
- selftests/vm/pkeys: fix alloc_random_pkey() to make it really,
really random (bsc#1012628).
- selftests/vm/pkeys: handle negative sys_pkey_alloc() return code
(bsc#1012628).
- selftests/vm/pkeys: refill shadow register after implicit
kernel write (bsc#1012628).
- perf llvm: Return -ENOMEM when asprintf() fails (bsc#1012628).
- i2c: mpc: Restore reread of I2C status register (bsc#1012628).
- csky: syscache: Fixup duplicate cache flush (bsc#1012628).
- exfat: handle wrong stream entry size in exfat_readdir()
(bsc#1012628).
- scsi: megaraid_sas: Send all non-RW I/Os for TYPE_ENCLOSURE
device through firmware (bsc#1012628).
- scsi: fc: Correct RHBA attributes length (bsc#1012628).
- scsi: target: cxgbit: Unmap DMA buffer before calling
target_execute_cmd() (bsc#1012628).
- scsi: lpfc: Fix unreleased RPIs when NPIV ports are created
(bsc#1012628).
- scsi: lpfc: Fix Node recovery when driver is handling
simultaneous PLOGIs (bsc#1012628).
- scsi: libfc: Correct the condition check and invalid argument
passed (bsc#1012628).
- mailbox: qcom-ipcc: Fix IPCC mbox channel exhaustion
(bsc#1012628).
- fscrypt: don't ignore minor_hash when hash is 0 (bsc#1012628).
- fscrypt: fix derivation of SipHash keys on big endian CPUs
(bsc#1012628).
- tpm: Replace WARN_ONCE() with dev_err_once() in tpm_tis_status()
(bsc#1012628).
- erofs: fix error return code in erofs_read_superblock()
(bsc#1012628).
- block: return the correct bvec when checking for gaps
(bsc#1012628).
- io_uring: fix blocking inline submission (bsc#1012628).
- io_uring: add IOPOLL and reserved field checks to
IORING_OP_RENAMEAT (bsc#1012628).
- io_uring: add IOPOLL and reserved field checks to
IORING_OP_UNLINKAT (bsc#1012628).
- mmc: block: Disable CMDQ on the ioctl path (bsc#1012628).
- mmc: vub3000: fix control-request direction (bsc#1012628).
- media: exynos4-is: remove a now unused integer (bsc#1012628).
- scsi: core: Retry I/O for Notify (Enable Spinup) Required error
(bsc#1012628).
- crypto: qce - fix error return code in
qce_skcipher_async_req_handle() (bsc#1012628).
- s390: preempt: Fix preempt_count initialization (bsc#1012628).
- sched: Stop PF_NO_SETAFFINITY from being inherited by various
init system threads (bsc#1012628).
- cred: add missing return error code when set_cred_ucounts()
failed (bsc#1012628).
- iommu/dma: Fix compile warning in 32-bit builds (bsc#1012628).
- powerpc/preempt: Don't touch the idle task's preempt_count
during hotplug (bsc#1012628).
- Update config files.
WWAN_CORE is gone by 89212e160b81.
PSTORE_BLK is broken by d07f3b081ee6.
- commit 89416ca
-------------------------------------------------------------------
Mon Jul 12 18:04:44 CEST 2021 - mkubecek@suse.cz
- Update to 5.14-rc1
- eliminated 13 patches (3 stable, 9 mainline, 1 obsolete SUSE)
- patches.kernel.org/5.13.1-001-Revert-KVM-x86-mmu-Drop-kvm_mmu_extended_role..patch
- patches.kernel.org/5.13.1-002-mm-page_alloc-correct-return-value-of-populate.patch
- patches.kernel.org/5.13.1-003-Linux-5.13.1.patch
- patches.rpmify/scripts-mkmakefile-honor-second-argument.patch
- patches.suse/ACPI-PM-s2idle-Add-missing-LPS0-functions-for-AMD.patch
- patches.suse/ACPI-processor-idle-Fix-up-C-state-latency-if-not-or.patch
- patches.suse/Bluetooth-btqca-Don-t-modify-firmware-contents-in-pl.patch
- patches.suse/Input-elants_i2c-Fix-NULL-dereference-at-probing.patch
- patches.suse/brcmfmac-Add-clm_blob-firmware-files-to-modinfo.patch
- patches.suse/brcmfmac-Delete-second-brcm-folder-hierarchy.patch
- patches.suse/crypto-ccp-Annotate-SEV-Firmware-file-names.patch
- patches.suse/pinctrl-bcm2835-accept-fewer-than-expected-irqs.patch
- patches.suse/proc-Avoid-mixing-integer-types-in-mem_rw.patch
- refresh
- patches.suse/add-product-identifying-information-to-vmcoreinfo.patch
- patches.suse/dm-table-switch-to-readonly
- patches.suse/kernel-add-product-identifying-information-to-kernel-build.patch
- patches.suse/kernel-add-release-status-to-kernel-build.patch
- patches.suse/supported-flag
- disable ARM architectures (need config update)
- new config options
- General setup
- SCHED_CORE=y
- Power management and ACPI options
- ACPI_PRMT=y
- TPS68470_PMIC_OPREGION=y
- Block layer
- BLK_CGROUP_FC_APPID=y
- BLK_CGROUP_IOPRIO=y
- Networking support
- NETFILTER_NETLINK_HOOK=m
- File systems
- HUGETLB_PAGE_FREE_VMEMMAP_DEFAULT_ON=n
- Security options
- IMA_DISABLE_HTABLE=n
- Kernel hacking
- STACKTRACE_BUILD_ID=y
- DEBUG_FORCE_FUNCTION_ALIGN_64B=n
- OSNOISE_TRACER=y
- TIMERLAT_TRACER=y
- TEST_SCANF=n
- TEST_CLOCKSOURCE_WATCHDOG=n
- PCI support
- CXL_ACPI=m
- CXL_PMEM=m
- SCSI device support
- SCSI_MPI3MR=m
- SCSI_EFCT=m
- Network device support
- DWMAC_LOONGSON=m
- MEDIATEK_GE_PHY=m
- MOTORCOMM_PHY=m
- WWAN_HWSIM=m
- RPMSG_WWAN_CTRL=m
- IOSM=m
- PHY_CAN_TRANSCEIVER=m
- INFINIBAND_IRDMA=m
- Hardware Monitoring support
- SENSORS_DPS920AB=m
- SENSORS_MP2888=m
- SENSORS_PIM4328=m
- SENSORS_SHT4x=m
- Voltage and Current Regulator Support
- REGULATOR_MAX8893=m
- REGULATOR_RT6160=m
- REGULATOR_RT6245=m
- REGULATOR_RT4831=m
- Graphics support
- HSA_AMD_SVM=y
- DRM_SIMPLEDRM=m
- DRM_HYPERV=m
- FB_SSD1307=m
- BACKLIGHT_RT4831=m
- Sound card support
- SND_SOC_INTEL_SOF_CS42L42_MACH=m
- SND_SOC_TFA989X=n
- SND_SOC_WCD938X_SDW=n
- X86 Platform Specific Device Drivers
- DELL_WMI_PRIVACY=y
- WIRELESS_HOTKEY=m
- THINKPAD_LMI=m
- X86_PLATFORM_DRIVERS_INTEL=y
- INTEL_SKL_INT3472=m
- Common Clock Framework
- ICST=n
- CLK_SP810=n
- LMK04832=m
- IOMMU Hardware Support
- VIRTIO_IOMMU=m
- Industrial I/O support
- FXLS8962AF_I2C=n
- FXLS8962AF_SPI=n
- SCA3300=n
- TI_TSC2046=n
- SPS30_I2C=n
- SPS30_SERIAL=n
- IIO_ST_LSM9DS0=n
- TSL2591=n
- TMP117=n
- Misc devices
- MTD_MCHP48L640=n
- JOYSTICK_QWIIC=m
- XILLYUSB=m
- GPIO_TPS68470=n
- BATTERY_RT5033=m
- WATCHDOG_HRTIMER_PRETIMEOUT=y
- MFD_RT4831=m
- VIDEO_IMX208=m
- LEDS_LT3593=m
- RESET_MCHP_SPARX5=n
- OF dependent (i386, ppc64 / ppc64le, riscv64)
- MFD_QCOM_PM8008=n
- DRM_ITE_IT66121=n
- DRM_TI_SN65DSI83=n
- i386
- DRM_CROS_EC_ANX7688=n
- ppc64 / ppc64le
- STRICT_MODULE_RWX=y
- PPC_RFI_SRR_DEBUG=n
- ppc64
- PS3_VERBOSE_RESULT=n
- s390x
- SPARX5_SWITCH=m
- RESET_TI_SYSCON=n
- riscv64
- PHYS_RAM_BASE=0x80000000 (default)
- VMAP_STACK=y
- TRANSPARENT_HUGEPAGE=y
- READ_ONLY_THP_FOR_FS=y
- SND_SOC_RK817=n
- SND_SOC_RT5640=m
- POLARFIRE_SOC_MAILBOX=m
- DEV_DAX=m
- STACK_HASH_ORDER=20 (default)
- KFENCE=y
- KFENCE_STATIC_KEYS=y
- KFENCE_SAMPLE_INTERVAL=0 (other archs, see bsc#1185565)
- KFENCE_NUM_OBJECTS=255 (default)
- KFENCE_STRESS_TEST_FAULTS=0 (default)
- commit 34fe32a
-------------------------------------------------------------------
Mon Jul 12 08:10:50 CEST 2021 - jslaby@suse.cz
- Revert "UsrMerge the kernel (boo#1184804)"
This reverts commit 6f5ed044f52ae3de78db9492d404f9c88d29b938 as it still
breaks in MicroOS. This time, selinux policies forbid accessing
sysctl.conf which is now moved from /boot to /usr/lib.
- Revert "UsrMerge the kernel (boo#1184804)"
This reverts commit 6f5ed044f52ae3de78db9492d404f9c88d29b938 as it:
1) breaks installation in Leap/SLE -- no initrd is generated.
2) breaks installation-images -- expecting kernel in /boot and modules
in /lib.
- commit 999e604
-------------------------------------------------------------------
Sun Jul 11 18:12:30 CEST 2021 - schwab@suse.de
- config: riscv64: enable DRM_I2C_NXP_TDA998X
This also selects SND_SOC_HDMI_CODEC, SND_PCM_ELD, SND_PCM_IEC958.
- commit d56d022
-------------------------------------------------------------------
Sun Jul 11 18:08:39 CEST 2021 - schwab@suse.de
- config: riscv64: enable MFD_TPS65086
Also enable the related drivers GPIO_TPS65086 and REGULATOR_TPS65086.
- commit ce26f32
-------------------------------------------------------------------
Fri Jul 9 13:44:14 CEST 2021 - mbrugger@suse.com
- arm64: Update config files. (bsc#1187589)
Enable PL330 DMA controller.
- commit 0cb8827
-------------------------------------------------------------------
Fri Jul 9 13:07:01 CEST 2021 - msuchanek@suse.de
- rpm/kernel-binary.spec.in: Remove zdebug define used only once.
- commit 85a9fc2
-------------------------------------------------------------------
Thu Jul 8 20:28:04 CEST 2021 - msuchanek@suse.de
- Update config files (boo#1187824).
CRYPTO_FIPS=y
CRYPTO_MANAGER_DISABLE_TESTS=n
- commit c81d16b
-------------------------------------------------------------------
Thu Jul 8 20:04:55 CEST 2021 - msuchanek@suse.de
- kernel-binary.spec: Exctract s390 decompression code (jsc#SLE-17042).
- commit 7f97df2
-------------------------------------------------------------------
Thu Jul 8 12:08:36 CEST 2021 - msuchanek@suse.de
- rpm/config.sh: Build on s390.
- commit 641dff8
-------------------------------------------------------------------
Thu Jul 8 11:17:05 CEST 2021 - msuchanek@suse.de
- kernel-binary.spec: Fix up usrmerge for non-modular kernels.
- commit d718cd9
-------------------------------------------------------------------
Thu Jul 8 07:02:35 CEST 2021 - jslaby@suse.cz
- Linux 5.13.1 (bsc#1012628).
- Revert "KVM: x86/mmu: Drop kvm_mmu_extended_role.cr4_la57 hack"
(bsc#1012628).
- commit bfd7864
-------------------------------------------------------------------
Wed Jul 7 12:35:52 CEST 2021 - schwab@suse.de
- config: riscv64: enable MFD_DA9063
Also enable the related drivers DA9063_WATCHDOG, REGULATOR_DA9063,
RTC_DRV_DA9063.
- commit 40fb687
-------------------------------------------------------------------
Mon Jul 5 18:08:41 CEST 2021 - mkubecek@suse.cz
- update upstream references
- update upstream references of patches added in 5.14 merge window:
- patches.suse/pinctrl-bcm2835-accept-fewer-than-expected-irqs.patch
- patches.suse/proc-Avoid-mixing-integer-types-in-mem_rw.patch
- commit 9510801
-------------------------------------------------------------------
Thu Jul 1 21:12:15 CEST 2021 - msuchanek@suse.de
- kernel-binary.spec: Remove obsolete and wrong comment
mkmakefile is repleced by echo on newer kernel
- commit d9209e7
-------------------------------------------------------------------
Thu Jul 1 18:44:34 CEST 2021 - mkubecek@suse.cz
- update upstream references
- update upstream references of patches added in 5.14 merge window:
- patches.suse/ACPI-PM-s2idle-Add-missing-LPS0-functions-for-AMD.patch
- patches.suse/ACPI-processor-idle-Fix-up-C-state-latency-if-not-or.patch
- patches.suse/Bluetooth-btqca-Don-t-modify-firmware-contents-in-pl.patch
- patches.suse/brcmfmac-Add-clm_blob-firmware-files-to-modinfo.patch
- patches.suse/brcmfmac-Delete-second-brcm-folder-hierarchy.patch
- patches.suse/crypto-ccp-Annotate-SEV-Firmware-file-names.patch
- commit f094788
-------------------------------------------------------------------
Thu Jul 1 16:20:28 CEST 2021 - ptesarik@suse.cz
- Set CONFIG_SCSI_SNIC_DEBUG_FS=y (bsc#1158776 comment 19).
- commit d8e1777
-------------------------------------------------------------------
Thu Jul 1 16:17:32 CEST 2021 - ptesarik@suse.cz
- Set CONFIG_BLK_SED_OPAL=y on arm64 (bsc#1158776 comment 16).
- commit 59a8e8d
-------------------------------------------------------------------
Thu Jul 1 16:13:50 CEST 2021 - ptesarik@suse.cz
- Set CONFIG_SATA_ZPODD=y on arm64 (bsc#1158776 comment 14).
- commit aad226c
-------------------------------------------------------------------
Thu Jul 1 16:10:05 CEST 2021 - ptesarik@suse.cz
- Disable MANDATORY_FILE_LOCKING on arm and arm64 (bsc#1158776 comment 12).
- commit b10530c
-------------------------------------------------------------------
Thu Jul 1 16:07:42 CEST 2021 - ptesarik@suse.cz
- Disable 842 compression on arm64 (bsc#1158776 comment 11).
- commit 41a7837
-------------------------------------------------------------------
Thu Jul 1 16:03:37 CEST 2021 - ptesarik@suse.cz
- Set CONFIG_USB_CHAOSKEY=m on arm64 (bsc#1158776 comment 9).
- commit e652a59
-------------------------------------------------------------------
Thu Jul 1 16:00:53 CEST 2021 - ptesarik@suse.cz
- Set CONFIG_INET_DIAG_DESTROY=y on arm64 (bsc#1158776 comment 7).
- commit 1a13a0b
-------------------------------------------------------------------
Thu Jul 1 15:56:49 CEST 2021 - ptesarik@suse.cz
- Set CONFIG_SLAB_FREELIST_RANDOM=y on arm64 (bsc#1158776 comment 6).
- commit 75baa7c
-------------------------------------------------------------------
Thu Jul 1 15:50:06 CEST 2021 - ptesarik@suse.cz
- Disable CONFIG_PCCARD on arm64 (bsc#1158776 comment 2).
- commit 1c1f5ad
-------------------------------------------------------------------
Thu Jul 1 10:04:44 CEST 2021 - jslaby@suse.cz
- mm/page_alloc: Correct return value of populated elements if
bulk array is populated (bsc#1187901).
- commit b48104a
-------------------------------------------------------------------
Mon Jun 28 11:32:17 CEST 2021 - jslaby@suse.cz
- ACPI: PM: s2idle: Add missing LPS0 functions for AMD
(bsc#1185840).
- ACPI: processor idle: Fix up C-state latency if not ordered
(bsc#1185840).
- Bluetooth: btqca: Don't modify firmware contents in-place
(bsc#1187472).
- Input: elants_i2c - Fix NULL dereference at probing
(bsc#1186454).
- mmc: sdhci-iproc: Cap min clock frequency on BCM2711
(bsc#1176576).
- mmc: sdhci-iproc: Set SDHCI_QUIRK_CAP_CLOCK_BASE_BROKEN on
BCM2711 (bsc#1176576).
- pinctrl: bcm2835: Accept fewer than expected IRQs (bsc#1181942).
- Refresh
patches.suse/proc-Avoid-mixing-integer-types-in-mem_rw.patch.
Port post-5.13 patches from the stable branch.
Note that patches.suse/proc-Avoid-mixing-integer-types-in-mem_rw.patch
is in the -mmotm tree, so that the updated upstream info (esp. the SHA)
is subject to change.
- commit bd5babc
-------------------------------------------------------------------
Mon Jun 28 08:37:21 CEST 2021 - jslaby@suse.cz
- Revert "drm/amdgpu/gfx10: enlarge CP_MEC_DOORBELL_RANGE_UPPER
to cover full doorbell." (amd gpu reverts).
- Revert "drm/amdgpu/gfx9: fix the doorbell missing when in CGPG
issue." (amd gpu reverts).
- commit 74bd8c0
-------------------------------------------------------------------
Mon Jun 28 07:48:53 CEST 2021 - jslaby@suse.cz
- Refresh
patches.suse/Input-elants_i2c-Fix-NULL-dereference-at-probing.patch.
- Refresh
patches.suse/mmc-sdhci-iproc-cap-min-clock-frequency-on-bcm2711.patch.
- Refresh
patches.suse/mmc-sdhci-iproc-set-sdhci_quirk_cap_clock_base_broken-on-bcm2711.patch.
Update upstream statuses.
- commit 9cef814
-------------------------------------------------------------------
Mon Jun 28 00:36:29 CEST 2021 - mkubecek@suse.cz
- Update to 5.13 final
- refresh configs
- update headers
- armv7hl: drop GPIO_TQMX86
- commit 54fc53e
-------------------------------------------------------------------
Fri Jun 25 17:20:41 CEST 2021 - msuchanek@suse.de
- Revert "Update config files (bsc#1187167)" (bsc#1187711).
The key is needed. When a random key is generaeted it is a problem with
OBS repository setup. OBS should provide a signing key.
- commit 6b7eebf
-------------------------------------------------------------------
Wed Jun 23 16:52:00 CEST 2021 - jslaby@suse.cz
- Linux 5.12.13 (bsc#1012628).
- dmaengine: idxd: add engine 'struct device' missing bus type
assignment (bsc#1012628).
- dmaengine: idxd: add missing dsa driver unregister
(bsc#1012628).
- dmaengine: fsl-dpaa2-qdma: Fix error return code in two
functions (bsc#1012628).
- dmaengine: xilinx: dpdma: initialize registers before
request_irq (bsc#1012628).
- dmaengine: ALTERA_MSGDMA depends on HAS_IOMEM (bsc#1012628).
- dmaengine: QCOM_HIDMA_MGMT depends on HAS_IOMEM (bsc#1012628).
- dmaengine: SF_PDMA depends on HAS_IOMEM (bsc#1012628).
- dmaengine: stedma40: add missing iounmap() on error in
d40_probe() (bsc#1012628).
- afs: Fix an IS_ERR() vs NULL check (bsc#1012628).
- mm/memory-failure: make sure wait for page writeback in
memory_failure (bsc#1012628).
- kvm: LAPIC: Restore guard to prevent illegal APIC register
access (bsc#1012628).
- fanotify: fix copy_event_to_user() fid error clean up
(bsc#1012628).
- batman-adv: Avoid WARN_ON timing related checks (bsc#1012628).
- staging: rtl8723bs: fix monitor netdev register/unregister
(bsc#1012628).
- mac80211: fix skb length check in ieee80211_scan_rx()
(bsc#1012628).
- mlxsw: reg: Spectrum-3: Enforce lowest max-shaper burst size
of 11 (bsc#1012628).
- mlxsw: core: Set thermal zone polling delay argument to real
value at init (bsc#1012628).
- libbpf: Fixes incorrect rx_ring_setup_done (bsc#1012628).
- net: ipv4: fix memory leak in netlbl_cipsov4_add_std
(bsc#1012628).
- vrf: fix maximum MTU (bsc#1012628).
- net: rds: fix memory leak in rds_recvmsg (bsc#1012628).
- net: dsa: felix: re-enable TX flow control in
ocelot_port_flush() (bsc#1012628).
- net: ena: fix DMA mapping function issues in XDP (bsc#1012628).
- net: lantiq: disable interrupt before sheduling NAPI
(bsc#1012628).
- netfilter: nf_tables: initialize set before expression setup
(bsc#1012628).
- netfilter: nft_fib_ipv6: skip ipv6 packets from any to
link-local (bsc#1012628).
- ice: add ndo_bpf callback for safe mode netdev ops
(bsc#1012628).
- ice: parameterize functions responsible for Tx ring management
(bsc#1012628).
- udp: fix race between close() and udp_abort() (bsc#1012628).
- rtnetlink: Fix regression in bridge VLAN configuration
(bsc#1012628).
- net/sched: act_ct: handle DNAT tuple collision (bsc#1012628).
- net/mlx5e: Fix use-after-free of encap entry in neigh update
handler (bsc#1012628).
- net/mlx5e: Remove dependency in IPsec initialization flows
(bsc#1012628).
- net/mlx5e: Fix page reclaim for dead peer hairpin (bsc#1012628).
- net/mlx5: Consider RoCE cap before init RDMA resources
(bsc#1012628).
- net/mlx5: DR, Don't use SW steering when RoCE is not supported
(bsc#1012628).
- Revert "net/mlx5: Arm only EQs with EQEs" (bsc#1012628).
- net/mlx5e: Block offload of outer header csum for UDP tunnels
(bsc#1012628).
- net/mlx5e: Block offload of outer header csum for GRE tunnel
(bsc#1012628).
- skbuff: fix incorrect msg_zerocopy copy notifications
(bsc#1012628).
- netfilter: synproxy: Fix out of bounds when parsing TCP options
(bsc#1012628).
- mptcp: Fix out of bounds when parsing TCP options (bsc#1012628).
- sch_cake: Fix out of bounds when parsing TCP options and header
(bsc#1012628).
- mptcp: try harder to borrow memory from subflow under pressure
(bsc#1012628).
- mptcp: wake-up readers only for in sequence data (bsc#1012628).
- mptcp: do not warn on bad input from the network (bsc#1012628).
- selftests: mptcp: enable syncookie only in absence of reorders
(bsc#1012628).
- mptcp: fix soft lookup in subflow_error_report() (bsc#1012628).
- alx: Fix an error handling path in 'alx_probe()' (bsc#1012628).
- cxgb4: fix endianness when flashing boot image (bsc#1012628).
- cxgb4: fix sleep in atomic when flashing PHY firmware
(bsc#1012628).
- cxgb4: halt chip before flashing PHY firmware image
(bsc#1012628).
- net: stmmac: dwmac1000: Fix extended MAC address registers
definition (bsc#1012628).
- net: make get_net_ns return error if NET_NS is disabled
(bsc#1012628).
- net: qualcomm: rmnet: don't over-count statistics (bsc#1012628).
- ethtool: strset: fix message length calculation (bsc#1012628).
- qlcnic: Fix an error handling path in 'qlcnic_probe()'
(bsc#1012628).
- netxen_nic: Fix an error handling path in 'netxen_nic_probe()'
(bsc#1012628).
- cxgb4: fix wrong ethtool n-tuple rule lookup (bsc#1012628).
- ipv4: Fix device used for dst_alloc with local routes
(bsc#1012628).
- net: qrtr: fix OOB Read in qrtr_endpoint_post (bsc#1012628).
- bpf: Fix leakage under speculation on mispredicted branches
(bsc#1012628).
- net: mhi_net: Update the transmit handler prototype
(bsc#1012628).
- ptp: improve max_adj check against unreasonable values
(bsc#1012628).
- net: cdc_ncm: switch to eth%d interface naming (bsc#1012628).
- lantiq: net: fix duplicated skb in rx descriptor ring
(bsc#1012628).
- net: usb: fix possible use-after-free in smsc75xx_bind
(bsc#1012628).
- net: fec_ptp: fix issue caused by refactor the fec_devtype
(bsc#1012628).
- net: ipv4: fix memory leak in ip_mc_add1_src (bsc#1012628).
- net/af_unix: fix a data-race in unix_dgram_sendmsg /
unix_release_sock (bsc#1012628).
- net/mlx5: Fix error path for set HCA defaults (bsc#1012628).
- net/mlx5: Check that driver was probed prior attaching the
device (bsc#1012628).
- net/mlx5: E-Switch, Read PF mac address (bsc#1012628).
- net/mlx5: E-Switch, Allow setting GUID for host PF vport
(bsc#1012628).
- net/mlx5: SF_DEV, remove SF device on invalid state
(bsc#1012628).
- net/mlx5: DR, Fix STEv1 incorrect L3 decapsulation padding
(bsc#1012628).
- net/mlx5e: Don't create devices during unload flow
(bsc#1012628).
- net/mlx5: Reset mkey index on creation (bsc#1012628).
- be2net: Fix an error handling path in 'be_probe()'
(bsc#1012628).
- net: hamradio: fix memory leak in mkiss_close (bsc#1012628).
- net: cdc_eem: fix tx fixup skb leak (bsc#1012628).
- cxgb4: fix wrong shift (bsc#1012628).
- bnxt_en: Rediscover PHY capabilities after firmware reset
(bsc#1012628).
- bnxt_en: Fix TQM fastpath ring backing store computation
(bsc#1012628).
- bnxt_en: Call bnxt_ethtool_free() in bnxt_init_one() error path
(bsc#1012628).
- icmp: don't send out ICMP messages with a source address of
0.0.0.0 (bsc#1012628).
- net: ethernet: fix potential use-after-free in ec_bhf_remove
(bsc#1012628).
- regulator: cros-ec: Fix error code in dev_err message
(bsc#1012628).
- regulator: bd70528: Fix off-by-one for buck123 .n_voltages
setting (bsc#1012628).
- platform/x86: thinkpad_acpi: Add X1 Carbon Gen 9 second fan
support (bsc#1012628).
- ASoC: rt5659: Fix the lost powers for the HDA header
(bsc#1012628).
- phy: phy-mtk-tphy: Fix some resource leaks in mtk_phy_init()
(bsc#1012628).
- ASoC: fsl-asoc-card: Set .owner attribute when registering card
(bsc#1012628).
- regulator: mt6315: Fix function prototype for mt6315_map_mode
(bsc#1012628).
- regulator: rtmv20: Fix to make regcache value first reading
back from HW (bsc#1012628).
- spi: spi-zynq-qspi: Fix some wrong goto jumps & missing error
code (bsc#1012628).
- sched/pelt: Ensure that *_sum is always synced with *_avg
(bsc#1012628).
- ASoC: tas2562: Fix TDM_CFG0_SAMPRATE values (bsc#1012628).
- regulator: hi6421v600: Fix .vsel_mask setting (bsc#1012628).
- spi: stm32-qspi: Always wait BUSY bit to be cleared in
stm32_qspi_wait_cmd() (bsc#1012628).
- regulator: rt4801: Fix NULL pointer dereference if
priv->enable_gpios is NULL (bsc#1012628).
- ASoC: rt5682: Fix the fast discharge for headset unplugging
in soundwire mode (bsc#1012628).
- pinctrl: ralink: rt2880: avoid to error in calls is pin is
already enabled (bsc#1012628).
- drm/sun4i: dw-hdmi: Make HDMI PHY into a platform device
(bsc#1012628).
- ASoC: qcom: lpass-cpu: Fix pop noise during audio capture begin
(bsc#1012628).
- radeon: use memcpy_to/fromio for UVD fw upload (bsc#1012628).
- hwmon: (scpi-hwmon) shows the negative temperature properly
(bsc#1012628).
- riscv: code patching only works on !XIP_KERNEL (bsc#1012628).
- mm: relocate 'write_protect_seq' in struct mm_struct
(bsc#1012628).
- irqchip/gic-v3: Workaround inconsistent PMR setting on NMI entry
(bsc#1012628).
- perf metricgroup: Fix find_evsel_group() event selector
(bsc#1012628).
- perf metricgroup: Return error code from
metricgroup__add_metric_sys_event_iter() (bsc#1012628).
- bpf: Inherit expanded/patched seen count from old aux data
(bsc#1012628).
- bpf: Do not mark insn as seen under speculative path
verification (bsc#1012628).
- can: bcm: fix infoleak in struct bcm_msg_head (bsc#1012628).
- can: bcm/raw/isotp: use per module netdevice notifier
(bsc#1012628).
- can: j1939: fix Use-after-Free, hold skb ref while in use
(bsc#1012628).
- can: mcba_usb: fix memory leak in mcba_usb (bsc#1012628).
- usb: core: hub: Disable autosuspend for Cypress CY7C65632
(bsc#1012628).
- usb: chipidea: imx: Fix Battery Charger 1.2 CDP detection
(bsc#1012628).
- tracing: Do not stop recording cmdlines when tracing is off
(bsc#1012628).
- tracing: Do not stop recording comms if the trace file is
being read (bsc#1012628).
- tracing: Do no increment trace_clock_global() by one
(bsc#1012628).
- PCI: Mark TI C667X to avoid bus reset (bsc#1012628).
- PCI: Mark some NVIDIA GPUs to avoid bus reset (bsc#1012628).
- PCI: Mark AMD Navi14 GPU ATS as broken (bsc#1012628).
- PCI: aardvark: Fix kernel panic during PIO transfer
(bsc#1012628).
- PCI: Add ACS quirk for Broadcom BCM57414 NIC (bsc#1012628).
- PCI: Work around Huawei Intelligent NIC VF FLR erratum
(bsc#1012628).
- btrfs: zoned: fix negative space_info->bytes_readonly
(bsc#1012628).
- s390/mcck: fix invalid KVM guest condition check (bsc#1012628).
- KVM: x86: Immediately reset the MMU context when the SMM flag
is cleared (bsc#1012628).
- KVM: x86/mmu: Calculate and check "full" mmu_role for nested
MMU (bsc#1012628).
- KVM: X86: Fix x86_emulator slab cache leak (bsc#1012628).
- s390/mcck: fix calculation of SIE critical section size
(bsc#1012628).
- s390/ap: Fix hanging ioctl caused by wrong msg counter
(bsc#1012628).
- ARCv2: save ABI registers across signal handling (bsc#1012628).
- x86/mm: Avoid truncating memblocks for SGX memory (bsc#1012628).
- x86/process: Check PF_KTHREAD and not current->mm for kernel
threads (bsc#1012628).
- x86/ioremap: Map EFI-reserved memory as encrypted for SEV
(bsc#1012628 bsc#1186884).
- x86/pkru: Write hardware init value to PKRU when xstate is init
(bsc#1012628).
- x86/fpu: Prevent state corruption in __fpu__restore_sig()
(bsc#1012628).
- x86/fpu: Invalidate FPU state after a failed XRSTOR from a
user buffer (bsc#1012628).
- x86/fpu: Reset state for all signal restore failures
(bsc#1012628).
- powerpc/perf: Fix crash in perf_instruction_pointer() when
ppmu is not set (bsc#1012628).
- Makefile: lto: Pass -warn-stack-size only on LLD < 13.0.0
(bsc#1012628).
- crash_core, vmcoreinfo: append 'SECTION_SIZE_BITS' to vmcoreinfo
(bsc#1012628).
- dmaengine: pl330: fix wrong usage of spinlock flags in dma_cyclc
(bsc#1012628).
- mac80211: fix deadlock in AP/VLAN handling (bsc#1012628).
- mac80211: Fix NULL ptr deref for injected rate info
(bsc#1012628).
- mac80211: fix 'reset' debugfs locking (bsc#1012628).
- cfg80211: fix phy80211 symlink creation (bsc#1012628).
- cfg80211: shut down interfaces on failed resume (bsc#1012628).
- mac80211: move interface shutdown out of wiphy lock
(bsc#1012628).
- mac80211: minstrel_ht: fix sample time check (bsc#1012628).
- cfg80211: make certificate generation more robust (bsc#1012628).
- cfg80211: avoid double free of PMSR request (bsc#1012628).
- drm/amdgpu/gfx10: enlarge CP_MEC_DOORBELL_RANGE_UPPER to cover
full doorbell (bsc#1012628).
- drm/amdgpu/gfx9: fix the doorbell missing when in CGPG issue
(bsc#1012628).
- net: ll_temac: Make sure to free skb when it is completely used
(bsc#1012628).
- net: ll_temac: Fix TX BD buffer overwrite (bsc#1012628).
- net: bridge: fix vlan tunnel dst null pointer dereference
(bsc#1012628).
- net: bridge: fix vlan tunnel dst refcnt when egressing
(bsc#1012628).
- mm,hwpoison: fix race with hugetlb page allocation
(bsc#1012628).
- mm/swap: fix pte_same_as_swp() not removing uffd-wp bit when
compare (bsc#1012628).
- mm/hugetlb: expand restore_reserve_on_error functionality
(bsc#1012628).
- mm/slub: clarify verification reporting (bsc#1012628).
- mm/slub: fix redzoning for small allocations (bsc#1012628).
- mm/slub: actually fix freelist pointer vs redzoning
(bsc#1012628).
- mm/slub.c: include swab.h (bsc#1012628).
- net: stmmac: disable clocks in stmmac_remove_config_dt()
(bsc#1012628).
- net: fec_ptp: add clock rate zero check (bsc#1012628).
- tools headers UAPI: Sync linux/in.h copy with the kernel sources
(bsc#1012628).
- perf beauty: Update copy of linux/socket.h with the kernel
sources (bsc#1012628).
- usb: dwc3: debugfs: Add and remove endpoint dirs dynamically
(bsc#1012628).
- usb: dwc3: core: fix kernel panic when do reboot (bsc#1012628).
- Delete
patches.suse/0001-x86-ioremap-Map-efi_mem_reserve-memory-as-encrypted-.patch.
- commit 2ab6e2b
-------------------------------------------------------------------
Wed Jun 23 11:54:08 CEST 2021 - schwab@suse.de
- Add dtb-microchip
- commit 493fa09
-------------------------------------------------------------------
Mon Jun 21 00:59:21 CEST 2021 - mkubecek@suse.cz
- Update to 5.13-rc7
- eliminate 1 patch
- patches.suse/0001-x86-ioremap-Map-efi_mem_reserve-memory-as-encrypted-.patch
- refresh configs
- commit d808585
-------------------------------------------------------------------
Sat Jun 19 18:58:59 CEST 2021 - tiwai@suse.de
- Bluetooth: btqca: Don't modify firmware contents in-place
(bsc#1187472).
- commit 43254cf
-------------------------------------------------------------------
Fri Jun 18 13:07:21 CEST 2021 - jslaby@suse.cz
- Linux 5.12.12 (bsc#1012628).
- fib: Return the correct errno code (bsc#1012628).
- net: Return the correct errno code (bsc#1012628).
- net/x25: Return the correct errno code (bsc#1012628).
- rtnetlink: Fix missing error code in rtnl_bridge_notify()
(bsc#1012628).
- drm/amd/amdgpu:save psp ring wptr to avoid attack (bsc#1012628).
- drm/amd/display: Fix potential memory leak in DMUB hw_init
(bsc#1012628).
- drm/amdgpu: refine amdgpu_fru_get_product_info (bsc#1012628).
- drm/amd/display: Allow bandwidth validation for 0 streams
(bsc#1012628).
- net: ipconfig: Don't override command-line hostnames or domains
(bsc#1012628).
- nvme-loop: do not warn for deleted controllers during reset
(bsc#1012628).
- nvme-loop: check for NVME_LOOP_Q_LIVE in
nvme_loop_destroy_admin_queue() (bsc#1012628).
- nvme-loop: clear NVME_LOOP_Q_LIVE when
nvme_loop_configure_admin_queue() fails (bsc#1012628).
- nvme-loop: reset queue count to 1 in
nvme_loop_destroy_io_queues() (bsc#1012628).
- scsi: scsi_devinfo: Add blacklist entry for HPE OPEN-V
(bsc#1012628).
- Bluetooth: Add a new USB ID for RTL8822CE (bsc#1012628).
- scsi: qedf: Do not put host in qedf_vport_create()
unconditionally (bsc#1012628).
- ethernet: myri10ge: Fix missing error code in myri10ge_probe()
(bsc#1012628).
- scsi: target: core: Fix warning on realtime kernels
(bsc#1012628).
- gfs2: Fix use-after-free in gfs2_glock_shrink_scan
(bsc#1012628).
- mt76: mt7921: remove leftover 80+80 HE capability (bsc#1012628).
- ALSA: hda: Add AlderLake-M PCI ID (bsc#1012628).
- riscv: Use -mno-relax when using lld linker (bsc#1012628).
- HID: intel-ish-hid: ipc: Add Alder Lake device IDs
(bsc#1012628).
- HID: gt683r: add missing MODULE_DEVICE_TABLE (bsc#1012628).
- HID: multitouch: Disable event reporting on suspend on the
Asus T101HA touchpad (bsc#1012628).
- gfs2: Clean up revokes on normal withdraws (bsc#1012628).
- gfs2: fix a deadlock on withdraw-during-mount (bsc#1012628).
- gfs2: Prevent direct-I/O write fallback errors from getting lost
(bsc#1012628).
- ARM: OMAP2+: Fix build warning when mmc_omap is not built
(bsc#1012628).
- ARM: OMAP1: Fix use of possibly uninitialized irq variable
(bsc#1012628).
- hwmon/pmbus: (q54sj108a2) The PMBUS_MFR_ID is actually 6 chars
instead of 5 (bsc#1012628).
- drm/tegra: sor: Fully initialize SOR before registration
(bsc#1012628).
- gpu: host1x: Split up client initalization and registration
(bsc#1012628).
- drm/tegra: sor: Do not leak runtime PM reference (bsc#1012628).
- mt76: mt7921: fix max aggregation subframes setting
(bsc#1012628).
- HID: usbhid: fix info leak in hid_submit_ctrl (bsc#1012628).
- HID: Add BUS_VIRTUAL to hid_connect logging (bsc#1012628).
- HID: multitouch: set Stylus suffix for Stylus-application
devices, too (bsc#1012628).
- HID: quirks: Add quirk for Lenovo optical mouse (bsc#1012628).
- HID: asus: filter G713/G733 key event to prevent shutdown
(bsc#1012628).
- HID: hid-sensor-hub: Return error for hid_set_field() failure
(bsc#1012628).
- HID: hid-input: add mapping for emoji picker key (bsc#1012628).
- HID: a4tech: use A4_2WHEEL_MOUSE_HACK_B8 for A4TECH NB-95
(bsc#1012628).
- HID: quirks: Add HID_QUIRK_NO_INIT_REPORTS quirk for Dell K15A
keyboard-dock (bsc#1012628).
- HID: quirks: Set INCREMENT_USAGE_ON_DUPLICATE for Saitek X65
(bsc#1012628).
- HID: asus: Filter keyboard EC for old ROG keyboard
(bsc#1012628).
- net: ieee802154: fix null deref in parse dev addr (bsc#1012628).
- commit 0e46a2c
-------------------------------------------------------------------
Thu Jun 17 13:31:32 CEST 2021 - ludwig.nussel@suse.de
- UsrMerge the kernel (boo#1184804)
- Move files in /boot to modules dir
The file names in /boot are included as %ghost links. The %post script
creates symlinks for the kernel, sysctl.conf and System.map in
/boot for compatibility. Some tools require adjustments before we
can drop those links. If boot is a separate partition, a copy is
used instead of a link.
The logic for /boot/vmlinuz and /boot/initrd doesn't change with
this patch.
- Use /usr/lib/modules as module dir when usermerge is active in the
target distro.
- commit 6f5ed04
-------------------------------------------------------------------
Wed Jun 16 13:55:58 CEST 2021 - mbrugger@suse.com
- Refresh
patches.suse/brcmfmac-Add-clm_blob-firmware-files-to-modinfo.patch.
- Refresh
patches.suse/brcmfmac-Delete-second-brcm-folder-hierarchy.patch.
- commit b5a438c
-------------------------------------------------------------------
Wed Jun 16 13:51:32 CEST 2021 - mbrugger@suse.com
- Refresh
patches.suse/brcmfmac-Add-clm_blob-firmware-files-to-modinfo.patch.
- Refresh
patches.suse/brcmfmac-Delete-second-brcm-folder-hierarchy.patch.
- commit e486bc1
-------------------------------------------------------------------
Wed Jun 16 12:56:38 CEST 2021 - tiwai@suse.de
- Update config files: CONFIG_SND_HDA_INTEL=m for armv7hl, too (bsc#1187334)
It's used by openQA.
- commit e752118
-------------------------------------------------------------------
Wed Jun 16 12:36:32 CEST 2021 - jslaby@suse.cz
- Linux 5.12.11 (bsc#1012628).
- proc: Track /proc/$pid/attr/ opener mm_struct (bsc#1012628).
- ASoC: max98088: fix ni clock divider calculation (bsc#1012628).
- ASoC: amd: fix for pcm_read() error (bsc#1012628).
- spi: Fix spi device unregister flow (bsc#1012628).
- spi: spi-zynq-qspi: Fix stack violation bug (bsc#1012628).
- bpf: Forbid trampoline attach for functions with variable
arguments (bsc#1012628).
- ASoC: codecs: lpass-rx-macro: add missing MODULE_DEVICE_TABLE
(bsc#1012628).
- ASoC: codecs: lpass-tx-macro: add missing MODULE_DEVICE_TABLE
(bsc#1012628).
- net/nfc/rawsock.c: fix a permission check bug (bsc#1012628).
- usb: cdns3: Fix runtime PM imbalance on error (bsc#1012628).
- ASoC: Intel: bytcr_rt5640: Add quirk for the Glavey TM800A550L
tablet (bsc#1012628).
- ASoC: Intel: bytcr_rt5640: Add quirk for the Lenovo Miix 3-830
tablet (bsc#1012628).
- bpf: Add deny list of btf ids check for tracing programs
(bsc#1012628).
- vfio-ccw: Reset FSM state to IDLE inside FSM (bsc#1012628).
- vfio-ccw: Serialize FSM IDLE state with I/O completion
(bsc#1012628).
- ASoC: sti-sas: add missing MODULE_DEVICE_TABLE (bsc#1012628).
- spi: sprd: Add missing MODULE_DEVICE_TABLE (bsc#1012628).
- usb: chipidea: udc: assign interrupt number to USB gadget
structure (bsc#1012628).
- isdn: mISDN: netjet: Fix crash in nj_probe: (bsc#1012628).
- bonding: init notify_work earlier to avoid uninitialized use
(bsc#1012628).
- netlink: disable IRQs for netlink_lock_table() (bsc#1012628).
- net: mdiobus: get rid of a BUG_ON() (bsc#1012628).
- cgroup: disable controllers at parse time (bsc#1012628).
- wq: handle VM suspension in stall detection (bsc#1012628).
- net/qla3xxx: fix schedule while atomic in ql_sem_spinlock
(bsc#1012628).
- RDS tcp loopback connection can hang (bsc#1012628).
- net:sfc: fix non-freed irq in legacy irq mode (bsc#1012628).
- scsi: bnx2fc: Return failure if io_req is already in ABTS
processing (bsc#1012628).
- scsi: vmw_pvscsi: Set correct residual data length
(bsc#1012628).
- scsi: hisi_sas: Drop free_irq() of devm_request_irq() allocated
irq (bsc#1012628).
- scsi: target: qla2xxx: Wait for stop_phase1 at WWN removal
(bsc#1012628).
- net: macb: ensure the device is available before accessing
GEMGXL control registers (bsc#1012628).
- net: appletalk: cops: Fix data race in cops_probe1
(bsc#1012628).
- net: dsa: microchip: enable phy errata workaround on 9567
(bsc#1012628).
- Makefile: LTO: have linker check -Wframe-larger-than
(bsc#1012628).
- nvme-fabrics: decode host pathing error for connect
(bsc#1012628).
- MIPS: Fix kernel hang under FUNCTION_GRAPH_TRACER and
PREEMPT_TRACER (bsc#1012628).
- bpf, selftests: Adjust few selftest result_unpriv outcomes
(bsc#1012628).
- dm verity: fix require_signatures module_param permissions
(bsc#1012628).
- bnx2x: Fix missing error code in bnx2x_iov_init_one()
(bsc#1012628).
- nvme-tcp: remove incorrect Kconfig dep in BLK_DEV_NVME
(bsc#1012628).
- nvmet: fix false keep-alive timeout when a controller is torn
down (bsc#1012628).
- powerpc/fsl: set fsl,i2c-erratum-a004447 flag for P2041 i2c
controllers (bsc#1012628).
- powerpc/fsl: set fsl,i2c-erratum-a004447 flag for P1010 i2c
controllers (bsc#1012628).
- spi: Don't have controller clean up spi device before driver
unbind (bsc#1012628).
- spi: Cleanup on failure of initial setup (bsc#1012628).
- i2c: mpc: Make use of i2c_recover_bus() (bsc#1012628).
- i2c: mpc: implement erratum A-004447 workaround (bsc#1012628).
- ALSA: seq: Fix race of snd_seq_timer_open() (bsc#1012628).
- ALSA: firewire-lib: fix the context to call snd_pcm_stop_xrun()
(bsc#1012628).
- ALSA: hda/realtek: headphone and mic don't work on an Acer
laptop (bsc#1012628).
- ALSA: hda/realtek: fix mute/micmute LEDs and speaker for HP
Elite Dragonfly G2 (bsc#1012628).
- ALSA: hda/realtek: fix mute/micmute LEDs and speaker for HP
EliteBook x360 1040 G8 (bsc#1012628).
- ALSA: hda/realtek: fix mute/micmute LEDs for HP EliteBook 840
Aero G8 (bsc#1012628).
- ALSA: hda/realtek: fix mute/micmute LEDs for HP ZBook Power G8
(bsc#1012628).
- spi: bcm2835: Fix out-of-bounds access with more than 4 slaves
(bsc#1012628).
- Revert "ACPI: sleep: Put the FACS table after using it"
(bsc#1012628).
- drm: Fix use-after-free read in drm_getunique() (bsc#1012628).
- drm: Lock pointer access in drm_master_release() (bsc#1012628).
- x86, lto: Pass -stack-alignment only on LLD < 13.0.0
(bsc#1012628).
- perf/x86/intel/uncore: Fix M2M event umask for Ice Lake server
(bsc#1012628).
- KVM: x86: Unload MMU on guest TLB flush if TDP disabled to
force MMU sync (bsc#1012628).
- KVM: X86: MMU: Use the correct inherited permissions to get
shadow page (bsc#1012628).
- kvm: avoid speculation-based attacks from out-of-range memslot
accesses (bsc#1012628).
- staging: rtl8723bs: Fix uninitialized variables (bsc#1012628).
- usb: misc: brcmstb-usb-pinmap: check return value after calling
platform_get_resource() (bsc#1012628).
- misc: rtsx: separate aspm mode into MODE_REG and MODE_CFG
(bsc#1012628).
- bus: mhi: pci_generic: Fix possible use-after-free in
mhi_pci_remove() (bsc#1012628).
- tick/nohz: Only check for RCU deferred wakeup on user/guest
entry when needed (bsc#1012628).
- bcache: remove bcache device self-defined readahead
(bsc#1012628).
- bcache: avoid oversized read request in cache missing code path
(bsc#1012628).
- async_xor: check src_offs is not NULL before updating it
(bsc#1012628).
- btrfs: do not write supers if we have an fs error (bsc#1012628).
- btrfs: zoned: fix zone number to sector/physical calculation
(bsc#1012628).
- btrfs: return value from btrfs_mark_extent_written() in case
of error (bsc#1012628).
- btrfs: promote debugging asserts to full-fledged checks in
validate_super (bsc#1012628).
- coredump: Limit what can interrupt coredumps (bsc#1012628).
- cgroup1: don't allow '\n' in renaming (bsc#1012628).
- ftrace: Do not blindly read the ip address in ftrace_bug()
(bsc#1012628).
- tracing: Correct the length check which causes memory corruption
(bsc#1012628).
- tools/bootconfig: Fix a build error accroding to undefined
fallthrough (bsc#1012628).
- mmc: renesas_sdhi: abort tuning when timeout detected
(bsc#1012628).
- mmc: renesas_sdhi: Fix HS400 on R-Car M3-W+ (bsc#1012628).
- USB: f_ncm: ncm_bitrate (speed) is unsigned (bsc#1012628).
- usb: f_ncm: only first packet of aggregate needs to start timer
(bsc#1012628).
- usb: pd: Set PD_T_SINK_WAIT_CAP to 310ms (bsc#1012628).
- usb: dwc3-meson-g12a: fix usb2 PHY glue init when phy0 is
disabled (bsc#1012628).
- usb: dwc3: meson-g12a: Disable the regulator in the error
handling path of the probe (bsc#1012628).
- usb: dwc3: gadget: Bail from dwc3_gadget_exit() if dwc->gadget
is NULL (bsc#1012628).
- usb: dwc3: ep0: fix NULL pointer exception (bsc#1012628).
- usb: musb: fix MUSB_QUIRK_B_DISCONNECT_99 handling
(bsc#1012628).
- usb: typec: wcove: Use LE to CPU conversion when accessing
msg->header (bsc#1012628).
- usb: typec: ucsi: Clear PPM capability data in ucsi_init()
error path (bsc#1012628).
- usb: typec: intel_pmc_mux: Put fwnode in error case during
->probe() (bsc#1012628).
- usb: typec: intel_pmc_mux: Add missed error check for
devm_ioremap_resource() (bsc#1012628).
- usb: gadget: f_fs: Ensure io_completion_wq is idle during unbind
(bsc#1012628).
- USB: serial: ftdi_sio: add NovaTech OrionMX product ID
(bsc#1012628).
- USB: serial: omninet: add device id for Zyxel Omni 56K Plus
(bsc#1012628).
- USB: serial: quatech2: fix control-request directions
(bsc#1012628).
- USB: serial: cp210x: fix alternate function for CP2102N QFN20
(bsc#1012628).
- USB: serial: cp210x: fix CP2102N-A01 modem control
(bsc#1012628).
- usb: cdnsp: Fix deadlock issue in cdnsp_thread_irq_handler
(bsc#1012628).
- usb: gadget: eem: fix wrong eem header operation (bsc#1012628).
- usb: fix various gadgets null ptr deref on 10gbps cabling
(bsc#1012628).
- usb: fix various gadget panics on 10gbps cabling (bsc#1012628).
- usb: typec: tcpm: Properly handle Alert and Status Messages
(bsc#1012628).
- usb: typec: tcpm: cancel vdm and state machine hrtimer when
unregister tcpm port (bsc#1012628).
- usb: typec: tcpm: cancel frs hrtimer when unregister tcpm port
(bsc#1012628).
- usb: typec: tcpm: Do not finish VDM AMS for retrying Responses
(bsc#1012628).
- regulator: core: resolve supply for boot-on/always-on regulators
(bsc#1012628).
- regulator: max77620: Use device_set_of_node_from_dev()
(bsc#1012628).
- regulator: bd718x7: Fix the BUCK7 voltage setting on BD71837
(bsc#1012628).
- regulator: da9121: Return REGULATOR_MODE_INVALID for invalid
mode (bsc#1012628).
- regulator: fan53880: Fix missing n_voltages setting
(bsc#1012628).
- regulator: fixed: Ensure enable_counter is correct if
reg_domain_disable fails (bsc#1012628).
- regulator: scmi: Fix off-by-one for linear regulators
.n_voltages setting (bsc#1012628).
- regulator: bd71828: Fix .n_voltages settings (bsc#1012628).
- regulator: atc260x: Fix n_voltages and min_sel for pickable
linear ranges (bsc#1012628).
- regulator: rtmv20: Fix .set_current_limit/.get_current_limit
callbacks (bsc#1012628).
- phy: usb: Fix misuse of IS_ENABLED (bsc#1012628).
- usb: cdns3: Enable TDL_CHK only for OUT ep (bsc#1012628).
- usb: dwc3: gadget: Disable gadget IRQ during pullup disable
(bsc#1012628).
- usb: typec: tcpm: Correct the responses in SVDM Version 2.0 DFP
(bsc#1012628).
- usb: typec: tcpm: Fix misuses of AMS invocation (bsc#1012628).
- usb: typec: mux: Fix copy-paste mistake in typec_mux_match
(bsc#1012628).
- drm/mcde: Fix off by 10^3 in calculation (bsc#1012628).
- drm/msm/a6xx: fix incorrectly set uavflagprd_inv field for A650
(bsc#1012628).
- drm/msm/a6xx: update/fix CP_PROTECT initialization
(bsc#1012628).
- drm/msm/a6xx: avoid shadow NULL reference in failure path
(bsc#1012628).
- hwmon: (corsair-psu) fix suspend behavior (bsc#1012628).
- hwmon: (tps23861) define regmap max register (bsc#1012628).
- hwmon: (tps23861) set current shunt value (bsc#1012628).
- hwmon: (tps23861) correct shunt LSB values (bsc#1012628).
- RDMA/ipoib: Fix warning caused by destroying non-initial netns
(bsc#1012628).
- RDMA/mlx5: Use different doorbell memory for different processes
(bsc#1012628).
- RDMA/mlx4: Do not map the core_clock page to user space unless
enabled (bsc#1012628).
- RDMA/mlx5: Block FDB rules when not in switchdev mode
(bsc#1012628).
- RDMA: Verify port when creating flow rule (bsc#1012628).
- ARM: cpuidle: Avoid orphan section warning (bsc#1012628).
- vmlinux.lds.h: Avoid orphan section with !SMP (bsc#1012628).
- tools/bootconfig: Fix error return code in apply_xbc()
(bsc#1012628).
- phy: cadence: Sierra: Fix error return code in
cdns_sierra_phy_probe() (bsc#1012628).
- ASoC: core: Fix Null-point-dereference in fmt_single_name()
(bsc#1012628).
- ASoC: meson: gx-card: fix sound-dai dt schema (bsc#1012628).
- phy: ti: Fix an error code in wiz_probe() (bsc#1012628).
- gpio: wcd934x: Fix shift-out-of-bounds error (bsc#1012628).
- pinctrl: qcom: Fix duplication in gpio_groups (bsc#1012628).
- perf/x86/intel/uncore: Fix a kernel WARNING triggered by
maxcpus=1 (bsc#1012628).
- perf: Fix data race between pin_count increment/decrement
(bsc#1012628).
- dt-bindings: connector: Replace BIT macro with generic bit ops
(bsc#1012628).
- sched/fair: Keep load_avg and load_sum synced (bsc#1012628).
- sched/fair: Make sure to update tg contrib for blocked load
(bsc#1012628).
- ASoC: SOF: reset enabled_cores state at suspend (bsc#1012628).
- sched/fair: Fix util_est UTIL_AVG_UNCHANGED handling
(bsc#1012628).
- platform/surface: aggregator: Fix event disable function
(bsc#1012628).
- x86/nmi_watchdog: Fix old-style NMI watchdog regression on
old Intel CPUs (bsc#1012628).
- KVM: x86: Ensure liveliness of nested VM-Enter fail tracepoint
message (bsc#1012628).
- IB/mlx5: Fix initializing CQ fragments buffer (bsc#1012628).
- NFS: Fix a potential NULL dereference in nfs_get_client()
(bsc#1012628).
- NFSv4: Fix deadlock between nfs4_evict_inode() and
nfs4_opendata_get_inode() (bsc#1012628).
- pinctrl: qcom: Make it possible to select SC8180x TLMM
(bsc#1012628).
- perf session: Correct buffer copying when peeking events
(bsc#1012628).
- kvm: fix previous commit for 32-bit builds (bsc#1012628).
- NFS: Fix use-after-free in nfs4_init_client() (bsc#1012628).
- NFSv4: Fix second deadlock in nfs4_evict_inode() (bsc#1012628).
- NFSv4: nfs4_proc_set_acl needs to restore NFS_CAP_UIDGID_NOMAP
on error (bsc#1012628).
- scsi: core: Fix error handling of scsi_host_alloc()
(bsc#1012628).
- scsi: core: Fix failure handling of scsi_add_host_with_dma()
(bsc#1012628).
- scsi: core: Put .shost_dev in failure path if host state
changes to RUNNING (bsc#1012628).
- scsi: core: Only put parent device if host state differs from
SHOST_CREATED (bsc#1012628).
- proc: only require mm_struct for writing (bsc#1012628).
- commit 522e416
-------------------------------------------------------------------
Wed Jun 16 11:23:59 CEST 2021 - msuchanek@suse.de
- kernel-binary.spec.in: Regenerate makefile when not using mkmakefile.
- commit 6b30fe5
-------------------------------------------------------------------
Tue Jun 15 11:53:57 CEST 2021 - jlee@suse.com
- ACPI: Pass the same capabilities to the _OSC regardless of
the query flag (bsc#1185513).
- commit 7354f79
-------------------------------------------------------------------
Mon Jun 14 15:13:50 CEST 2021 - tiwai@suse.de
- rpm/kernel-binary.spec.in: Fix handling of +arch marker (bsc#1186672)
The previous commit made a module wrongly into Module.optional.
Although it didn't influence on the end result, better to fix it.
Also, add a comment to explain the markers briefly.
- commit 8f79742
-------------------------------------------------------------------
Mon Jun 14 11:34:32 CEST 2021 - schwab@suse.de
- config: riscv64: enable STMMAC_PLATFORM
This also makes DWMAC_DWC_QOS_ETH, DWMAC_GENERIC, DWMAC_INTEL_PLAT visible
which are all enabled.
- commit 617c6b8
-------------------------------------------------------------------
Mon Jun 14 00:50:49 CEST 2021 - mkubecek@suse.cz
- Update to 5.13-rc6
- commit e91bc34
-------------------------------------------------------------------
Mon Jun 14 00:45:10 CEST 2021 - mkubecek@suse.cz
- update patch metadata
- update upstream references and move into more appropriate section
patches.suse/0001-x86-ioremap-Map-efi_mem_reserve-memory-as-encrypted-.patch
- commit 716a407
-------------------------------------------------------------------
Fri Jun 11 17:28:26 CEST 2021 - tiwai@suse.de
- Add arch-dependent support markers in supported.conf (bsc#1186672)
We may need to put some modules as supported only on specific archs.
This extends the supported.conf syntax to allow to put +arch additionally
after the unsupported marker, then it'll be conditionally supported on
that arch.
- commit 8cbdb41
-------------------------------------------------------------------
Fri Jun 11 16:12:33 CEST 2021 - bwiedemann@suse.de
- Create Symbols.list and ipa-clones.list determistically
without this patch, filesystem readdir order would influence
order of entries in these files.
This patch was done while working on reproducible builds for SLE.
- commit a898b6d
-------------------------------------------------------------------
Fri Jun 11 10:39:06 CEST 2021 - tiwai@suse.de
- Update config files (bsc#1187167)
Set empty to CONFIG_MODULE_SIG_KEY for reproducible builds
- commit 332b26c
-------------------------------------------------------------------
Fri Jun 11 07:05:06 CEST 2021 - jslaby@suse.cz
- tipc: fix kernel-doc warnings (git-fixes).
- commit b92eaf7
-------------------------------------------------------------------
Fri Jun 11 06:52:17 CEST 2021 - jslaby@suse.cz
- Linux 5.12.10 (bsc#1012628).
- mt76: mt7921: add rcu section in mt7921_mcu_tx_rate_report
(bsc#1012628).
- mt76: mt7921: fix possible AOOB issue in
mt7921_mcu_tx_rate_report (bsc#1012628).
- mt76: mt76x0e: fix device hang during suspend/resume
(bsc#1012628).
- hwmon: (dell-smm-hwmon) Fix index values (bsc#1012628).
- hwmon: (pmbus/isl68137) remove READ_TEMPERATURE_3 for RAA228228
(bsc#1012628).
- netfilter: conntrack: unregister ipv4 sockopts on error unwind
(bsc#1012628).
- efi/fdt: fix panic when no valid fdt found (bsc#1012628).
- efi: Allow EFI_MEMORY_XP and EFI_MEMORY_RO both to be cleared
(bsc#1012628).
- efi/libstub: prevent read overflow in find_file_option()
(bsc#1012628).
- efi: cper: fix snprintf() use in cper_dimm_err_location()
(bsc#1012628).
- vfio/pci: Fix error return code in vfio_ecap_init()
(bsc#1012628).
- vfio/pci: zap_vma_ptes() needs MMU (bsc#1012628).
- samples: vfio-mdev: fix error handing in mdpy_fb_probe()
(bsc#1012628).
- vfio/platform: fix module_put call in error flow (bsc#1012628).
- ipvs: ignore IP_VS_SVC_F_HASHED flag when adding service
(bsc#1012628).
- HID: logitech-hidpp: initialize level variable (bsc#1012628).
- HID: pidff: fix error return code in hid_pidff_init()
(bsc#1012628).
- HID: amd_sfh: Fix memory leak in amd_sfh_work (bsc#1012628).
- HID: i2c-hid: fix format string mismatch (bsc#1012628).
- kbuild: Quote OBJCOPY var to avoid a pahole call break the build
(bsc#1012628).
- devlink: Correct VIRTUAL port to not have phys_port attributes
(bsc#1012628).
- net/sched: act_ct: Offload connections with commit action
(bsc#1012628).
- net/sched: act_ct: Fix ct template allocation for zone 0
(bsc#1012628).
- mptcp: fix sk_forward_memory corruption on retransmission
(bsc#1012628).
- mptcp: always parse mptcp options for MPC reqsk (bsc#1012628).
- mptcp: do not reset MP_CAPABLE subflow on mapping errors
(bsc#1012628).
- nvme-rdma: fix in-casule data send for chained sgls
(bsc#1012628).
- ACPICA: Clean up context mutex during object deletion
(bsc#1012628).
- perf probe: Fix NULL pointer dereference in
convert_variable_location() (bsc#1012628).
- net: dsa: tag_8021q: fix the VLAN IDs used for encoding
sub-VLANs (bsc#1012628).
- net: sock: fix in-kernel mark setting (bsc#1012628).
- net/tls: Replace TLS_RX_SYNC_RUNNING with RCU (bsc#1012628).
- net/tls: Fix use-after-free after the TLS device goes down
and up (bsc#1012628).
- net/mlx5e: Fix incompatible casting (bsc#1012628).
- net/mlx5: Check firmware sync reset requested is set before
trying to abort it (bsc#1012628).
- net/mlx5e: Check for needed capability for cvlan matching
(bsc#1012628).
- net/mlx5e: Fix adding encap rules to slow path (bsc#1012628).
- net/mlx5: DR, Create multi-destination flow table with level
less than 64 (bsc#1012628).
- nvmet: fix freeing unallocated p2pmem (bsc#1012628).
- netfilter: nft_ct: skip expectations for confirmed conntrack
(bsc#1012628).
- netfilter: nfnetlink_cthelper: hit EBUSY on updates if size
mismatches (bsc#1012628).
- drm/i915/selftests: Fix return value check in
live_breadcrumbs_smoketest() (bsc#1012628).
- bpf, lockdown, audit: Fix buggy SELinux lockdown permission
checks (bsc#1012628).
- ieee802154: fix error return code in ieee802154_add_iface()
(bsc#1012628).
- ieee802154: fix error return code in
ieee802154_llsec_getparams() (bsc#1012628).
- igb: Fix XDP with PTP enabled (bsc#1012628).
- igb: add correct exception tracing for XDP (bsc#1012628).
- ixgbevf: add correct exception tracing for XDP (bsc#1012628).
- ice: track AF_XDP ZC enabled queues in bitmap (bsc#1012628).
- cxgb4: fix regression with HASH tc prio value update
(bsc#1012628).
- ipv6: Fix KASAN: slab-out-of-bounds Read in
fib6_nh_flush_exceptions (bsc#1012628).
- ice: Fix allowing VF to request more/less queues via virtchnl
(bsc#1012628).
- ice: Fix VFR issues for AVF drivers that expect ATQLEN cleared
(bsc#1012628).
- ice: handle the VF VSI rebuild failure (bsc#1012628).
- ice: report supported and advertised autoneg using PHY
capabilities (bsc#1012628).
- ice: Allow all LLDP packets from PF to Tx (bsc#1012628).
- i2c: qcom-geni: Add shutdown callback for i2c (bsc#1012628).
- sch_htb: fix refcount leak in htb_parent_to_leaf_offload
(bsc#1012628).
- cxgb4: avoid link re-train during TC-MQPRIO configuration
(bsc#1012628).
- i40e: optimize for XDP_REDIRECT in xsk path (bsc#1012628).
- i40e: add correct exception tracing for XDP (bsc#1012628).
- ice: optimize for XDP_REDIRECT in xsk path (bsc#1012628).
- ice: add correct exception tracing for XDP (bsc#1012628).
- ixgbe: optimize for XDP_REDIRECT in xsk path (bsc#1012628).
- ixgbe: add correct exception tracing for XDP (bsc#1012628).
- arm64: dts: ti: j7200-main: Mark Main NAVSS as dma-coherent
(bsc#1012628).
- optee: use export_uuid() to copy client UUID (bsc#1012628).
- bus: ti-sysc: Fix am335x resume hang for usb otg module
(bsc#1012628).
- arm64: dts: ls1028a: fix memory node (bsc#1012628).
- arm64: dts: zii-ultra: remove second GEN_3V3 regulator instance
(bsc#1012628).
- arm64: dts: zii-ultra: fix 12V_MAIN voltage (bsc#1012628).
- arm64: dts: freescale: sl28: var4: fix RGMII clock and voltage
(bsc#1012628).
- arm64: dts: freescale: sl28: var1: fix RGMII clock and voltage
(bsc#1012628).
- ARM: dts: imx7d-meerkat96: Fix the 'tuning-step' property
(bsc#1012628).
- ARM: dts: imx7d-pico: Fix the 'tuning-step' property
(bsc#1012628).
- ARM: dts: imx: emcon-avari: Fix nxp,pca8574 #gpio-cells
(bsc#1012628).
- bus: ti-sysc: Fix flakey idling of uarts and stop using
swsup_sidle_act (bsc#1012628).
- arm64: meson: select COMMON_CLK (bsc#1012628).
- tipc: add extack messages for bearer/media failure
(bsc#1012628).
- tipc: fix unique bearer names sanity check (bsc#1012628).
- riscv: vdso: fix and clean-up Makefile (bsc#1012628).
- amdgpu: fix GEM obj leak in
amdgpu_display_user_framebuffer_create (bsc#1012628).
- io_uring: fix link timeout refs (bsc#1012628).
- io_uring: use better types for cflags (bsc#1012628).
- io_uring: wrap io_kiocb reference count manipulation in helpers
(bsc#1012628).
- io_uring: fix ltout double free on completion race
(bsc#1012628).
- drm/amdgpu/vcn3: add cancel_delayed_work_sync before power gate
(bsc#1012628).
- drm/amdgpu/jpeg2.5: add cancel_delayed_work_sync before power
gate (bsc#1012628).
- drm/amdgpu/jpeg3: add cancel_delayed_work_sync before power gate
(bsc#1012628).
- Bluetooth: fix the erroneous flush_work() order (bsc#1012628).
- Bluetooth: use correct lock to prevent UAF of hdev object
(bsc#1012628).
- wireguard: do not use -O3 (bsc#1012628).
- wireguard: peer: allocate in kmem_cache (bsc#1012628).
- wireguard: use synchronize_net rather than synchronize_rcu
(bsc#1012628).
- wireguard: selftests: remove old conntrack kconfig value
(bsc#1012628).
- wireguard: selftests: make sure rp_filter is disabled on vethc
(bsc#1012628).
- wireguard: allowedips: initialize list head in selftest
(bsc#1012628).
- wireguard: allowedips: remove nodes in O(1) (bsc#1012628).
- wireguard: allowedips: allocate nodes in kmem_cache
(bsc#1012628).
- wireguard: allowedips: free empty intermediate nodes when
removing single node (bsc#1012628).
- net: caif: added cfserl_release function (bsc#1012628).
- net: caif: add proper error handling (bsc#1012628).
- net: caif: fix memory leak in caif_device_notify (bsc#1012628).
- net: caif: fix memory leak in cfusbl_device_notify
(bsc#1012628).
- HID: i2c-hid: Skip ELAN power-on command after reset
(bsc#1012628).
- HID: magicmouse: fix NULL-deref on disconnect (bsc#1012628).
- HID: multitouch: require Finger field to mark Win8 reports as MT
(bsc#1012628).
- gfs2: fix scheduling while atomic bug in glocks (bsc#1012628).
- ALSA: timer: Fix master timer notification (bsc#1012628).
- ALSA: hda: Fix for mute key LED for HP Pavilion 15-CK0xx
(bsc#1012628).
- ALSA: hda: update the power_state during the direct-complete
(bsc#1012628).
- ARM: dts: imx6dl-yapp4: Fix RGMII connection to QCA8334 switch
(bsc#1012628).
- ARM: dts: imx6q-dhcom: Add PU,VDD1P1,VDD2P5 regulators
(bsc#1012628).
- ext4: fix memory leak in ext4_fill_super (bsc#1012628).
- ext4: fix bug on in ext4_es_cache_extent as ext4_split_extent_at
failed (bsc#1012628).
- ext4: fix fast commit alignment issues (bsc#1012628).
- ext4: fix memory leak in ext4_mb_init_backend on error path
(bsc#1012628).
- ext4: fix accessing uninit percpu counter variable with
fast_commit (bsc#1012628).
- usb: dwc2: Fix build in periphal-only mode (bsc#1012628).
- Revert "MIPS: make userspace mapping young by default"
(bsc#1012628).
- kfence: maximize allocation wait timeout duration (bsc#1012628).
- kfence: use TASK_IDLE when awaiting allocation (bsc#1012628).
- pid: take a reference when initializing `cad_pid` (bsc#1012628).
- ocfs2: fix data corruption by fallocate (bsc#1012628).
- mm/debug_vm_pgtable: fix alignment for pmd/pud_advanced_tests()
(bsc#1012628).
- mm/page_alloc: fix counting of free pages after take off from
buddy (bsc#1012628).
- scsi: lpfc: Fix failure to transmit ABTS on FC link
(bsc#1012628).
- x86/cpufeatures: Force disable X86_FEATURE_ENQCMD and remove
update_pasid() (bsc#1012628).
- dmaengine: idxd: Use cpu_feature_enabled() (bsc#1012628).
- x86/sev: Check SME/SEV support in CPUID first (bsc#1012628).
- KVM: PPC: Book3S HV: Save host FSCR in the P7/8 path
(bsc#1012628).
- nfc: fix NULL ptr dereference in llcp_sock_getname() after
failed connect (bsc#1012628).
- drm/amdgpu: Don't query CE and UE errors (bsc#1012628).
- drm/amdgpu: make sure we unpin the UVD BO (bsc#1012628).
- x86/apic: Mark _all_ legacy interrupts when IO/APIC is missing
(bsc#1012628).
- x86/thermal: Fix LVT thermal setup for SMI delivery mode
(bsc#1012628).
- powerpc/kprobes: Fix validation of prefixed instructions across
page boundary (bsc#1012628).
- btrfs: mark ordered extent and inode with error if we fail to
finish (bsc#1012628).
- btrfs: fix error handling in btrfs_del_csums (bsc#1012628).
- btrfs: return errors from btrfs_del_csums in cleanup_ref_head
(bsc#1012628).
- btrfs: fix fsync failure and transaction abort after writes
to prealloc extents (bsc#1012628).
- btrfs: check error value from btrfs_update_inode in tree log
(bsc#1012628).
- btrfs: fixup error handling in fixup_inode_link_counts
(bsc#1012628).
- btrfs: abort in rename_exchange if we fail to insert the second
ref (bsc#1012628).
- btrfs: fix deadlock when cloning inline extents and low on
available space (bsc#1012628).
- mm, hugetlb: fix simple resv_huge_pages underflow on UFFDIO_COPY
(bsc#1012628).
- drm/msm/dpu: always use mdp device to scale bandwidth
(bsc#1012628).
- KVM: SVM: Truncate GPR value for DR and CR accesses in !64-bit
mode (bsc#1012628).
- x86/kvm: Teardown PV features on boot CPU as well (bsc#1012628).
- x86/kvm: Disable kvmclock on all CPUs on shutdown (bsc#1012628).
- x86/kvm: Disable all PV features on crash (bsc#1012628).
- KVM: arm64: Commit pending PC adjustemnts before returning to
userspace (bsc#1012628).
- KVM: arm64: Resolve all pending PC updates before immediate exit
(bsc#1012628).
- ARM: OMAP1: isp1301-omap: Add missing gpiod_add_lookup_table
function (bsc#1012628).
- i2c: qcom-geni: Suspend and resume the bus during
SYSTEM_SLEEP_PM ops (bsc#1012628).
- x86/fault: Don't send SIGSEGV twice on SEGV_PKUERR
(bsc#1012628).
- netfilter: nf_tables: missing error reporting for not selected
expressions (bsc#1012628).
- xen-netback: take a reference to the RX task thread
(bsc#1012628).
- neighbour: allow NUD_NOARP entries to be forced GCed
(bsc#1012628).
- commit c24c929
-------------------------------------------------------------------
Thu Jun 10 17:31:16 CEST 2021 - martin.wilck@suse.com
- kernel-binary.spec.in: Add Supplements: for -extra package on Leap
kernel-$flavor-extra should supplement kernel-$flavor on Leap, like
it does on SLED, and like the kernel-$flavor-optional package does.
- commit c60d87f
-------------------------------------------------------------------
Tue Jun 8 16:27:59 CEST 2021 - jroedel@suse.de
- x86/ioremap: Map efi_mem_reserve() memory as encrypted for SEV (bsc#1186884).
- commit e0a9eac
-------------------------------------------------------------------
Tue Jun 8 16:02:39 CEST 2021 - jroedel@suse.de
- x86/ioremap: Map efi_mem_reserve() memory as encrypted for SEV (bsc#1186884).
- commit c7fb36b
-------------------------------------------------------------------
Mon Jun 7 12:54:59 CEST 2021 - mbrugger@suse.com
- brcmfmac: Add clm_blob firmware files to modinfo (bsc#1186857).
- commit aeed335
-------------------------------------------------------------------
Mon Jun 7 12:51:47 CEST 2021 - mbrugger@suse.com
- brcmfmac: Add clm_blob firmware files to modinfo (bsc#1186857).
- commit a0fa2f0
-------------------------------------------------------------------
Mon Jun 7 06:56:39 CEST 2021 - mkubecek@suse.cz
- Update to 5.13-rc5
- update configs
- HID_SEMITEK=m
- commit 6828450
-------------------------------------------------------------------
Mon Jun 7 00:34:39 CEST 2021 - mkubecek@suse.cz
- series.conf: cleanup
Move submitted patch to "almost mainline" section.
- commit 9f593b6
-------------------------------------------------------------------
Fri Jun 4 13:44:03 CEST 2021 - mbrugger@suse.com
- brcmfmac: Delete second brcm folder hierarchy (bsc#1186857).
- commit 1d77a5f
-------------------------------------------------------------------
Thu Jun 3 15:40:06 CEST 2021 - msuchanek@suse.de
- Refresh config files.
Align across architectures:
BPFILTER_UMH=m
- commit 39d2f9c
-------------------------------------------------------------------
Thu Jun 3 14:29:36 CEST 2021 - afaerber@suse.com
- config: armv7hl: Update to 5.13-rc4
- commit f762975
-------------------------------------------------------------------
Thu Jun 3 13:35:39 CEST 2021 - afaerber@suse.com
- config: armv6hl: Update to 5.13-rc4
- commit e26370d
-------------------------------------------------------------------
Thu Jun 3 12:58:30 CEST 2021 - afaerber@suse.com
- config: arm64: Update to 5.13-rc4
- commit 22709d7
-------------------------------------------------------------------
Thu Jun 3 09:59:29 CEST 2021 - msuchanek@suse.de
- kernel-binary.spec.in: build-id check requires elfutils.
- commit 01569b3
-------------------------------------------------------------------
Thu Jun 3 09:44:58 CEST 2021 - jslaby@suse.cz
- Linux 5.12.9 (bsc#1012628).
- ALSA: hda/realtek: the bass speaker can't output sound on Yoga
9i (bsc#1012628).
- ALSA: hda/realtek: Headphone volume is controlled by Front mixer
(bsc#1012628).
- ALSA: hda/realtek: Chain in pop reduction fixup for ThinkStation
P340 (bsc#1012628).
- ALSA: hda/realtek: fix mute/micmute LEDs for HP 855 G8
(bsc#1012628).
- ALSA: hda/realtek: fix mute/micmute LEDs and speaker for HP
Zbook G8 (bsc#1012628).
- ALSA: hda/realtek: fix mute/micmute LEDs and speaker for HP
Zbook Fury 15 G8 (bsc#1012628).
- ALSA: hda/realtek: fix mute/micmute LEDs and speaker for HP
Zbook Fury 17 G8 (bsc#1012628).
- ALSA: usb-audio: fix control-request direction (bsc#1012628).
- ALSA: usb-audio: scarlett2: Fix device hang with ehci-pci
(bsc#1012628).
- ALSA: usb-audio: scarlett2: Improve driver startup messages
(bsc#1012628).
- cifs: fix string declarations and assignments in tracepoints
(bsc#1012628).
- cifs: set server->cipher_type to AES-128-CCM for SMB3.0
(bsc#1012628).
- mtd: rawnand: cs553x: Fix external use of SW Hamming ECC helper
(bsc#1012628).
- mtd: rawnand: txx9ndfmc: Fix external use of SW Hamming ECC
helper (bsc#1012628).
- mtd: rawnand: sharpsl: Fix external use of SW Hamming ECC helper
(bsc#1012628).
- mtd: rawnand: lpc32xx_slc: Fix external use of SW Hamming ECC
helper (bsc#1012628).
- mtd: rawnand: ndfc: Fix external use of SW Hamming ECC helper
(bsc#1012628).
- mtd: rawnand: tmio: Fix external use of SW Hamming ECC helper
(bsc#1012628).
- mtd: rawnand: fsmc: Fix external use of SW Hamming ECC helper
(bsc#1012628).
- NFSv4: Fix a NULL pointer dereference in
pnfs_mark_matching_lsegs_return() (bsc#1012628).
- scsi: target: core: Avoid smp_processor_id() in preemptible code
(bsc#1012628).
- iommu/vt-d: Fix sysfs leak in alloc_iommu() (bsc#1012628).
- s390/dasd: add missing discipline function (bsc#1012628).
- perf intel-pt: Fix sample instruction bytes (bsc#1012628).
- perf intel-pt: Fix transaction abort handling (bsc#1012628).
- perf scripts python: exported-sql-viewer.py: Fix copy to
clipboard from Top Calls by elapsed Time report (bsc#1012628).
- perf scripts python: exported-sql-viewer.py: Fix Array TypeError
(bsc#1012628).
- perf scripts python: exported-sql-viewer.py: Fix warning display
(bsc#1012628).
- proc: Check /proc/$pid/attr/ writes against file opener
(bsc#1012628).
- net: hso: fix control-request directions (bsc#1012628).
- net/sched: fq_pie: re-factor fix for fq_pie endless loop
(bsc#1012628).
- net/sched: fq_pie: fix OOB access in the traffic path
(bsc#1012628).
- netfilter: nft_set_pipapo_avx2: Add irq_fpu_usable() check,
fallback to non-AVX2 version (bsc#1012628).
- dm snapshot: properly fix a crash when an origin has no
snapshots (bsc#1012628).
- md/raid5: remove an incorrect assert in in_chunk_boundary
(bsc#1012628).
- drm/i915: Reenable LTTPR non-transparent LT mode for
DPCD_REV<1.4 (bsc#1012628).
- drm/amd/pm: correct MGpuFanBoost setting (bsc#1012628).
- drm/amdgpu/vcn1: add cancel_delayed_work_sync before power gate
(bsc#1012628).
- drm/amdkfd: correct sienna_cichlid SDMA RLC register offset
error (bsc#1012628).
- drm/amdgpu/vcn2.0: add cancel_delayed_work_sync before power
gate (bsc#1012628).
- drm/amdgpu/vcn2.5: add cancel_delayed_work_sync before power
gate (bsc#1012628).
- drm/amdgpu/jpeg2.0: add cancel_delayed_work_sync before power
gate (bsc#1012628).
- kgdb: fix gcc-11 warnings harder (bsc#1012628).
- Documentation: seccomp: Fix user notification documentation
(bsc#1012628).
- riscv: stacktrace: fix the riscv stacktrace when
CONFIG_FRAME_POINTER enabled (bsc#1012628).
- seccomp: Refactor notification handler to prepare for new
semantics (bsc#1012628).
- debugfs: fix security_locked_down() call for SELinux
(bsc#1012628).
- serial: core: fix suspicious security_locked_down() call
(bsc#1012628).
- misc/uss720: fix memory leak in uss720_probe (bsc#1012628).
- thunderbolt: usb4: Fix NVM read buffer bounds and offset issue
(bsc#1012628).
- thunderbolt: dma_port: Fix NVM read buffer bounds and offset
issue (bsc#1012628).
- KVM: X86: Fix vCPU preempted state from guest's point of view
(bsc#1012628).
- KVM: arm64: Move __adjust_pc out of line (bsc#1012628).
- KVM: arm64: Fix debug register indexing (bsc#1012628).
- KVM: arm64: Prevent mixed-width VM creation (bsc#1012628).
- mei: request autosuspend after sending rx flow control
(bsc#1012628).
- staging: iio: cdc: ad7746: avoid overwrite of num_channels
(bsc#1012628).
- iio: gyro: fxas21002c: balance runtime power in error path
(bsc#1012628).
- iio: dac: ad5770r: Put fwnode in error case during ->probe()
(bsc#1012628).
- iio: adc: ad7768-1: Fix too small buffer passed to
iio_push_to_buffers_with_timestamp() (bsc#1012628).
- iio: adc: ad7124: Fix missbalanced regulator enable / disable
on error (bsc#1012628).
- iio: adc: ad7124: Fix potential overflow due to non sequential
channel numbers (bsc#1012628).
- iio: adc: ad7923: Fix undersized rx buffer (bsc#1012628).
- iio: adc: ad7793: Add missing error code in ad7793_setup()
(bsc#1012628).
- iio: adc: ad7192: Avoid disabling a clock that was never enabled
(bsc#1012628).
- iio: adc: ad7192: handle regulator voltage error first
(bsc#1012628).
- serial: 8250: Add UART_BUG_TXRACE workaround for Aspeed VUART
(bsc#1012628).
- serial: 8250_dw: Add device HID for new AMD UART controller
(bsc#1012628).
- serial: 8250_pci: Add support for new HPE serial device
(bsc#1012628).
- serial: 8250_pci: handle FL_NOIRQ board flag (bsc#1012628).
- USB: trancevibrator: fix control-request direction
(bsc#1012628).
- Revert "irqbypass: do not start cons/prod when failed connect"
(bsc#1012628).
- USB: usbfs: Don't WARN about excessively large memory
allocations (bsc#1012628).
- xhci: fix giving back URB with incorrect status regression in
5.12 (bsc#1012628).
- xhci: Fix 5.12 regression of missing xHC cache clearing command
after a Stall (bsc#1012628).
- drivers: base: Fix device link removal (bsc#1012628).
- serial: tegra: Fix a mask operation that is always true
(bsc#1012628).
- serial: sh-sci: Fix off-by-one error in FIFO threshold register
setting (bsc#1012628).
- serial: rp2: use 'request_firmware' instead of
'request_firmware_nowait' (bsc#1012628).
- USB: serial: ti_usb_3410_5052: add startech.com device id
(bsc#1012628).
- USB: serial: option: add Telit LE910-S1 compositions 0x7010,
0x7011 (bsc#1012628).
- USB: serial: ftdi_sio: add IDs for IDS GmbH Products
(bsc#1012628).
- USB: serial: pl2303: add device id for ADLINK ND-6530 GC
(bsc#1012628).
- thermal/drivers/intel: Initialize RW trip to
THERMAL_TEMP_INVALID (bsc#1012628).
- usb: dwc3: gadget: Properly track pending and queued SG
(bsc#1012628).
- usb: gadget: udc: renesas_usb3: Fix a race in usb3_start_pipen()
(bsc#1012628).
- usb: typec: mux: Fix matching with typec_altmode_desc
(bsc#1012628).
- usb: typec: ucsi: Clear pending after acking connector change
(bsc#1012628).
- usb: typec: tcpm: Use LE to CPU conversion when accessing
msg->header (bsc#1012628).
- usb: typec: tcpm: Properly interrupt VDM AMS (bsc#1012628).
- usb: typec: tcpm: Respond Not_Supported if no snk_vdo
(bsc#1012628).
- net: usb: fix memory leak in smsc75xx_bind (bsc#1012628).
- Bluetooth: cmtp: fix file refcount when cmtp_attach_device fails
(bsc#1012628).
- fs/nfs: Use fatal_signal_pending instead of signal_pending
(bsc#1012628).
- NFS: fix an incorrect limit in filelayout_decode_layout()
(bsc#1012628).
- NFS: Fix an Oopsable condition in __nfs_pageio_add_request()
(bsc#1012628).
- NFS: Don't corrupt the value of pg_bytes_written in
nfs_do_recoalesce() (bsc#1012628).
- NFSv4: Fix v4.0/v4.1 SEEK_DATA return -ENOTSUPP when set
NFS_V4_2 config (bsc#1012628).
- drm/meson: fix shutdown crash when component not probed
(bsc#1012628).
- net/mlx5e: reset XPS on error flow if netdev isn't registered
yet (bsc#1012628).
- net/mlx5e: Fix multipath lag activation (bsc#1012628).
- net/mlx5e: Fix error path of updating netdev queues
(bsc#1012628).
- {net,vdpa}/mlx5: Configure interface MAC into mpfs L2 table
(bsc#1012628).
- net/mlx5e: Fix nullptr in mlx5e_tc_add_fdb_flow() (bsc#1012628).
- net/mlx5e: Fix nullptr in add_vlan_push_action() (bsc#1012628).
- net/mlx5: Set reformat action when needed for termination rules
(bsc#1012628).
- net/mlx5e: Fix null deref accessing lag dev (bsc#1012628).
- net/mlx4: Fix EEPROM dump support (bsc#1012628).
- {net, RDMA}/mlx5: Fix override of log_max_qp by other device
(bsc#1012628).
- net/mlx5: Set term table as an unmanaged flow table
(bsc#1012628).
- KVM: X86: Fix warning caused by stale emulation context
(bsc#1012628).
- KVM: X86: Use _BITUL() macro in UAPI headers (bsc#1012628).
- KVM: selftests: Fix 32-bit truncation of vm_get_max_gfn()
(bsc#1012628).
- SUNRPC in case of backlog, hand free slots directly to waiting
task (bsc#1012628).
- Revert "net:tipc: Fix a double free in tipc_sk_mcast_rcv"
(bsc#1012628).
- tipc: wait and exit until all work queues are done
(bsc#1012628).
- tipc: skb_linearize the head skb when reassembling msgs
(bsc#1012628).
- spi: spi-fsl-dspi: Fix a resource leak in an error handling path
(bsc#1012628).
- sctp: fix the proc_handler for sysctl encap_port (bsc#1012628).
- sctp: add the missing setting for asoc encap_port (bsc#1012628).
- netfilter: flowtable: Remove redundant hw refresh bit
(bsc#1012628).
- net: dsa: mt7530: fix VLAN traffic leaks (bsc#1012628).
- net: dsa: bcm_sf2: Fix bcm_sf2_reg_rgmii_cntrl() call for
non-RGMII port (bsc#1012628).
- net: dsa: fix a crash if ->get_sset_count() fails (bsc#1012628).
- net: dsa: sja1105: update existing VLANs from the bridge VLAN
list (bsc#1012628).
- net: dsa: sja1105: use 4095 as the private VLAN for untagged
traffic (bsc#1012628).
- net: dsa: sja1105: error out on unsupported PHY mode
(bsc#1012628).
- net: dsa: sja1105: add error handling in sja1105_setup()
(bsc#1012628).
- net: dsa: sja1105: call dsa_unregister_switch when allocating
memory fails (bsc#1012628).
- net: dsa: sja1105: fix VL lookup command packing for P/Q/R/S
(bsc#1012628).
- i2c: s3c2410: fix possible NULL pointer deref on read message
after write (bsc#1012628).
- i2c: mediatek: Disable i2c start_en and clear intr_stat brfore
reset (bsc#1012628).
- i2c: i801: Don't generate an interrupt on bus reset
(bsc#1012628).
- i2c: sh_mobile: Use new clock calculation formulas for RZ/G2E
(bsc#1012628).
- afs: Fix the nlink handling of dir-over-dir rename
(bsc#1012628).
- perf debug: Move debug initialization earlier (bsc#1012628).
- perf jevents: Fix getting maximum number of fds (bsc#1012628).
- nvmet-tcp: fix inline data size comparison in
nvmet_tcp_queue_response (bsc#1012628).
- mptcp: avoid error message on infinite mapping (bsc#1012628).
- mptcp: fix data stream corruption (bsc#1012628).
- mptcp: drop unconditional pr_warn on bad opt (bsc#1012628).
- platform/x86: hp_accel: Avoid invoking _INI to speed up resume
(bsc#1012628).
- gpio: cadence: Add missing MODULE_DEVICE_TABLE (bsc#1012628).
- Revert "crypto: cavium/nitrox - add an error message to explain
the failure of pci_request_mem_regions" (bsc#1012628).
- Revert "media: usb: gspca: add a missed check for
goto_low_power" (bsc#1012628).
- Revert "ALSA: sb: fix a missing check of snd_ctl_add"
(bsc#1012628).
- Revert "serial: max310x: pass return value of
spi_register_driver" (bsc#1012628).
- serial: max310x: unregister uart driver in case of failure
and abort (bsc#1012628).
- Revert "net: fujitsu: fix a potential NULL pointer dereference"
(bsc#1012628).
- net: fujitsu: fix potential null-ptr-deref (bsc#1012628).
- Revert "net/smc: fix a NULL pointer dereference" (bsc#1012628).
- net/smc: properly handle workqueue allocation failure
(bsc#1012628).
- Revert "net: caif: replace BUG_ON with recovery code"
(bsc#1012628).
- net: caif: remove BUG_ON(dev == NULL) in caif_xmit
(bsc#1012628).
- Revert "char: hpet: fix a missing check of ioremap"
(bsc#1012628).
- char: hpet: add checks after calling ioremap (bsc#1012628).
- Revert "ALSA: gus: add a check of the status of snd_ctl_add"
(bsc#1012628).
- Revert "ALSA: usx2y: Fix potential NULL pointer dereference"
(bsc#1012628).
- Revert "isdn: mISDNinfineon: fix potential NULL pointer
dereference" (bsc#1012628).
- isdn: mISDNinfineon: check/cleanup ioremap failure correctly
in setup_io (bsc#1012628).
- Revert "ath6kl: return error code in
ath6kl_wmi_set_roam_lrssi_cmd()" (bsc#1012628).
- ath6kl: return error code in ath6kl_wmi_set_roam_lrssi_cmd()
(bsc#1012628).
- Revert "isdn: mISDN: Fix potential NULL pointer dereference
of kzalloc" (bsc#1012628).
- isdn: mISDN: correctly handle ph_info allocation failure in
hfcsusb_ph_info (bsc#1012628).
- Revert "dmaengine: qcom_hidma: Check for driver register
failure" (bsc#1012628).
- dmaengine: qcom_hidma: comment platform_driver_register call
(bsc#1012628).
- Revert "libertas: add checks for the return value of
sysfs_create_group" (bsc#1012628).
- libertas: register sysfs groups properly (bsc#1012628).
- Revert "ASoC: cs43130: fix a NULL pointer dereference"
(bsc#1012628).
- ASoC: cs43130: handle errors in cs43130_probe() properly
(bsc#1012628).
- Revert "media: dvb: Add check on sp8870_readreg" (bsc#1012628).
- media: dvb: Add check on sp8870_readreg return (bsc#1012628).
- Revert "media: gspca: mt9m111: Check write_bridge for timeout"
(bsc#1012628).
- media: gspca: mt9m111: Check write_bridge for timeout
(bsc#1012628).
- Revert "media: gspca: Check the return value of write_bridge
for timeout" (bsc#1012628).
- media: gspca: properly check for errors in po1030_probe()
(bsc#1012628).
- Revert "net: liquidio: fix a NULL pointer dereference"
(bsc#1012628).
- net: liquidio: Add missing null pointer checks (bsc#1012628).
- Revert "brcmfmac: add a check for the status of usb_register"
(bsc#1012628).
- brcmfmac: properly check for bus register errors (bsc#1012628).
- btrfs: return whole extents in fiemap (bsc#1012628).
- scsi: ufs: ufs-mediatek: Fix power down spec violation
(bsc#1012628).
- scsi: BusLogic: Fix 64-bit system enumeration error for Buslogic
(bsc#1012628).
- openrisc: Define memory barrier mb (bsc#1012628).
- scsi: pm80xx: Fix drives missing during rmmod/insmod loop
(bsc#1012628).
- btrfs: release path before starting transaction when cloning
inline extent (bsc#1012628).
- btrfs: do not BUG_ON in link_to_fixup_dir (bsc#1012628).
- ALSA: dice: disable double_pcm_frames mode for M-Audio Profire
610, 2626 and Avid M-Box 3 Pro (bsc#1012628).
- platform/x86: hp-wireless: add AMD's hardware id to the
supported list (bsc#1012628).
- platform/x86: intel_punit_ipc: Append MODULE_DEVICE_TABLE for
ACPI (bsc#1012628).
- platform/x86: touchscreen_dmi: Add info for the Mediacom Winpad
7.0 W700 tablet (bsc#1012628).
- SMB3: incorrect file id in requests compounded with open
(bsc#1012628).
- drm/amd/display: Disconnect non-DP with no EDID (bsc#1012628).
- drm/amd/amdgpu: fix refcount leak (bsc#1012628).
- drm/amdgpu: Fix a use-after-free (bsc#1012628).
- drm/amd/amdgpu: fix a potential deadlock in gpu reset
(bsc#1012628).
- drm/amdgpu: stop touching sched.ready in the backend
(bsc#1012628).
- platform/x86: touchscreen_dmi: Add info for the Chuwi Hi10 Pro
(CWI529) tablet (bsc#1012628).
- block: fix a race between del_gendisk and BLKRRPART
(bsc#1012628).
- linux/bits.h: fix compilation error with GENMASK (bsc#1012628).
- spi: take the SPI IO-mutex in the spi_set_cs_timing method
(bsc#1012628).
- net: netcp: Fix an error message (bsc#1012628).
- net: dsa: fix error code getting shifted with 4 in
dsa_slave_get_sset_count (bsc#1012628).
- interconnect: qcom: bcm-voter: add a missing of_node_put()
(bsc#1012628).
- interconnect: qcom: Add missing MODULE_DEVICE_TABLE
(bsc#1012628).
- usb: cdnsp: Fix lack of removing request from pending list
(bsc#1012628).
- ASoC: cs42l42: Regmap must use_single_read/write (bsc#1012628).
- net: stmmac: Fix MAC WoL not working if PHY does not support
WoL (bsc#1012628).
- net: ipa: memory region array is variable size (bsc#1012628).
- vfio-ccw: Check initialized flag in cp_init() (bsc#1012628).
- spi: Assume GPIO CS active high in ACPI case (bsc#1012628).
- net: really orphan skbs tied to closing sk (bsc#1012628).
- net: packetmmap: fix only tx timestamp on request (bsc#1012628).
- net: fec: fix the potential memory leak in fec_enet_init()
(bsc#1012628).
- octeontx2-pf: fix a buffer overflow in otx2_set_rxfh_context()
(bsc#1012628).
- ptp: ocp: Fix a resource leak in an error handling path
(bsc#1012628).
- chelsio/chtls: unlock on error in chtls_pt_recvmsg()
(bsc#1012628).
- net: mdio: thunder: Fix a double free issue in the .remove
function (bsc#1012628).
- net: mdio: octeon: Fix some double free issues (bsc#1012628).
- cxgb4/ch_ktls: Clear resources when pf4 device is removed
(bsc#1012628).
- openvswitch: meter: fix race when getting now_ms (bsc#1012628).
- tls splice: check SPLICE_F_NONBLOCK instead of MSG_DONTWAIT
(bsc#1012628).
- net: sched: fix packet stuck problem for lockless qdisc
(bsc#1012628).
- net: sched: fix tx action rescheduling issue during deactivation
(bsc#1012628).
- net: sched: fix tx action reschedule issue with stopped queue
(bsc#1012628).
- net: hso: check for allocation failure in
hso_create_bulk_serial_device() (bsc#1012628).
- net: bnx2: Fix error return code in bnx2_init_board()
(bsc#1012628).
- bnxt_en: Include new P5 HV definition in VF check (bsc#1012628).
- bnxt_en: Fix context memory setup for 64K page size
(bsc#1012628).
- mld: fix panic in mld_newpack() (bsc#1012628).
- net/smc: remove device from smcd_dev_list after failed
device_add() (bsc#1012628).
- gve: Check TX QPL was actually assigned (bsc#1012628).
- gve: Update mgmt_msix_idx if num_ntfy changes (bsc#1012628).
- gve: Add NULL pointer checks when freeing irqs (bsc#1012628).
- gve: Upgrade memory barrier in poll routine (bsc#1012628).
- gve: Correct SKB queue index validation (bsc#1012628).
- iommu/amd: Clear DMA ops when switching domain (bsc#1012628).
- iommu/virtio: Add missing MODULE_DEVICE_TABLE (bsc#1012628).
- net: hns3: fix incorrect resp_msg issue (bsc#1012628).
- net: hns3: put off calling register_netdev() until client
initialize complete (bsc#1012628).
- net: hns3: fix user's coalesce configuration lost issue
(bsc#1012628).
- net/mlx5: SF, Fix show state inactive when its inactivated
(bsc#1012628).
- net/mlx5e: Make sure fib dev exists in fib event (bsc#1012628).
- net/mlx5e: Reject mirroring on source port change encap rules
(bsc#1012628).
- iommu/vt-d: Check for allocation failure in aux_detach_device()
(bsc#1012628).
- iommu/vt-d: Use user privilege for RID2PASID translation
(bsc#1012628).
- cxgb4: avoid accessing registers when clearing filters
(bsc#1012628).
- staging: emxx_udc: fix loop in _nbu2ss_nuke() (bsc#1012628).
- ASoC: cs35l33: fix an error code in probe() (bsc#1012628).
- bpf, offload: Reorder offload callback 'prepare' in verifier
(bsc#1012628).
- bpf: Set mac_len in bpf_skb_change_head (bsc#1012628).
- ixgbe: fix large MTU request from VF (bsc#1012628).
- ASoC: qcom: lpass-cpu: Use optional clk APIs (bsc#1012628).
- scsi: libsas: Use _safe() loop in sas_resume_port()
(bsc#1012628).
- net: lantiq: fix memory corruption in RX ring (bsc#1012628).
- ipv6: record frag_max_size in atomic fragments in input path
(bsc#1012628).
- scsi: aic7xxx: Restore several defines for aic7xxx firmware
build (bsc#1012628).
- ALSA: usb-audio: scarlett2: snd_scarlett_gen2_controls_create()
can be static (bsc#1012628).
- net: ethernet: mtk_eth_soc: Fix packet statistics support for
MT7628/88 (bsc#1012628).
- sch_dsmark: fix a NULL deref in qdisc_reset() (bsc#1012628).
- net: hsr: fix mac_len checks (bsc#1012628).
- MIPS: alchemy: xxs1500: add gpio-au1000.h header file
(bsc#1012628).
- MIPS: ralink: export rt_sysc_membase for rt2880_wdt.c
(bsc#1012628).
- net: zero-initialize tc skb extension on allocation
(bsc#1012628).
- net: mvpp2: add buffer header handling in RX (bsc#1012628).
- SUNRPC: More fixes for backlog congestion (bsc#1012628).
- thermal/drivers/qcom: Fix error code in
adc_tm5_get_dt_channel_data() (bsc#1012628).
- KVM: X86: hyper-v: Task srcu lock when accessing kvm_memslots()
(bsc#1012628).
- xprtrdma: Revert 586a0787ce35 (bsc#1012628).
- samples/bpf: Consider frame size in tx_only of xdpsock sample
(bsc#1012628).
- net: hns3: check the return of skb_checksum_help()
(bsc#1012628).
- bpftool: Add sock_release help info for cgroup attach/prog
load command (bsc#1012628).
- Revert "Revert "ALSA: usx2y: Fix potential NULL pointer
dereference"" (bsc#1012628).
- net: hso: bail out on interrupt URB allocation failure
(bsc#1012628).
- arm64: mm: don't use CON and BLK mapping if KFENCE is enabled
(bsc#1012628).
- neighbour: Prevent Race condition in neighbour subsytem
(bsc#1012628).
- usb: core: reduce power-on-good delay time of root hub
(bsc#1012628).
- commit f17eb01
-------------------------------------------------------------------
Wed Jun 2 21:34:33 CEST 2021 - msuchanek@suse.de
- kernel-binary.spec: Only use mkmakefile when it exists
Linux 5.13 no longer has a mkmakefile script
- commit b453c7b
-------------------------------------------------------------------
Tue Jun 1 21:14:39 CEST 2021 - msuchanek@suse.de
- kernel-doc: Use Sphinx3.
Sphinx2 is about to be removed from Factory.
- commit fb6d3b6
-------------------------------------------------------------------
Mon May 31 00:32:47 CEST 2021 - mkubecek@suse.cz
- Update to 5.13-rc4
- eliminate 3 patches
- patches.suse/bpf-Fix-alu32-const-subreg-bound-tracking-on-bitwise.patch
- patches.suse/bpf-Prevent-writable-memory-mapping-of-read-only-rin.patch
- patches.suse/bpf-ringbuf-Deny-reserve-of-buffers-larger-than-ring.patch
- update configs
- BPF_UNPRIV_DEFAULT_OFF=n (backward compatible)
- MEMTEST=y (riscv64 only, enabled on most architectures)
- commit 25beba1
-------------------------------------------------------------------
Fri May 28 14:40:46 CEST 2021 - tiwai@suse.de
- Input: elants_i2c - Fix NULL dereference at probing
(bsc#1186454).
- commit bb5e8ab
-------------------------------------------------------------------
Fri May 28 13:39:01 CEST 2021 - jslaby@suse.cz
- Linux 5.12.8 (bsc#1012628).
- NFC: nci: fix memory leak in nci_allocate_device (bsc#1012628).
- KVM: x86: Defer vtime accounting 'til after IRQ handling
(bsc#1012628).
- context_tracking: Move guest exit vtime accounting to separate
helpers (bsc#1012628).
- context_tracking: Move guest exit context tracking to separate
helpers (bsc#1012628).
- bpf: No need to simulate speculative domain for immediates
(bsc#1012628).
- bpf: Fix mask direction swap upon off reg sign change
(bsc#1012628).
- bpf: Wrap aux data inside bpf_sanitize_info container
(bsc#1012628).
- commit 7a4f594
-------------------------------------------------------------------
Fri May 28 06:19:50 CEST 2021 - jslaby@suse.cz
- Refresh
patches.suse/pinctrl-bcm2835-accept-fewer-than-expected-irqs.patch.
Update upstream status.
- commit fc290e6
-------------------------------------------------------------------
Thu May 27 08:03:32 CEST 2021 - jslaby@suse.cz
- Linux 5.12.7 (bsc#1012628).
- firmware: arm_scpi: Prevent the ternary sign expansion bug
(bsc#1012628).
- openrisc: Fix a memory leak (bsc#1012628).
- tee: amdtee: unload TA only when its refcount becomes 0
(bsc#1012628).
- habanalabs/gaudi: Fix a potential use after free in
gaudi_memset_device_memory (bsc#1012628).
- RDMA/siw: Properly check send and receive CQ pointers
(bsc#1012628).
- RDMA/siw: Release xarray entry (bsc#1012628).
- RDMA/core: Prevent divide-by-zero error triggered by the user
(bsc#1012628).
- platform/x86: ideapad-laptop: fix a NULL pointer dereference
(bsc#1012628).
- RDMA/rxe: Clear all QP fields if creation failed (bsc#1012628).
- scsi: ufs: core: Increase the usable queue depth (bsc#1012628).
- scsi: qedf: Add pointer checks in qedf_update_link_speed()
(bsc#1012628).
- scsi: qla2xxx: Fix error return code in
qla82xx_write_flash_dword() (bsc#1012628).
- RDMA/mlx5: Recover from fatal event in dual port mode
(bsc#1012628).
- RDMA/rxe: Split MEM into MR and MW (bsc#1012628).
- RDMA/rxe: Return CQE error if invalid lkey was supplied
(bsc#1012628).
- RDMA/core: Don't access cm_id after its destruction
(bsc#1012628).
- nvmet: fix memory leak in nvmet_alloc_ctrl() (bsc#1012628).
- nvme-loop: fix memory leak in nvme_loop_create_ctrl()
(bsc#1012628).
- nvme-tcp: rerun io_work if req_list is not empty (bsc#1012628).
- nvme-fc: clear q_live at beginning of association teardown
(bsc#1012628).
- platform/mellanox: mlxbf-tmfifo: Fix a memory barrier issue
(bsc#1012628).
- platform/x86: intel_int0002_vgpio: Only call enable_irq_wake()
when using s2idle (bsc#1012628).
- platform/x86: dell-smbios-wmi: Fix oops on rmmod dell_smbios
(bsc#1012628).
- RDMA/mlx5: Fix query DCT via DEVX (bsc#1012628).
- RDMA/uverbs: Fix a NULL vs IS_ERR() bug (bsc#1012628).
- tools/testing/selftests/exec: fix link error (bsc#1012628).
- drm/ttm: Do not add non-system domain BO into swap list
(bsc#1012628).
- powerpc/pseries: Fix hcall tracing recursion in pv queued
spinlocks (bsc#1012628).
- ptrace: make ptrace() fail if the tracee changed its pid
unexpectedly (bsc#1012628).
- nvmet: seset ns->file when open fails (bsc#1012628).
- perf/x86: Avoid touching LBR_TOS MSR for Arch LBR (bsc#1012628).
- locking/lockdep: Correct calling tracepoints (bsc#1012628).
- locking/mutex: clear MUTEX_FLAGS if wait_list is empty due to
signal (bsc#1012628).
- powerpc: Fix early setup to make early_ioremap() work
(bsc#1012628).
- btrfs: avoid RCU stalls while running delayed iputs
(bsc#1012628).
- btrfs: fix removed dentries still existing after log is synced
(bsc#1012628).
- cifs: fix memory leak in smb2_copychunk_range (bsc#1012628).
- fs/mount_setattr: tighten permission checks (bsc#1012628).
- misc: eeprom: at24: check suspend status before disable
regulator (bsc#1012628).
- ALSA: dice: fix stream format for TC Electronic Konnekt Live
at high sampling transfer frequency (bsc#1012628).
- ALSA: intel8x0: Don't update period unless prepared
(bsc#1012628).
- ALSA: firewire-lib: fix amdtp_packet tracepoints event for
packet_index field (bsc#1012628).
- ALSA: line6: Fix racy initialization of LINE6 MIDI
(bsc#1012628).
- ALSA: dice: fix stream format at middle sampling rate for
Alesis iO 26 (bsc#1012628).
- ALSA: firewire-lib: fix calculation for size of IR context
payload (bsc#1012628).
- ALSA: usb-audio: Validate MS endpoint descriptors (bsc#1012628).
- ALSA: bebob/oxfw: fix Kconfig entry for Mackie d.2 Pro
(bsc#1012628).
- ALSA: hda: fixup headset for ASUS GU502 laptop (bsc#1012628).
- Revert "ALSA: sb8: add a check for request_region"
(bsc#1012628).
- ALSA: firewire-lib: fix check for the size of isochronous
packet payload (bsc#1012628).
- ALSA: hda/realtek: reset eapd coeff to default value for alc287
(bsc#1012628).
- ALSA: hda/realtek: Add some CLOVE SSIDs of ALC293 (bsc#1012628).
- ALSA: hda/realtek: Fix silent headphone output on ASUS UX430UA
(bsc#1012628).
- ALSA: hda/realtek: Add fixup for HP OMEN laptop (bsc#1012628).
- ALSA: hda/realtek: Add fixup for HP Spectre x360 15-df0xxx
(bsc#1012628).
- ALSA: usb-audio: Configure Pioneer DJM-850 samplerate
(bsc#1012628).
- ALSA: usb-audio: DJM-750: ensure format is set (bsc#1012628).
- uio/uio_pci_generic: fix return value changed in refactoring
(bsc#1012628).
- uio_hv_generic: Fix a memory leak in error handling paths
(bsc#1012628).
- uio_hv_generic: Fix another memory leak in error handling paths
(bsc#1012628).
- platform/x86: ideapad-laptop: fix method name typo
(bsc#1012628).
- Revert "rapidio: fix a NULL pointer dereference when
create_workqueue() fails" (bsc#1012628).
- rapidio: handle create_workqueue() failure (bsc#1012628).
- Revert "serial: mvebu-uart: Fix to avoid a potential NULL
pointer dereference" (bsc#1012628).
- nvme-tcp: fix possible use-after-completion (bsc#1012628).
- x86/build: Fix location of '-plugin-opt=' flags (bsc#1012628).
- x86/sev-es: Move sev_es_put_ghcb() in prep for follow on patch
(bsc#1012628).
- x86/sev-es: Invalidate the GHCB after completing VMGEXIT
(bsc#1012628).
- x86/sev-es: Don't return NULL from sev_es_get_ghcb()
(bsc#1012628).
- x86/sev-es: Use __put_user()/__get_user() for data accesses
(bsc#1012628).
- x86/sev-es: Forward page-faults which happen during emulation
(bsc#1012628).
- drm/amd/display: Use the correct max downscaling value for
DCN3.x family (bsc#1012628).
- drm/amdgpu: Fix GPU TLB update error when PAGE_SIZE >
AMDGPU_PAGE_SIZE (bsc#1012628).
- drm/amdgpu: disable 3DCGCG on picasso/raven1 to avoid compute
hang (bsc#1012628).
- drm/amdgpu: update gc golden setting for Navi12 (bsc#1012628).
- drm/amdgpu: update sdma golden setting for Navi12 (bsc#1012628).
- dma-buf: fix unintended pin/unpin warnings (bsc#1012628).
- powerpc/64s/syscall: Use pt_regs.trap to distinguish syscall
ABI difference between sc and scv syscalls (bsc#1012628).
- powerpc/64s/syscall: Fix ptrace syscall info with scv syscalls
(bsc#1012628).
- mmc: sdhci-pci-gli: increase 1.8V regulator wait (bsc#1012628).
- mmc: meson-gx: make replace WARN_ONCE with dev_warn_once about
scatterlist offset alignment (bsc#1012628).
- mmc: meson-gx: also check SD_IO_RW_EXTENDED for scatterlist
size alignment (bsc#1012628).
- gpio: tegra186: Don't set parent IRQ affinity (bsc#1012628).
- xen-pciback: redo VF placement in the virtual topology
(bsc#1012628).
- xen-pciback: reconfigure also from backend watch handler
(bsc#1012628).
- userfaultfd: hugetlbfs: fix new flag usage in error path
(bsc#1012628).
- Revert "mm/gup: check page posion status for
coredump." (bsc#1012628).
- dm snapshot: fix crash with transient storage and zero chunk
size (bsc#1012628).
- kcsan: Fix debugfs initcall return type (bsc#1012628).
- Revert "video: hgafb: fix potential NULL pointer dereference"
(bsc#1012628).
- Revert "net: stmicro: fix a missing check of clk_prepare"
(bsc#1012628).
- Revert "leds: lp5523: fix a missing check of return value of
lp55xx_read" (bsc#1012628).
- Revert "hwmon: (lm80) fix a missing check of bus read in lm80
probe" (bsc#1012628).
- Revert "video: imsttfb: fix potential NULL pointer dereferences"
(bsc#1012628).
- Revert "ecryptfs: replace BUG_ON with error handling code"
(bsc#1012628).
- Revert "scsi: ufs: fix a missing check of
devm_reset_control_get" (bsc#1012628).
- Revert "gdrom: fix a memory leak bug" (bsc#1012628).
- cdrom: gdrom: deallocate struct gdrom_unit fields in
remove_gdrom (bsc#1012628).
- cdrom: gdrom: initialize global variable at init time
(bsc#1012628).
- Revert "media: rcar_drif: fix a memory disclosure"
(bsc#1012628).
- Revert "rtlwifi: fix a potential NULL pointer dereference"
(bsc#1012628).
- Revert "qlcnic: Avoid potential NULL pointer dereference"
(bsc#1012628).
- Revert "niu: fix missing checks of niu_pci_eeprom_read"
(bsc#1012628).
- ethernet: sun: niu: fix missing checks of niu_pci_eeprom_read()
(bsc#1012628).
- net: stmicro: handle clk_prepare() failure during init
(bsc#1012628).
- scsi: ufs: handle cleanup correctly on devm_reset_control_get
error (bsc#1012628).
- net: rtlwifi: properly check for alloc_workqueue() failure
(bsc#1012628).
- ics932s401: fix broken handling of errors when word reading
fails (bsc#1012628).
- leds: lp5523: check return value of lp5xx_read and jump to
cleanup code (bsc#1012628).
- qlcnic: Add null check after calling netdev_alloc_skb
(bsc#1012628).
- video: hgafb: fix potential NULL pointer dereference
(bsc#1012628).
- vgacon: Record video mode changes with VT_RESIZEX (bsc#1012628).
- vt_ioctl: Revert VT_RESIZEX parameter handling removal
(bsc#1012628).
- vt: Fix character height handling with VT_RESIZEX (bsc#1012628).
- tty: vt: always invoke vc->vc_sw->con_resize callback
(bsc#1012628).
- drm/i915/gt: Disable HiZ Raw Stall Optimization on broken gen7
(bsc#1012628).
- openrisc: mm/init.c: remove unused memblock_region variable
in map_ram() (bsc#1012628).
- x86/Xen: swap NX determination and GDT setup on BSP
(bsc#1012628).
- nvme-multipath: fix double initialization of ANA state
(bsc#1012628).
- rtc: pcf85063: fallback to parent of_node (bsc#1012628).
- x86/boot/compressed/64: Check SEV encryption in the 32-bit
boot-path (bsc#1012628).
- nvmet: use new ana_log_size instead the old one (bsc#1012628).
- video: hgafb: correctly handle card detect failure during probe
(bsc#1012628).
- Bluetooth: SMP: Fail if remote and local public keys are
identical (bsc#1012628).
- commit 06f922b
-------------------------------------------------------------------
Thu May 27 07:56:40 CEST 2021 - jslaby@suse.cz
- Refresh
patches.suse/ACPI-PM-s2idle-Add-missing-LPS0-functions-for-AMD.patch.
- Refresh
patches.suse/ath10k-Fix-TKIP-Michael-MIC-verification-for-PCIe.patch.
- Refresh
patches.suse/ath10k-Validate-first-subframe-of-A-MSDU-before-proc.patch.
- Refresh
patches.suse/ath10k-add-CCMP-PN-replay-protection-for-fragmented-.patch.
- Refresh
patches.suse/ath10k-drop-MPDU-which-has-discard-flag-set-by-firmw.patch.
- Refresh
patches.suse/ath10k-drop-fragments-with-multicast-DA-for-PCIe.patch.
- Refresh
patches.suse/ath10k-drop-fragments-with-multicast-DA-for-SDIO.patch.
- Refresh
patches.suse/ath11k-Clear-the-fragment-cache-during-key-install.patch.
- Refresh
patches.suse/can-isotp-prevent-race-between-isotp_bind-and-isotp_.patch.
- Refresh
patches.suse/cfg80211-mitigate-A-MSDU-aggregation-attacks.patch.
- Refresh
patches.suse/clk-bcm-rpi-release-firmware-handle-on-unbind.patch.
- Refresh
patches.suse/drm-i915-gem-Pin-the-L-shape-quirked-object-as-unshrinkable.patch.
- Refresh
patches.suse/drm-radeon-use-the-dummy-page-for-GART-if-needed.patch.
- Refresh
patches.suse/dt-bindings-pwm-add-binding-for-rpi-firmware-pwm-bus.patch.
- Refresh
patches.suse/firmware-raspberrypi-introduce-devm_rpi_firmware_get.patch.
- Refresh
patches.suse/firmware-raspberrypi-keep-count-of-all-consumers.patch.
- Refresh
patches.suse/gpio-raspberrypi-exp-release-firmware-handle-on-unbind.patch.
- Refresh
patches.suse/mac80211-add-fragment-cache-to-sta_info.patch.
- Refresh
patches.suse/mac80211-assure-all-fragments-are-encrypted.patch.
- Refresh
patches.suse/mac80211-check-defrag-PN-against-current-frame.patch.
- Refresh patches.suse/mac80211-drop-A-MSDUs-on-old-ciphers.patch.
- Refresh
patches.suse/mac80211-extend-protection-against-mixed-key-and-fra.patch.
- Refresh
patches.suse/mac80211-prevent-attacks-on-TKIP-WEP-as-well.patch.
- Refresh
patches.suse/mac80211-prevent-mixed-key-and-fragment-cache-attack.patch.
- Refresh
patches.suse/mac80211-properly-handle-A-MSDUs-that-start-with-an-.patch.
- Refresh
patches.suse/proc-Avoid-mixing-integer-types-in-mem_rw.patch.
- Refresh
patches.suse/pwm-add-raspberry-pi-firmware-based-pwm-bus.patch.
- Refresh
patches.suse/reset-raspberrypi-release-firmware-handle-on-unbind.patch.
- Refresh
patches.suse/soc-bcm-raspberrypi-power-release-firmware-handle-on-unbind.patch.
- Refresh
patches.suse/vchiq-release-firmware-handle-on-unbind.patch.
- Refresh
patches.suse/ipc-mqueue-msg-sem-Avoid-relying-on-a-stack-reference.patch.
Update upstream status.
- commit 9d851b0
-------------------------------------------------------------------
Mon May 24 00:12:28 CEST 2021 - mkubecek@suse.cz
- Update to 5.13-rc3
- eliminated 3 patches
patches.rpmify/kbuild-dummy-tools-adjust-to-stricter-stackprotector.patch
patches.suse/ipc-mqueue-msg-sem-Avoid-relying-on-a-stack-reference.patch
- commit 2d296e7
-------------------------------------------------------------------
Sat May 22 13:31:22 CEST 2021 - jslaby@suse.cz
- Linux 5.12.6 (bsc#1012628).
- x86/msr: Fix wr/rdmsr_safe_regs_on_cpu() prototypes
(bsc#1012628).
- drm/i915/display: fix compiler warning about array overrun
(bsc#1012628).
- airo: work around stack usage warning (bsc#1012628).
- kgdb: fix gcc-11 warning on indentation (bsc#1012628).
- usb: sl811-hcd: improve misleading indentation (bsc#1012628).
- PCI: thunder: Fix compile testing (bsc#1012628).
- dmaengine: dw-edma: Fix crash on loading/unloading driver
(bsc#1012628).
- ARM: 9066/1: ftrace: pause/unpause function graph tracer in
cpu_suspend() (bsc#1012628).
- NFS: Fix fscache invalidation in nfs_set_cache_invalid()
(bsc#1012628).
- ACPI / hotplug / PCI: Fix reference count leak in enable_slot()
(bsc#1012628).
- PCI: tegra: Fix runtime PM imbalance in
pex_ep_event_pex_rst_deassert() (bsc#1012628).
- Input: elants_i2c - do not bind to i2c-hid compatible ACPI
instantiated devices (bsc#1012628).
- Input: silead - add workaround for x86 BIOS-es which bring
the chip up in a stuck state (bsc#1012628).
- NFS: NFS_INO_REVAL_PAGECACHE should mark the change attribute
invalid (bsc#1012628).
- f2fs: fix to avoid NULL pointer dereference (bsc#1012628).
- svcrdma: Don't leak send_ctxt on Send errors (bsc#1012628).
- um: Mark all kernel symbols as local (bsc#1012628).
- um: Disable CONFIG_GCOV with MODULES (bsc#1012628).
- ARM: 9075/1: kernel: Fix interrupted SMC calls (bsc#1012628).
- platform/chrome: cros_ec_typec: Add DP mode check (bsc#1012628).
- riscv: Use $(LD) instead of $(CC) to link vDSO (bsc#1012628).
- scripts/recordmcount.pl: Fix RISC-V regex for clang
(bsc#1012628).
- riscv: Workaround mcount name prior to clang-13 (bsc#1012628).
- scsi: lpfc: Fix illegal memory access on Abort IOCBs
(bsc#1012628).
- ceph: fix fscache invalidation (bsc#1012628).
- ceph: don't clobber i_snap_caps on non-I_NEW inode
(bsc#1012628).
- ceph: don't allow access to MDS-private inodes (bsc#1012628).
- scsi: target: tcmu: Return from tcmu_handle_completions()
if cmd_id not found (bsc#1012628).
- amdgpu/pm: Prevent force of DCEFCLK on NAVI10 and SIENNA_CICHLID
(bsc#1012628).
- bridge: Fix possible races between assigning rx_handler_data
and setting IFF_BRIDGE_PORT bit (bsc#1012628).
- net: hsr: check skb can contain struct hsr_ethhdr in
fill_frame_info (bsc#1012628).
- nvmet: remove unsupported command noise (bsc#1012628).
- drm/amd/display: Fix two cursor duplication when using overlay
(bsc#1012628).
- gpiolib: acpi: Add quirk to ignore EC wakeups on Dell Venue
10 Pro 5055 (bsc#1012628).
- net:CXGB4: fix leak if sk_buff is not used (bsc#1012628).
- ALSA: hda: generic: change the DAC ctl name for LO+SPK or LO+HP
(bsc#1012628).
- block: reexpand iov_iter after read/write (bsc#1012628).
- lib: stackdepot: turn depot_lock spinlock to raw_spinlock
(bsc#1012628).
- net: stmmac: Do not enable RX FIFO overflow interrupts
(bsc#1012628).
- ip6_gre: proper dev_{hold|put} in ndo_[un]init methods
(bsc#1012628).
- sit: proper dev_{hold|put} in ndo_[un]init methods
(bsc#1012628).
- ip6_tunnel: sit: proper dev_{hold|put} in ndo_[un]init methods
(bsc#1012628).
- bus: mhi: core: Download AMSS image from appropriate function
(bsc#1012628).
- commit fe25271
-------------------------------------------------------------------
Wed May 19 11:28:30 CEST 2021 - jslaby@suse.cz
- Refresh
patches.suse/crypto-ccp-Annotate-SEV-Firmware-file-names.patch.
Update upstream status.
- commit 37a9337
-------------------------------------------------------------------
Wed May 19 11:22:51 CEST 2021 - jslaby@suse.cz
- ipv6: remove extra dev_hold() for fallback tunnels (git-fixes).
- x86/events/amd/iommu: Fix invalid Perf result due to IOMMU
PMC power-gating (git-fixes).
- commit 5eb2110
-------------------------------------------------------------------
Wed May 19 11:16:47 CEST 2021 - jslaby@suse.cz
- Linux 5.12.5 (bsc#1012628).
- KEYS: trusted: Fix memory leak on object td (bsc#1012628).
- tpm: fix error return code in tpm2_get_cc_attrs_tbl()
(bsc#1012628).
- tpm, tpm_tis: Extend locality handling to TPM2 in
tpm_tis_gen_interrupt() (bsc#1012628).
- tpm, tpm_tis: Reserve locality in tpm_tis_resume()
(bsc#1012628).
- btrfs: fix unmountable seed device after fstrim (bsc#1012628).
- KVM: SVM: Make sure GHCB is mapped before updating
(bsc#1012628).
- KVM/VMX: Invoke NMI non-IST entry instead of IST entry
(bsc#1012628).
- ACPI: PM: Add ACPI ID of Alder Lake Fan (bsc#1012628).
- PM: runtime: Fix unpaired parent child_count for force_resume
(bsc#1012628).
- cpufreq: intel_pstate: Use HWP if enabled by platform firmware
(bsc#1012628).
- kvm: Cap halt polling at kvm->max_halt_poll_ns (bsc#1012628).
- ath11k: fix thermal temperature read (bsc#1012628).
- ALSA: usb-audio: Add Pioneer DJM-850 to quirks-table
(bsc#1012628).
- fs: dlm: fix debugfs dump (bsc#1012628).
- fs: dlm: fix mark setting deadlock (bsc#1012628).
- fs: dlm: add errno handling to check callback (bsc#1012628).
- fs: dlm: add check if dlm is currently running (bsc#1012628).
- fs: dlm: change allocation limits (bsc#1012628).
- fs: dlm: check on minimum msglen size (bsc#1012628).
- fs: dlm: flush swork on shutdown (bsc#1012628).
- fs: dlm: add shutdown hook (bsc#1012628).
- tipc: convert dest node's address to network order
(bsc#1012628).
- ASoC: Intel: bytcr_rt5640: Enable jack-detect support on Asus
T100TAF (bsc#1012628).
- net/mlx5e: Use net_prefetchw instead of prefetchw in MPWQE TX
datapath (bsc#1012628).
- net: stmmac: Set FIFO sizes for ipq806x (bsc#1012628).
- ASoC: rsnd: core: Check convert rate in rsnd_hw_params
(bsc#1012628).
- Bluetooth: Fix incorrect status handling in LE PHY UPDATE event
(bsc#1012628).
- i2c: bail out early when RDWR parameters are wrong
(bsc#1012628).
- ALSA: hdsp: don't disable if not enabled (bsc#1012628).
- ALSA: hdspm: don't disable if not enabled (bsc#1012628).
- ALSA: rme9652: don't disable if not enabled (bsc#1012628).
- ALSA: bebob: enable to deliver MIDI messages for multiple ports
(bsc#1012628).
- Bluetooth: Set CONF_NOT_COMPLETE as l2cap_chan default
(bsc#1012628).
- Bluetooth: initialize skb_queue_head at l2cap_chan_create()
(bsc#1012628).
- net/sched: cls_flower: use ntohs for struct
flow_dissector_key_ports (bsc#1012628).
- net: bridge: when suppression is enabled exclude RARP packets
(bsc#1012628).
- Bluetooth: check for zapped sk before connecting (bsc#1012628).
- selftests/powerpc: Fix L1D flushing tests for Power10
(bsc#1012628).
- ALSA: hda/hdmi: fix max DP-MST dev_num for Intel TGL+ platforms
(bsc#1012628).
- powerpc/32: Statically initialise first emergency context
(bsc#1012628).
- net: hns3: remediate a potential overflow risk of bd_num_list
(bsc#1012628).
- net: hns3: add handling for xmit skb with recursive fraglist
(bsc#1012628).
- ip6_vti: proper dev_{hold|put} in ndo_[un]init methods
(bsc#1012628).
- can: dev: can_free_echo_skb(): don't crash the kernel if
can_priv::echo_skb is accessed out of bounds (bsc#1012628).
- iommu/arm-smmu-v3: Add a check to avoid invalid iotlb sync
(bsc#1012628).
- ASoC: Intel: bytcr_rt5640: Add quirk for the Chuwi Hi8 tablet
(bsc#1012628).
- ice: handle increasing Tx or Rx ring sizes (bsc#1012628).
- Bluetooth: btusb: Enable quirk boolean flag for Mediatek Chip
(bsc#1012628).
- ASoC: rt5670: Add a quirk for the Dell Venue 10 Pro 5055
(bsc#1012628).
- selftests: mptcp: launch mptcp_connect with timeout
(bsc#1012628).
- i2c: Add I2C_AQ_NO_REP_START adapter quirk (bsc#1012628).
- Bluetooth: Do not set cur_adv_instance in adv param MGMT request
(bsc#1012628).
- MIPS: Loongson64: Use _CACHE_UNCACHED instead of
_CACHE_UNCACHED_ACCELERATED (bsc#1012628).
- coresight: Do not scan for graph if none is present
(bsc#1012628).
- IB/hfi1: Correct oversized ring allocation (bsc#1012628).
- mac80211: Set priority and queue mapping for injected frames
(bsc#1012628).
- mac80211: clear the beacon's CRC after channel switch
(bsc#1012628).
- ASoC: soc-compress: lock pcm_mutex to resolve lockdep error
(bsc#1012628).
- net: phy: make PHY PM ops a no-op if MAC driver manages PHY PM
(bsc#1012628).
- net: fec: use mac-managed PHY PM (bsc#1012628).
- pinctrl: samsung: use 'int' for register masks in Exynos
(bsc#1012628).
- rtw88: 8822c: add LC calibration for RTL8822C (bsc#1012628).
- mt76: mt7615: fix key set/delete issues (bsc#1012628).
- mt76: mt7615: support loading EEPROM for MT7613BE (bsc#1012628).
- mt76: mt76x0: disable GTK offloading (bsc#1012628).
- mt76: connac: always check return value from
mt76_connac_mcu_alloc_wtbl_req (bsc#1012628).
- mt76: mt7915: always check return value from
mt7915_mcu_alloc_wtbl_req (bsc#1012628).
- mt76: mt7915: fix key set/delete issue (bsc#1012628).
- mt76: mt7915: fix txpower init for TSSI off chips (bsc#1012628).
- mt76: mt7921: fix key set/delete issue (bsc#1012628).
- mt76: mt7915: add wifi subsystem reset (bsc#1012628).
- i2c: imx: Fix PM reference leak in i2c_imx_reg_slave()
(bsc#1012628).
- fuse: invalidate attrs when page writeback completes
(bsc#1012628).
- virtiofs: fix userns (bsc#1012628).
- cuse: prevent clone (bsc#1012628).
- iwlwifi: pcie: make cfg vs. trans_cfg more robust (bsc#1012628).
- iwlwifi: queue: avoid memory leak in reset flow (bsc#1012628).
- iwlwifi: trans/pcie: defer transport initialisation
(bsc#1012628).
- powerpc/mm: Add cond_resched() while removing hpte mappings
(bsc#1012628).
- ASoC: rsnd: call rsnd_ssi_master_clk_start() from
rsnd_ssi_init() (bsc#1012628).
- net: bridge: propagate error code and extack from
br_mc_disabled_update (bsc#1012628).
- Revert "iommu/amd: Fix performance counter initialization"
(bsc#1012628).
- iommu/amd: Remove performance counter pre-initialization test
(bsc#1012628).
- drm/amd/display: Force vsync flip when reconfiguring MPCC
(bsc#1012628).
- selftests: Set CC to clang in lib.mk if LLVM is set
(bsc#1012628).
- kconfig: nconf: stop endless search loops (bsc#1012628).
- ALSA: hda/realtek: Add quirk for Lenovo Ideapad S740
(bsc#1012628).
- ASoC: Intel: sof_sdw: add quirk for new ADL-P Rvp (bsc#1012628).
- ALSA: hda/hdmi: fix race in handling acomp ELD notification
at resume (bsc#1012628).
- i2c: i801: Add support for Intel Alder Lake PCH-M (bsc#1012628).
- sctp: Fix out-of-bounds warning in sctp_process_asconf_param()
(bsc#1012628).
- flow_dissector: Fix out-of-bounds warning in
__skb_flow_bpf_to_target() (bsc#1012628).
- powerpc/xive: Use the "ibm, chip-id" property only under PowerNV
(bsc#1012628).
- powerpc/smp: Set numa node before updating mask (bsc#1012628).
- wilc1000: Bring MAC address setting in line with typical Linux
behavior (bsc#1012628).
- mac80211: properly drop the connection in case of invalid CSA IE
(bsc#1012628).
- ASoC: rt286: Generalize support for ALC3263 codec (bsc#1012628).
- ethtool: ioctl: Fix out-of-bounds warning in
store_link_ksettings_for_user() (bsc#1012628).
- net: sched: tapr: prevent cycle_time == 0 in
parse_taprio_schedule (bsc#1012628).
- samples/bpf: Fix broken tracex1 due to kprobe argument change
(bsc#1012628).
- powerpc/pseries: Stop calling printk in rtas_stop_self()
(bsc#1012628).
- drm/amd/display: fixed divide by zero kernel crash during dsc
enablement (bsc#1012628).
- drm/amd/display: add handling for hdcp2 rx id list validation
(bsc#1012628).
- drm/amdgpu: Add mem sync flag for IB allocated by SA
(bsc#1012628).
- mt76: mt7615: fix entering driver-own state on mt7663
(bsc#1012628).
- crypto: ccp: Free SEV device if SEV init fails (bsc#1012628).
- wl3501_cs: Fix out-of-bounds warnings in wl3501_send_pkt
(bsc#1012628).
- wl3501_cs: Fix out-of-bounds warnings in wl3501_mgmt_join
(bsc#1012628).
- qtnfmac: Fix possible buffer overflow in
qtnf_event_handle_external_auth (bsc#1012628).
- powerpc/iommu: Annotate nested lock for lockdep (bsc#1012628).
- iavf: remove duplicate free resources calls (bsc#1012628).
- net: ethernet: mtk_eth_soc: fix RX VLAN offload (bsc#1012628).
- selftests: mlxsw: Increase the tolerance of backlog buildup
(bsc#1012628).
- selftests: mlxsw: Fix mausezahn invocation in ERSPAN scale test
(bsc#1012628).
- kbuild: generate Module.symvers only when vmlinux exists
(bsc#1012628).
- bnxt_en: Add PCI IDs for Hyper-V VF devices (bsc#1012628).
- ia64: module: fix symbolizer crash on fdescr (bsc#1012628).
- watchdog: rename __touch_watchdog() to a better descriptive name
(bsc#1012628).
- watchdog: explicitly update timestamp when reporting softlockup
(bsc#1012628).
- watchdog/softlockup: report the overall time of softlockups
(bsc#1012628).
- watchdog/softlockup: remove logic that tried to prevent repeated
reports (bsc#1012628).
- watchdog: fix barriers when printing backtraces from all CPUs
(bsc#1012628).
- ASoC: rt286: Make RT286_SET_GPIO_* readable and writable
(bsc#1012628).
- leds: lgm: fix gpiolib dependency (bsc#1012628).
- thermal: thermal_of: Fix error return code of
thermal_of_populate_bind_params() (bsc#1012628).
- PCI/RCEC: Fix RCiEP device to RCEC association (bsc#1012628).
- f2fs: fix to allow migrating fully valid segment (bsc#1012628).
- f2fs: fix panic during f2fs_resize_fs() (bsc#1012628).
- f2fs: fix a redundant call to f2fs_balance_fs if an error occurs
(bsc#1012628).
- rtc: tps65910: include linux/property.h (bsc#1012628).
- remoteproc: qcom_q6v5_mss: Validate p_filesz in ELF loader
(bsc#1012628).
- PCI: iproc: Fix return value of iproc_msi_irq_domain_alloc()
(bsc#1012628).
- PCI: brcmstb: Fix error return code in brcm_pcie_probe()
(bsc#1012628).
- PCI: Release OF node in pci_scan_device()'s error path
(bsc#1012628).
- ARM: 9064/1: hw_breakpoint: Do not directly check the event's
overflow_handler hook (bsc#1012628).
- f2fs: fix to align to section for fallocate() on pinned file
(bsc#1012628).
- f2fs: fix to update last i_size if fallocate partially succeeds
(bsc#1012628).
- PCI: endpoint: Fix NULL pointer dereference for ->get_features()
(bsc#1012628).
- f2fs: fix to avoid touching checkpointed data in get_victim()
(bsc#1012628).
- f2fs: fix to cover __allocate_new_section() with curseg_lock
(bsc#1012628).
- fs: 9p: fix v9fs_file_open writeback fid error check
(bsc#1012628).
- f2fs: fix to restrict mount condition on readonly block device
(bsc#1012628).
- f2fs: Fix a hungtask problem in atomic write (bsc#1012628).
- nfs: Subsequent READDIR calls should carry non-zero
cookieverifier (bsc#1012628).
- NFS: Fix handling of cookie verifier in uncached_readdir()
(bsc#1012628).
- NFS: Only change the cookie verifier if the directory page
cache is empty (bsc#1012628).
- f2fs: fix to avoid accessing invalid fio in
f2fs_allocate_data_block() (bsc#1012628).
- rpmsg: qcom_glink_native: fix error return code of
qcom_glink_rx_data() (bsc#1012628).
- NFS: nfs4_bitmask_adjust() must not change the server global
bitmasks (bsc#1012628).
- NFS: Fix attribute bitmask in _nfs42_proc_fallocate()
(bsc#1012628).
- NFSv4.2: Always flush out writes in nfs42_proc_fallocate()
(bsc#1012628).
- NFS: Deal correctly with attribute generation counter overflow
(bsc#1012628).
- PCI: endpoint: Fix missing destroy_workqueue() (bsc#1012628).
- remoteproc: pru: Fixup interrupt-parent logic for fw events
(bsc#1012628).
- remoteproc: pru: Fix wrong success return value for fw events
(bsc#1012628).
- remoteproc: pru: Fix and cleanup firmware interrupt mapping
logic (bsc#1012628).
- pNFS/flexfiles: fix incorrect size check in decode_nfs_fh()
(bsc#1012628).
- NFSv4.2 fix handling of sr_eof in SEEK's reply (bsc#1012628).
- SUNRPC: Move fault injection call sites (bsc#1012628).
- SUNRPC: Remove trace_xprt_transmit_queued (bsc#1012628).
- SUNRPC: Handle major timeout in xprt_adjust_timeout()
(bsc#1012628).
- NFSv42: Copy offload should update the file size when
appropriate (bsc#1012628).
- thermal/drivers/tsens: Fix missing put_device error
(bsc#1012628).
- NFSv4.x: Don't return NFS4ERR_NOMATCHING_LAYOUT if we're
unmounting (bsc#1012628).
- nfsd: ensure new clients break delegations (bsc#1012628).
- rtc: fsl-ftm-alarm: add MODULE_TABLE() (bsc#1012628).
- dmaengine: idxd: Fix potential null dereference on pointer
status (bsc#1012628).
- dmaengine: idxd: fix dma device lifetime (bsc#1012628).
- dmaengine: idxd: cleanup pci interrupt vector allocation
management (bsc#1012628).
- dmaengine: idxd: removal of pcim managed mmio mapping
(bsc#1012628).
- dmaengine: idxd: use ida for device instance enumeration
(bsc#1012628).
- dmaengine: idxd: fix idxd conf_dev 'struct device' lifetime
(bsc#1012628).
- dmaengine: idxd: fix wq conf_dev 'struct device' lifetime
(bsc#1012628).
- dmaengine: idxd: fix engine conf_dev lifetime (bsc#1012628).
- dmaengine: idxd: fix group conf_dev lifetime (bsc#1012628).
- dmaengine: idxd: fix cdev setup and free device lifetime issues
(bsc#1012628).
- SUNRPC: fix ternary sign expansion bug in tracing (bsc#1012628).
- SUNRPC: Fix null pointer dereference in svc_rqst_free()
(bsc#1012628).
- pwm: atmel: Fix duty cycle calculation in .get_state()
(bsc#1012628).
- xprtrdma: Avoid Receive Queue wrapping (bsc#1012628).
- xprtrdma: Fix cwnd update ordering (bsc#1012628).
- xprtrdma: rpcrdma_mr_pop() already does list_del_init()
(bsc#1012628).
- riscv: Select HAVE_DYNAMIC_FTRACE when
-fpatchable-function-entry is available (bsc#1012628).
- swiotlb: Fix the type of index (bsc#1012628).
- ceph: fix inode leak on getattr error in __fh_to_dentry
(bsc#1012628).
- scsi: qla2xxx: Prevent PRLI in target mode (bsc#1012628).
- scsi: ufs: core: Do not put UFS power into LPM if link is broken
(bsc#1012628).
- scsi: ufs: core: Cancel rpm_dev_flush_recheck_work during
system suspend (bsc#1012628).
- scsi: ufs: core: Narrow down fast path in system suspend path
(bsc#1012628).
- rtc: ds1307: Fix wday settings for rx8130 (bsc#1012628).
- net: hns3: fix incorrect configuration for igu_egu_hw_err
(bsc#1012628).
- net: hns3: initialize the message content in
hclge_get_link_mode() (bsc#1012628).
- net: hns3: add check for HNS3_NIC_STATE_INITED in
hns3_reset_notify_up_enet() (bsc#1012628).
- arm64: stacktrace: restore terminal records (bsc#1012628).
- net: hns3: fix for vxlan gpe tx checksum bug (bsc#1012628).
- net: hns3: use netif_tx_disable to stop the transmit queue
(bsc#1012628).
- net: hns3: disable phy loopback setting in hclge_mac_start_phy
(bsc#1012628).
- sctp: do asoc update earlier in sctp_sf_do_dupcook_a
(bsc#1012628).
- RISC-V: Fix error code returned by riscv_hartid_to_cpuid()
(bsc#1012628).
- sunrpc: Fix misplaced barrier in call_decode (bsc#1012628).
- libbpf: Fix signed overflow in ringbuf_process_ring
(bsc#1012628).
- block/rnbd-clt: Change queue_depth type in rnbd_clt_session
to size_t (bsc#1012628).
- block/rnbd-clt: Check the return value of the function
rtrs_clt_query (bsc#1012628).
- ata: ahci_brcm: Fix use of BCM7216 reset controller
(bsc#1012628).
- PCI: brcmstb: Use reset/rearm instead of deassert/assert
(bsc#1012628).
- ethernet:enic: Fix a use after free bug in enic_hard_start_xmit
(bsc#1012628).
- sctp: fix a SCTP_MIB_CURRESTAB leak in sctp_sf_do_dupcook_b
(bsc#1012628).
- netfilter: xt_SECMARK: add new revision to fix structure layout
(bsc#1012628).
- xsk: Fix for xp_aligned_validate_desc() when len == chunk_size
(bsc#1012628).
- powerpc/powernv/memtrace: Fix dcache flushing (bsc#1012628).
- net: stmmac: Clear receive all(RA) bit when promiscuous mode
is off (bsc#1012628).
- drm/radeon: Fix off-by-one power_state index heap overwrite
(bsc#1012628).
- drm/radeon: Avoid power table parsing memory leaks
(bsc#1012628).
- arm64: entry: factor irq triage logic into macros (bsc#1012628).
- arm64: entry: always set GIC_PRIO_PSR_I_SET during entry
(bsc#1012628).
- khugepaged: fix wrong result value for
trace_mm_collapse_huge_page_isolate() (bsc#1012628).
- mm/hugeltb: handle the error case in
hugetlb_fix_reserve_counts() (bsc#1012628).
- mm/migrate.c: fix potential indeterminate pte entry in
migrate_vma_insert_page() (bsc#1012628).
- ksm: fix potential missing rmap_item for stable_node
(bsc#1012628).
- mm/gup: check every subpage of a compound page during isolation
(bsc#1012628).
- mm/gup: return an error on migration failure (bsc#1012628).
- mm/gup: check for isolation errors (bsc#1012628).
- kfence: await for allocation using wait_event (bsc#1012628).
- ethtool: fix missing NLM_F_MULTI flag when dumping
(bsc#1012628).
- net: fix nla_strcmp to handle more then one trailing null
character (bsc#1012628).
- smc: disallow TCP_ULP in smc_setsockopt() (bsc#1012628).
- netfilter: nfnetlink_osf: Fix a missing skb_header_pointer()
NULL check (bsc#1012628).
- netfilter: nftables: Fix a memleak from userdata error path
in new objects (bsc#1012628).
- can: mcp251xfd: mcp251xfd_probe(): fix an error pointer
dereference in probe (bsc#1012628).
- can: mcp251xfd: mcp251xfd_probe(): add missing
can_rx_offload_del() in error path (bsc#1012628).
- can: mcp251x: fix resume from sleep before interface was
brought up (bsc#1012628).
- can: m_can: m_can_tx_work_queue(): fix tx_skb race condition
(bsc#1012628).
- sched: Fix out-of-bound access in uclamp (bsc#1012628).
- sched/fair: Fix unfairness caused by missing load decay
(bsc#1012628).
- net: ipa: fix inter-EE IRQ register definitions (bsc#1012628).
- fs/proc/generic.c: fix incorrect pde_is_permanent check
(bsc#1012628).
- kernel: kexec_file: fix error return code of
kexec_calculate_store_digests() (bsc#1012628).
- kernel/resource: make walk_system_ram_res() find all busy
IORESOURCE_SYSTEM_RAM resources (bsc#1012628).
- kernel/resource: make walk_mem_res() find all busy
IORESOURCE_MEM resources (bsc#1012628).
- netfilter: nftables: avoid overflows in nft_hash_buckets()
(bsc#1012628).
- i40e: fix broken XDP support (bsc#1012628).
- i40e: Fix use-after-free in i40e_client_subtask() (bsc#1012628).
- i40e: fix the restart auto-negotiation after FEC modified
(bsc#1012628).
- i40e: Fix PHY type identifiers for 2.5G and 5G adapters
(bsc#1012628).
- i40e: Remove LLDP frame filters (bsc#1012628).
- mptcp: fix splat when closing unaccepted socket (bsc#1012628).
- ARC: entry: fix off-by-one error in syscall number validation
(bsc#1012628).
- ARC: mm: PAE: use 40-bit physical page mask (bsc#1012628).
- ARC: mm: Use max_high_pfn as a HIGHMEM zone border
(bsc#1012628).
- sh: Remove unused variable (bsc#1012628).
- powerpc/64s: Fix crashes when toggling stf barrier
(bsc#1012628).
- powerpc/64s: Fix crashes when toggling entry flush barrier
(bsc#1012628).
- hfsplus: prevent corruption in shrinking truncate (bsc#1012628).
- squashfs: fix divide error in calculate_skip() (bsc#1012628).
- userfaultfd: release page in error path to avoid BUG_ON
(bsc#1012628).
- kasan: fix unit tests with CONFIG_UBSAN_LOCAL_BOUNDS enabled
(bsc#1012628).
- mm/hugetlb: fix F_SEAL_FUTURE_WRITE (bsc#1012628).
- mm/hugetlb: fix cow where page writtable in child (bsc#1012628).
- blk-iocost: fix weight updates of inner active iocgs
(bsc#1012628).
- x86, sched: Fix the AMD CPPC maximum performance value on
certain AMD Ryzen generations (bsc#1012628).
- arm64: mte: initialize RGSR_EL1.SEED in __cpu_setup
(bsc#1012628).
- arm64: Fix race condition on PG_dcache_clean in
__sync_icache_dcache() (bsc#1012628).
- btrfs: fix deadlock when cloning inline extents and using
qgroups (bsc#1012628).
- btrfs: zoned: fix silent data loss after failure splitting
ordered extent (bsc#1012628).
- btrfs: fix race leading to unpersisted data and metadata on
fsync (bsc#1012628).
- btrfs: initialize return variable in cleanup_free_space_cache_v1
(bsc#1012628).
- btrfs: zoned: sanity check zone type (bsc#1012628).
- drm/radeon/dpm: Disable sclk switching on Oland when two 4K
60Hz monitors are connected (bsc#1012628).
- drm/amd/display: Initialize attribute for hdcp_srm sysfs file
(bsc#1012628).
- drm/i915: Avoid div-by-zero on gen2 (bsc#1012628).
- kvm: exit halt polling on need_resched() as well (bsc#1012628).
- drm/msm: fix LLC not being enabled for mmu500 targets
(bsc#1012628).
- KVM: LAPIC: Accurately guarantee busy wait for timer to expire
when using hv_timer (bsc#1012628).
- drm/msm/dp: initialize audio_comp when audio starts
(bsc#1012628).
- KVM: x86: Cancel pvclock_gtod_work on module removal
(bsc#1012628).
- KVM: x86: Prevent deadlock against tk_core.seq (bsc#1012628).
- KVM: SVM: Move GHCB unmapping to fix RCU warning (bsc#1012628).
- dax: Add an enum for specifying dax wakup mode (bsc#1012628).
- dax: Add a wakeup mode parameter to put_unlocked_entry()
(bsc#1012628).
- dax: Wake up all waiters after invalidating dax entry
(bsc#1012628).
- xen/unpopulated-alloc: fix error return code in fill_list()
(bsc#1012628).
- perf tools: Fix dynamic libbpf link (bsc#1012628).
- usb: dwc3: gadget: Free gadget structure only after freeing
endpoints (bsc#1012628).
- iio: light: gp2ap002: Fix rumtime PM imbalance on error
(bsc#1012628).
- iio: proximity: pulsedlight: Fix rumtime PM imbalance on error
(bsc#1012628).
- iio: hid-sensors: select IIO_TRIGGERED_BUFFER under
HID_SENSOR_IIO_TRIGGER (bsc#1012628).
- iio: core: return ENODEV if ioctl is unknown (bsc#1012628).
- usb: fotg210-hcd: Fix an error message (bsc#1012628).
- hwmon: (occ) Fix poll rate limiting (bsc#1012628).
- usb: typec: tcpm: Fix wrong handling for Not_Supported in VDM
AMS (bsc#1012628).
- usb: musb: Fix an error message (bsc#1012628).
- hwmon: (ltc2992) Put fwnode in error case during ->probe()
(bsc#1012628).
- ACPI: scan: Fix a memory leak in an error handling path
(bsc#1012628).
- kyber: fix out of bounds access when preempted (bsc#1012628).
- nvmet: fix inline bio check for bdev-ns (bsc#1012628).
- nvmet: fix inline bio check for passthru (bsc#1012628).
- nvmet-rdma: Fix NULL deref when SEND is completed with error
(bsc#1012628).
- f2fs: compress: fix to free compress page correctly
(bsc#1012628).
- f2fs: compress: fix race condition of overwrite vs truncate
(bsc#1012628).
- f2fs: compress: fix to assign cc.cluster_idx correctly
(bsc#1012628).
- sched/fair: Fix clearing of has_idle_cores flag in
select_idle_cpu() (bsc#1012628).
- nbd: Fix NULL pointer in flush_workqueue (bsc#1012628).
- powerpc/64s: Make NMI record implicitly soft-masked code as
irqs disabled (bsc#1012628).
- blk-mq: plug request for shared sbitmap (bsc#1012628).
- blk-mq: Swap two calls in blk_mq_exit_queue() (bsc#1012628).
- usb: dwc3: omap: improve extcon initialization (bsc#1012628).
- usb: dwc3: pci: Enable usb2-gadget-lpm-disable for Intel
Merrifield (bsc#1012628).
- usb: xhci: Increase timeout for HC halt (bsc#1012628).
- usb: dwc2: Fix gadget DMA unmap direction (bsc#1012628).
- usb: core: hub: fix race condition about TRSMRCY of resume
(bsc#1012628).
- usb: dwc3: imx8mp: fix error return code in dwc3_imx8mp_probe()
(bsc#1012628).
- usb: dwc3: gadget: Enable suspend events (bsc#1012628).
- usb: dwc3: gadget: Return success always for kick transfer in
ep queue (bsc#1012628).
- usb: typec: tcpm: Fix wrong handling in GET_SINK_CAP
(bsc#1012628).
- usb: typec: ucsi: Retrieve all the PDOs instead of just the
first 4 (bsc#1012628).
- usb: typec: ucsi: Put fwnode in any case during ->probe()
(bsc#1012628).
- xhci-pci: Allow host runtime PM as default for Intel Alder
Lake xHCI (bsc#1012628).
- xhci: Fix giving back cancelled URBs even if halted endpoint
can't reset (bsc#1012628).
- xhci: Do not use GFP_KERNEL in (potentially) atomic context
(bsc#1012628).
- xhci: Add reset resume quirk for AMD xhci controller
(bsc#1012628).
- iio: core: fix ioctl handlers removal (bsc#1012628).
- iio: gyro: mpu3050: Fix reported temperature value
(bsc#1012628).
- iio: tsl2583: Fix division by a zero lux_val (bsc#1012628).
- cdc-wdm: untangle a circular dependency between callback and
softint (bsc#1012628).
- alarmtimer: Check RTC features instead of ops (bsc#1012628).
- xen/gntdev: fix gntdev_mmap() error exit path (bsc#1012628).
- KVM: x86: Emulate RDPID only if RDTSCP is supported
(bsc#1012628).
- KVM: x86: Move RDPID emulation intercept to its own enum
(bsc#1012628).
- KVM: x86: Add support for RDPID without RDTSCP (bsc#1012628).
- KVM: nVMX: Always make an attempt to map eVMCS after migration
(bsc#1012628).
- KVM: VMX: Do not advertise RDPID if ENABLE_RDTSCP control is
unsupported (bsc#1012628).
- KVM: VMX: Disable preemption when probing user return MSRs
(bsc#1012628).
- mm: fix struct page layout on 32-bit systems (bsc#1012628).
- MIPS: Reinstate platform `__div64_32' handler (bsc#1012628).
- MIPS: Avoid DIVU in `__div64_32' is result would be zero
(bsc#1012628).
- MIPS: Avoid handcoded DIVU in `__div64_32' altogether
(bsc#1012628).
- clocksource/drivers/timer-ti-dm: Prepare to handle dra7 timer
wrap issue (bsc#1012628).
- clocksource/drivers/timer-ti-dm: Handle dra7 timer wrap errata
i940 (bsc#1012628).
- kobject_uevent: remove warning in init_uevent_argv()
(bsc#1012628).
- drm/i915/gt: Fix a double free in gen8_preallocate_top_level_pdp
(bsc#1012628).
- drm/msm/dp: check sink_count before update is_connected status
(bsc#1012628).
- drm/i915: Read C0DRB3/C1DRB3 as 16 bits again (bsc#1012628).
- drm/i915/overlay: Fix active retire callback alignment
(bsc#1012628).
- drm/i915: Fix crash in auto_retire (bsc#1012628).
- clk: exynos7: Mark aclk_fsys1_200 as critical (bsc#1012628).
- soc: mediatek: pm-domains: Add a meaningful power domain name
(bsc#1012628).
- soc: mediatek: pm-domains: Add a power domain names for mt8183
(bsc#1012628).
- soc: mediatek: pm-domains: Add a power domain names for mt8192
(bsc#1012628).
- media: rkvdec: Remove of_match_ptr() (bsc#1012628).
- i2c: mediatek: Fix send master code at more than 1MHz
(bsc#1012628).
- dt-bindings: media: renesas,vin: Make resets optional on R-Car
Gen1 (bsc#1012628).
- dt-bindings: thermal: rcar-gen3-thermal: Support five TSC
nodes on r8a779a0 (bsc#1012628).
- arm64: dts: renesas: falcon: Move console config to CPU board
DTS (bsc#1012628).
- dt-bindings: phy: qcom,qmp-usb3-dp-phy: move usb3 compatibles
back to qcom,qmp-phy.yaml (bsc#1012628).
- dt-bindings: serial: 8250: Remove duplicated compatible strings
(bsc#1012628).
- dt-bindings: PCI: rcar-pci-host: Document missing R-Car H1
support (bsc#1012628).
- debugfs: Make debugfs_allow RO after init (bsc#1012628).
- ext4: fix debug format string warning (bsc#1012628).
- nvme: do not try to reconfigure APST when the controller is
not live (bsc#1012628).
- ASoC: rsnd: check all BUSIF status when error (bsc#1012628).
- net: bridge: fix error in br_multicast_add_port when
CONFIG_NET_SWITCHDEV=n (bsc#1012628).
- Refresh
patches.suse/usb-pci-quirks-disable-D3cold-on-xhci-suspend-for-s2.patch.
- commit 0ef707c
-------------------------------------------------------------------
Tue May 18 17:37:31 CEST 2021 - tiwai@suse.de
- can: isotp: prevent race between isotp_bind() and
isotp_setsockopt() (CVE-2021-32606 bsc#1185953 bsc#1185564).
- commit cf6ea2f
-------------------------------------------------------------------
Tue May 18 12:37:18 CEST 2021 - tiwai@suse.de
- usb: pci-quirks: disable D3cold on xhci suspend for s2idle on
AMD Renoire (bsc#1185840).
- ACPI / idle: override c-state latency when not in conformance
with s0ix (bsc#1185840).
- ACPI: PM: s2idle: Add missing LPS0 functions for AMD
(bsc#1185840).
- commit 152b604
-------------------------------------------------------------------
Mon May 17 13:10:16 CEST 2021 - varad.gautam@suse.com
- ipc/mqueue, msg, sem: Avoid relying on a stack reference past
its expiry (bsc#1185988).
- commit 2d71c6c
-------------------------------------------------------------------
Mon May 17 10:15:29 CEST 2021 - varad.gautam@suse.com
- ipc/mqueue, msg, sem: Avoid relying on a stack reference past
its expiry (bsc#1185988).
- commit 3e71e40
-------------------------------------------------------------------
Mon May 17 07:15:32 CEST 2021 - mkubecek@suse.cz
- Update to 5.13-rc2
- commit 977da2f
-------------------------------------------------------------------
Sun May 16 09:05:50 CEST 2021 - tiwai@suse.de
- drm/i915/gem: Pin the L-shape quirked object as unshrinkable
(bsc#1185624 bsc#1186075).
- commit 6e53ea2
-------------------------------------------------------------------
Sat May 15 13:51:12 CEST 2021 - mkubecek@suse.cz
- kbuild: dummy-tools: adjust to stricter stackprotector check.
Fix i386 builds after recent changes of stackprotector feature check and
restore stackprotector related config options.
- commit 9c7db9a
-------------------------------------------------------------------
Sat May 15 08:49:29 CEST 2021 - jslaby@suse.cz
- serial: stm32: fix threaded interrupt handling (git-fixes).
- usb: typec: tcpm: Fix error while calculating PPS out values
(git-fixes).
- RDMA/core: Unify RoCE check and re-factor code (git-fixes).
- commit a5aafd4
-------------------------------------------------------------------
Sat May 15 08:29:15 CEST 2021 - jslaby@suse.cz
- Linux 5.12.4 (bsc#1012628).
- Bluetooth: verify AMP hci_chan before amp_destroy (bsc#1012628).
- bluetooth: eliminate the potential race condition when removing
the HCI controller (bsc#1012628).
- net/nfc: fix use-after-free llcp_sock_bind/connect
(bsc#1012628).
- io_uring: truncate lengths larger than MAX_RW_COUNT on provide
buffers (bsc#1012628).
- coresight: etm-perf: Fix define build issue when built as module
(bsc#1012628).
- software node: Allow node addition to already existing device
(bsc#1012628).
- Revert "USB: cdc-acm: fix rounding error in TIOCSSERIAL"
(bsc#1012628).
- usb: roles: Call try_module_get() from
usb_role_switch_find_by_fwnode() (bsc#1012628).
- tty: moxa: fix TIOCSSERIAL jiffies conversions (bsc#1012628).
- tty: amiserial: fix TIOCSSERIAL permission check (bsc#1012628).
- USB: serial: usb_wwan: fix TIOCSSERIAL jiffies conversions
(bsc#1012628).
- staging: greybus: uart: fix TIOCSSERIAL jiffies conversions
(bsc#1012628).
- USB: serial: ti_usb_3410_5052: fix TIOCSSERIAL permission check
(bsc#1012628).
- staging: fwserial: fix TIOCSSERIAL jiffies conversions
(bsc#1012628).
- tty: moxa: fix TIOCSSERIAL permission check (bsc#1012628).
- tty: mxser: fix TIOCSSERIAL jiffies conversions (bsc#1012628).
- staging: fwserial: fix TIOCSSERIAL permission check
(bsc#1012628).
- tty: mxser: fix TIOCSSERIAL permission check (bsc#1012628).
- drm: bridge: fix LONTIUM use of mipi_dsi_() functions
(bsc#1012628).
- usb: typec: tcpm: Address incorrect values of tcpm psy for
fixed supply (bsc#1012628).
- usb: typec: tcpm: Address incorrect values of tcpm psy for
pps supply (bsc#1012628).
- usb: typec: tcpm: update power supply once partner accepts
(bsc#1012628).
- USB: serial: xr: fix CSIZE handling (bsc#1012628).
- usb: xhci-mtk: remove or operator for setting schedule
parameters (bsc#1012628).
- usb: xhci-mtk: improve bandwidth scheduling with TT
(bsc#1012628).
- ASoC: samsung: tm2_wm5110: check of of_parse return value
(bsc#1012628).
- ASoC: Intel: kbl_da7219_max98927: Fix kabylake_ssp_fixup
function (bsc#1012628).
- ASoC: tlv320aic32x4: Register clocks before registering
component (bsc#1012628).
- ASoC: tlv320aic32x4: Increase maximum register in regmap
(bsc#1012628).
- MIPS: pci-mt7620: fix PLL lock check (bsc#1012628).
- MIPS: pci-rt2880: fix slot 0 configuration (bsc#1012628).
- FDDI: defxx: Bail out gracefully with unassigned PCI resource
for CSR (bsc#1012628).
- PCI: Allow VPD access for QLogic ISP2722 (bsc#1012628).
- KVM: x86: Defer the MMU unload to the normal path on an global
INVPCID (bsc#1012628).
- PCI: xgene: Fix cfg resource mapping (bsc#1012628).
- PCI: keystone: Let AM65 use the pci_ops defined in
pcie-designware-host.c (bsc#1012628).
- PM / devfreq: Unlock mutex and free devfreq struct in error path
(bsc#1012628).
- soc/tegra: regulators: Fix locking up when voltage-spread is
out of range (bsc#1012628).
- iio: inv_mpu6050: Fully validate gyro and accel scale writes
(bsc#1012628).
- iio: magnetometer: yas530: Include right header (bsc#1012628).
- iio: sx9310: Fix write_.._debounce() (bsc#1012628).
- iio:accel:adis16201: Fix wrong axis assignment that prevents
loading (bsc#1012628).
- iio:adc:ad7476: Fix remove handling (bsc#1012628).
- iio: magnetometer: yas530: Fix return value on error path
(bsc#1012628).
- iio: sx9310: Fix access to variable DT array (bsc#1012628).
- iio: hid-sensor-rotation: Fix quaternion data not correct
(bsc#1012628).
- sc16is7xx: Defer probe if device read fails (bsc#1012628).
- phy: cadence: Sierra: Fix PHY power_on sequence (bsc#1012628).
- misc: lis3lv02d: Fix false-positive WARN on various HP models
(bsc#1012628).
- phy: ti: j721e-wiz: Invoke wiz_init() before
of_platform_device_create() (bsc#1012628).
- misc: vmw_vmci: explicitly initialize vmci_notify_bm_set_msg
struct (bsc#1012628).
- misc: vmw_vmci: explicitly initialize vmci_datagram payload
(bsc#1012628).
- selinux: add proper NULL termination to the secclass_map
permissions (bsc#1012628).
- x86, sched: Treat Intel SNC topology as default, COD as
exception (bsc#1012628).
- async_xor: increase src_offs when dropping destination page
(bsc#1012628).
- md/bitmap: wait for external bitmap writes to complete during
tear down (bsc#1012628).
- md-cluster: fix use-after-free issue when removing rdev
(bsc#1012628).
- md: split mddev_find (bsc#1012628).
- md: factor out a mddev_find_locked helper from mddev_find
(bsc#1012628).
- md: md_open returns -EBUSY when entering racing area
(bsc#1012628).
- md: Fix missing unused status line of /proc/mdstat
(bsc#1012628).
- MIPS: generic: Update node names to avoid unit addresses
(bsc#1012628).
- mt76: mt7615: use ieee80211_free_txskb() in
mt7615_tx_token_put() (bsc#1012628).
- ipw2x00: potential buffer overflow in libipw_wx_set_encodeext()
(bsc#1012628).
- net: xilinx: drivers need/depend on HAS_IOMEM (bsc#1012628).
- cfg80211: scan: drop entry from hidden_list on overflow
(bsc#1012628).
- rtw88: Fix array overrun in rtw_get_tx_power_params()
(bsc#1012628).
- mt76: fix potential DMA mapping leak (bsc#1012628).
- FDDI: defxx: Make MMIO the configuration default except for EISA
(bsc#1012628).
- drm/qxl: use ttm bo priorities (bsc#1012628).
- drm/ingenic: Fix non-OSD mode (bsc#1012628).
- drm/panfrost: Clear MMU irqs before handling the fault
(bsc#1012628).
- drm/panfrost: Don't try to map pages that are already mapped
(bsc#1012628).
- drm/radeon: fix copy of uninitialized variable back to userspace
(bsc#1012628).
- drm/dp_mst: Revise broadcast msg lct & lcr (bsc#1012628).
- drm/dp_mst: Set CLEAR_PAYLOAD_ID_TABLE as broadcast
(bsc#1012628).
- drm: bridge: fix ANX7625 use of mipi_dsi_() functions
(bsc#1012628).
- drm: bridge/panel: Cleanup connector on bridge detach
(bsc#1012628).
- drm/amd/display: Reject non-zero src_y and src_x for video
planes (bsc#1012628).
- drm/amdgpu: fix concurrent VM flushes on Vega/Navi v2
(bsc#1012628).
- drm/amdgpu: add new MC firmware for Polaris12 32bit ASIC
(bsc#1012628).
- drm/amdgpu: fix r initial values (bsc#1012628).
- drm/amdgpu: Init GFX10_ADDR_CONFIG for VCN v3 in DPG mode
(bsc#1012628).
- ALSA: hda/realtek: Re-order ALC882 Acer quirk table entries
(bsc#1012628).
- ALSA: hda/realtek: Re-order ALC882 Sony quirk table entries
(bsc#1012628).
- ALSA: hda/realtek: Re-order ALC882 Clevo quirk table entries
(bsc#1012628).
- ALSA: hda/realtek: Re-order ALC269 HP quirk table entries
(bsc#1012628).
- ALSA: hda/realtek: Re-order ALC269 Acer quirk table entries
(bsc#1012628).
- ALSA: hda/realtek: Re-order ALC269 Dell quirk table entries
(bsc#1012628).
- ALSA: hda/realtek: Re-order ALC269 ASUS quirk table entries
(bsc#1012628).
- ALSA: hda/realtek: Re-order ALC269 Sony quirk table entries
(bsc#1012628).
- ALSA: hda/realtek: Re-order ALC269 Lenovo quirk table entries
(bsc#1012628).
- ALSA: hda/realtek: Re-order remaining ALC269 quirk table entries
(bsc#1012628).
- ALSA: hda/realtek: Re-order ALC662 quirk table entries
(bsc#1012628).
- ALSA: hda/realtek: Remove redundant entry for ALC861
Haier/Uniwill devices (bsc#1012628).
- ALSA: hda/realtek: Fix speaker amp on HP Envy AiO 32
(bsc#1012628).
- KVM: s390: VSIE: correctly handle MVPG when in VSIE
(bsc#1012628).
- KVM: s390: split kvm_s390_logical_to_effective (bsc#1012628).
- KVM: s390: fix guarded storage control register handling
(bsc#1012628).
- s390: fix detection of vector enhancements facility 1 vs. vector
packed decimal facility (bsc#1012628).
- KVM: s390: VSIE: fix MVPG handling for prefixing and MSO
(bsc#1012628).
- KVM: s390: split kvm_s390_real_to_abs (bsc#1012628).
- KVM: s390: extend kvm_s390_shadow_fault to return entry pointer
(bsc#1012628).
- KVM: x86/mmu: Alloc page for PDPTEs when shadowing 32-bit NPT
with 64-bit (bsc#1012628).
- KVM: x86/xen: Drop RAX[63:32] when processing hypercall
(bsc#1012628).
- KVM: X86: Fix failure to boost kernel lock holder candidate
in SEV-ES guests (bsc#1012628).
- KVM: x86: Properly handle APF vs disabled LAPIC situation
(bsc#1012628).
- KVM: x86: Check CR3 GPA for validity regardless of vCPU mode
(bsc#1012628).
- KVM: x86: Remove emulator's broken checks on CR0/CR3/CR4 loads
(bsc#1012628).
- KVM: nSVM: Set the shadow root level to the TDP level for
nested NPT (bsc#1012628).
- KVM: SVM: Don't strip the C-bit from CR2 on #PF interception
(bsc#1012628).
- KVM: SVM: Use online_vcpus, not created_vcpus, to iterate over
vCPUs (bsc#1012628).
- KVM: SVM: Do not set sev->es_active until KVM_SEV_ES_INIT
completes (bsc#1012628).
- KVM: SVM: Do not allow SEV/SEV-ES initialization after vCPUs
are created (bsc#1012628).
- KVM: SVM: Inject #GP on guest MSR_TSC_AUX accesses if RDTSCP
unsupported (bsc#1012628).
- KVM: nVMX: Defer the MMU reload to the normal path on an EPTP
switch (bsc#1012628).
- KVM: VMX: Truncate GPR value for DR and CR reads in !64-bit mode
(bsc#1012628).
- KVM: nVMX: Truncate bits 63:32 of VMCS field on nested check
in !64-bit (bsc#1012628).
- KVM: nVMX: Truncate base/index GPR value on address calc in
!64-bit (bsc#1012628).
- KVM: arm/arm64: Fix KVM_VGIC_V3_ADDR_TYPE_REDIST read
(bsc#1012628).
- KVM: Destroy I/O bus devices on unregister failure _after_
sync'ing SRCU (bsc#1012628).
- KVM: Stop looking for coalesced MMIO zones if the bus is
destroyed (bsc#1012628).
- KVM: arm64: Support PREL/PLT relocs in EL2 code (bsc#1012628).
- KVM: arm64: Fully zero the vcpu state on reset (bsc#1012628).
- KVM: arm64: Fix KVM_VGIC_V3_ADDR_TYPE_REDIST_REGION read
(bsc#1012628).
- KVM: selftests: Sync data verify of dirty logging with guest
sync (bsc#1012628).
- KVM: selftests: Always run vCPU thread with blocked SIG_IPI
(bsc#1012628).
- Revert "drivers/net/wan/hdlc_fr: Fix a double free in pvc_xmit"
(bsc#1012628).
- Revert "i3c master: fix missing destroy_workqueue() on error
in i3c_master_register" (bsc#1012628).
- mfd: stmpe: Revert "Constify static struct resource"
(bsc#1012628).
- ovl: fix missing revert_creds() on error path (bsc#1012628).
- usb: gadget: pch_udc: Revert d3cb25a12138 completely
(bsc#1012628).
- Revert "tools/power turbostat: adjust for temperature offset"
(bsc#1012628).
- firmware: xilinx: Fix dereferencing freed memory (bsc#1012628).
- firmware: xilinx: Remove zynqmp_pm_get_eemi_ops() in
IS_REACHABLE(CONFIG_ZYNQMP_FIRMWARE) (bsc#1012628).
- x86/vdso: Use proper modifier for len's format specifier in
extract() (bsc#1012628).
- fpga: fpga-mgr: xilinx-spi: fix error messages on -EPROBE_DEFER
(bsc#1012628).
- crypto: keembay-ocs-hcu - Fix error return code in
kmb_ocs_hcu_probe() (bsc#1012628).
- crypto: keembay-ocs-aes - Fix error return code in
kmb_ocs_aes_probe() (bsc#1012628).
- crypto: sun8i-ss - fix result memory leak on error path
(bsc#1012628).
- memory: gpmc: fix out of bounds read and dereference on
gpmc_cs[] (bsc#1012628).
- ARM: dts: exynos: correct fuel gauge interrupt trigger level
on GT-I9100 (bsc#1012628).
- ARM: dts: exynos: correct fuel gauge interrupt trigger level
on P4 Note family (bsc#1012628).
- ARM: dts: exynos: correct fuel gauge interrupt trigger level
on Midas family (bsc#1012628).
- ARM: dts: exynos: correct MUIC interrupt trigger level on
Midas family (bsc#1012628).
- ARM: dts: exynos: correct PMIC interrupt trigger level on
Midas family (bsc#1012628).
- ARM: dts: exynos: correct PMIC interrupt trigger level on
Odroid X/U3 family (bsc#1012628).
- ARM: dts: exynos: correct PMIC interrupt trigger level on P4
Note family (bsc#1012628).
- ARM: dts: exynos: correct PMIC interrupt trigger level on
SMDK5250 (bsc#1012628).
- ARM: dts: exynos: correct PMIC interrupt trigger level on Snow
(bsc#1012628).
- ARM: dts: s5pv210: correct fuel gauge interrupt trigger level
on Fascinate family (bsc#1012628).
- ARM: dts: renesas: Add mmc aliases into R-Car Gen2 board dts
files (bsc#1012628).
- arm64: dts: renesas: Add mmc aliases into board dts files
(bsc#1012628).
- bus: ti-sysc: Fix initializing module_pa for modules without
sysc register (bsc#1012628).
- x86/platform/uv: Set section block size for hubless
architectures (bsc#1012628).
- serial: stm32: fix probe and remove order for dma (bsc#1012628).
- serial: stm32: fix startup by enabling usart for reception
(bsc#1012628).
- serial: stm32: fix incorrect characters on console
(bsc#1012628).
- serial: stm32: fix TX and RX FIFO thresholds (bsc#1012628).
- serial: stm32: fix a deadlock condition with wakeup event
(bsc#1012628).
- serial: stm32: fix wake-up flag handling (bsc#1012628).
- serial: stm32: fix a deadlock in set_termios (bsc#1012628).
- serial: liteuart: fix return value check in liteuart_probe()
(bsc#1012628).
- serial: stm32: fix tx dma completion, release channel
(bsc#1012628).
- serial: stm32: call stm32_transmit_chars locked (bsc#1012628).
- serial: stm32: fix FIFO flush in startup and set_termios
(bsc#1012628).
- serial: stm32: add FIFO flush when port is closed (bsc#1012628).
- serial: stm32: fix tx_empty condition (bsc#1012628).
- usb: typec: tcpm: Wait for vbus discharge to VSAFE0V before
toggling (bsc#1012628).
- usb: typec: tcpci: Check ROLE_CONTROL while interpreting
CC_STATUS (bsc#1012628).
- usb: typec: tps6598x: Fix return value check in tps6598x_probe()
(bsc#1012628).
- usb: typec: stusb160x: fix return value check in
stusb160x_probe() (bsc#1012628).
- mfd: intel_pmt: Fix nuisance messages and handling of disabled
capabilities (bsc#1012628).
- regmap: set debugfs_name to NULL after it is freed
(bsc#1012628).
- spi: rockchip: avoid objtool warning (bsc#1012628).
- arm64: dts: broadcom: bcm4908: fix switch parent node name
(bsc#1012628).
- mtd: rawnand: fsmc: Fix error code in fsmc_nand_probe()
(bsc#1012628).
- mtd: rawnand: brcmnand: fix OOB R/W with Hamming ECC
(bsc#1012628).
- mtd: Handle possible -EPROBE_DEFER from parse_mtd_partitions()
(bsc#1012628).
- mtd: rawnand: qcom: Return actual error code instead of -ENODEV
(bsc#1012628).
- mtd: don't lock when recursively deleting partitions
(bsc#1012628).
- mtd: parsers: qcom: Fix error condition (bsc#1012628).
- mtd: parsers: qcom: incompatible with spi-nor 4k sectors
(bsc#1012628).
- mtd: maps: fix error return code of physmap_flash_remove()
(bsc#1012628).
- ARM: dts: stm32: fix usart 2 & 3 pinconf to wake up with flow
control (bsc#1012628).
- arm64: dts: ti: k3-j721e-main: Update the speed modes supported
and their itap delay values for MMCSD subsystems (bsc#1012628).
- iio: adis16480: fix pps mode sampling frequency math
(bsc#1012628).
- arm64: dts: qcom: sc7180: trogdor: Fix trip point config of
charger thermal zone (bsc#1012628).
- arm64: dts: qcom: sm8250: Fix level triggered PMU interrupt
polarity (bsc#1012628).
- arm64: dts: qcom: sm8350: Fix level triggered PMU interrupt
polarity (bsc#1012628).
- arm64: dts: qcom: sm8250: Fix timer interrupt to specify EL2
physical timer (bsc#1012628).
- arm64: dts: qcom: sc7180: Avoid glitching SPI CS at bootup on
trogdor (bsc#1012628).
- arm64: dts: qcom: sdm845: fix number of pins in 'gpio-ranges'
(bsc#1012628).
- arm64: dts: qcom: sm8150: fix number of pins in 'gpio-ranges'
(bsc#1012628).
- arm64: dts: qcom: sm8250: fix number of pins in 'gpio-ranges'
(bsc#1012628).
- arm64: dts: qcom: sm8350: fix number of pins in 'gpio-ranges'
(bsc#1012628).
- arm64: dts: qcom: db845c: fix correct powerdown pin for WSA881x
(bsc#1012628).
- crypto: sun8i-ss - Fix memory leak of object d when dma_iv
fails to map (bsc#1012628).
- spi: stm32: drop devres version of spi_register_master
(bsc#1012628).
- arm64: dts: broadcom: bcm4908: set Asus GT-AC5300 port 7 PHY
mode (bsc#1012628).
- regulator: bd9576: Fix return from bd957x_probe() (bsc#1012628).
- arm64: dts: renesas: r8a77980: Fix vin4-7 endpoint binding
(bsc#1012628).
- selftests/x86: Add a missing .note.GNU-stack section to
thunks_32.S (bsc#1012628).
- crypto: arm/blake2s - fix for big endian (bsc#1012628).
- spi: stm32: Fix use-after-free on unbind (bsc#1012628).
- Drivers: hv: vmbus: Drop error message when 'No request id
available' (bsc#1012628).
- staging: qlge: fix an error code in probe() (bsc#1012628).
- x86/microcode: Check for offline CPUs before requesting new
microcode (bsc#1012628).
- usb: host: ehci-tegra: Select USB_GADGET Kconfig option
(bsc#1012628).
- devtmpfs: fix placement of complete() call (bsc#1012628).
- usb: gadget: pch_udc: Replace cpu_to_le32() by lower_32_bits()
(bsc#1012628).
- usb: gadget: pch_udc: Check if driver is present before calling
->setup() (bsc#1012628).
- usb: gadget: pch_udc: Check for DMA mapping error (bsc#1012628).
- usb: gadget: pch_udc: Initialize device pointer before use
(bsc#1012628).
- usb: gadget: pch_udc: Provide a GPIO line used on Intel
Minnowboard (v1) (bsc#1012628).
- crypto: ccp - fix command queuing to TEE ring buffer
(bsc#1012628).
- crypto: qat - don't release uninitialized resources
(bsc#1012628).
- crypto: qat - ADF_STATUS_PF_RUNNING should be set after
adf_dev_init (bsc#1012628).
- fotg210-udc: Fix DMA on EP0 for length > max packet size
(bsc#1012628).
- fotg210-udc: Fix EP0 IN requests bigger than two packets
(bsc#1012628).
- fotg210-udc: Remove a dubious condition leading to fotg210_done
(bsc#1012628).
- fotg210-udc: Mask GRP2 interrupts we don't handle (bsc#1012628).
- fotg210-udc: Don't DMA more than the buffer can take
(bsc#1012628).
- fotg210-udc: Complete OUT requests on short packets
(bsc#1012628).
- usb: gadget: s3c: Fix incorrect resources releasing
(bsc#1012628).
- usb: gadget: s3c: Fix the error handling path in
's3c2410_udc_probe()' (bsc#1012628).
- dt-bindings: serial: stm32: Use 'type: object' instead of
false for 'additionalProperties' (bsc#1012628).
- mtd: require write permissions for locking and badblock ioctls
(bsc#1012628).
- arm64: dts: renesas: r8a779a0: Fix PMU interrupt (bsc#1012628).
- arm64: dts: mt8183: Add gce client reg for display subcomponents
(bsc#1012628).
- arm64: dts: mt8173: fix wrong power-domain phandle of pmic
(bsc#1012628).
- bus: qcom: Put child node before return (bsc#1012628).
- arm64: dts: qcom: sm8250: fix display nodes (bsc#1012628).
- soundwire: bus: Fix device found flag correctly (bsc#1012628).
- soc: mediatek: pm-domains: Fix missing error code in
scpsys_add_subdomain() (bsc#1012628).
- phy: ti: j721e-wiz: Delete "clk_div_sel" clk provider during
cleanup (bsc#1012628).
- phy: ralink: phy-mt7621-pci: fix XTAL bitmask (bsc#1012628).
- phy: marvell: ARMADA375_USBCLUSTER_PHY should not default to y,
unconditionally (bsc#1012628).
- phy: ralink: phy-mt7621-pci: fix return value check in
mt7621_pci_phy_probe() (bsc#1012628).
- phy: ingenic: Fix a typo in ingenic_usb_phy_probe()
(bsc#1012628).
- arm64: dts: mediatek: fix reset GPIO level on pumpkin
(bsc#1012628).
- NFSv4.2: fix copy stateid copying for the async copy
(bsc#1012628).
- crypto: poly1305 - fix poly1305_core_setkey() declaration
(bsc#1012628).
- crypto: qat - fix error path in adf_isr_resource_alloc()
(bsc#1012628).
- usb: gadget: aspeed: fix dma map failure (bsc#1012628).
- USB: gadget: udc: fix wrong pointer passed to IS_ERR() and
PTR_ERR() (bsc#1012628).
- drivers: nvmem: Fix voltage settings for QTI qfprom-efuse
(bsc#1012628).
- nvmem: rmem: fix undefined reference to memremap (bsc#1012628).
- driver core: platform: Declare early_platform_cleanup()
prototype (bsc#1012628).
- ARM: dts: qcom: msm8974-lge-nexus5: correct fuel gauge interrupt
trigger level (bsc#1012628).
- ARM: dts: qcom: msm8974-samsung-klte: correct fuel gauge
interrupt trigger level (bsc#1012628).
- memory: pl353: fix mask of ECC page_size config register
(bsc#1012628).
- soundwire: stream: fix memory leak in stream config error path
(bsc#1012628).
- m68k: mvme147,mvme16x: Don't wipe PCC timer config bits
(bsc#1012628).
- firmware: qcom_scm: Make __qcom_scm_is_call_available() return
bool (bsc#1012628).
- firmware: qcom_scm: Reduce locking section for
__get_convention() (bsc#1012628).
- firmware: qcom_scm: Workaround lack of "is available" call on
SC7180 (bsc#1012628).
- iio: adc: Kconfig: make AD9467 depend on ADI_AXI_ADC symbol
(bsc#1012628).
- mtd: rawnand: gpmi: Fix a double free in gpmi_nand_init
(bsc#1012628).
- irqchip/gic-v3: Fix OF_BAD_ADDR error handling (bsc#1012628).
- staging: comedi: tests: ni_routes_test: Fix compilation error
(bsc#1012628).
- staging: rtl8192u: Fix potential infinite loop (bsc#1012628).
- staging: fwserial: fix TIOCSSERIAL implementation (bsc#1012628).
- staging: fwserial: fix TIOCGSERIAL implementation (bsc#1012628).
- staging: greybus: uart: fix unprivileged TIOCCSERIAL
(bsc#1012628).
- platform/x86: dell-wmi-sysman: Make init_bios_attributes()
ACPI object parsing more robust (bsc#1012628).
- soc: qcom: pdr: Fix error return code in pdr_register_listener
(bsc#1012628).
- PM / devfreq: Use more accurate returned new_freq as resume_freq
(bsc#1012628).
- clocksource/drivers/timer-ti-dm: Fix posted mode status check
order (bsc#1012628).
- clocksource/drivers/timer-ti-dm: Add missing
set_state_oneshot_stopped (bsc#1012628).
- clocksource/drivers/ingenic_ost: Fix return value check in
ingenic_ost_probe() (bsc#1012628).
- spi: Fix use-after-free with devm_spi_alloc_* (bsc#1012628).
- spi: fsl: add missing iounmap() on error in of_fsl_spi_probe()
(bsc#1012628).
- soc: qcom: mdt_loader: Validate that p_filesz < p_memsz
(bsc#1012628).
- soc: qcom: mdt_loader: Detect truncated read of segments
(bsc#1012628).
- PM: runtime: Replace inline function
pm_runtime_callbacks_present() (bsc#1012628).
- cpuidle: Fix ARM_QCOM_SPM_CPUIDLE configuration (bsc#1012628).
- ACPI: CPPC: Replace cppc_attr with kobj_attribute (bsc#1012628).
- crypto: allwinner - add missing CRYPTO_ prefix (bsc#1012628).
- crypto: sun8i-ss - Fix memory leak of pad (bsc#1012628).
- crypto: sa2ul - Fix memory leak of rxd (bsc#1012628).
- crypto: qat - Fix a double free in adf_create_ring
(bsc#1012628).
- cpufreq: armada-37xx: Fix setting TBG parent for load levels
(bsc#1012628).
- clk: mvebu: armada-37xx-periph: remove .set_parent method for
CPU PM clock (bsc#1012628).
- cpufreq: armada-37xx: Fix the AVS value for load L1
(bsc#1012628).
- clk: mvebu: armada-37xx-periph: Fix switching CPU freq from
250 Mhz to 1 GHz (bsc#1012628).
- clk: mvebu: armada-37xx-periph: Fix workaround for switching
from L1 to L0 (bsc#1012628).
- cpufreq: armada-37xx: Fix driver cleanup when registration
failed (bsc#1012628).
- cpufreq: armada-37xx: Fix determining base CPU frequency
(bsc#1012628).
- spi: spi-zynqmp-gqspi: use wait_for_completion_timeout to make
zynqmp_qspi_exec_op not interruptible (bsc#1012628).
- spi: spi-zynqmp-gqspi: add mutex locking for exec_op
(bsc#1012628).
- spi: spi-zynqmp-gqspi: transmit dummy circles by using the
controller's internal functionality (bsc#1012628).
- spi: spi-zynqmp-gqspi: fix incorrect operating mode in
zynqmp_qspi_read_op (bsc#1012628).
- spi: fsl-lpspi: Fix PM reference leak in
lpspi_prepare_xfer_hardware() (bsc#1012628).
- usb: gadget: r8a66597: Add missing null check on return from
platform_get_resource (bsc#1012628).
- USB: cdc-acm: fix unprivileged TIOCCSERIAL (bsc#1012628).
- USB: cdc-acm: fix TIOCGSERIAL implementation (bsc#1012628).
- tty: actually undefine superseded ASYNC flags (bsc#1012628).
- tty: fix return value for unsupported ioctls (bsc#1012628).
- tty: fix return value for unsupported termiox ioctls
(bsc#1012628).
- serial: core: return early on unsupported ioctls (bsc#1012628).
- firmware: qcom-scm: Fix QCOM_SCM configuration (bsc#1012628).
- node: fix device cleanups in error handling code (bsc#1012628).
- crypto: chelsio - Read rxchannel-id from firmware (bsc#1012628).
- usbip: vudc: fix missing unlock on error in usbip_sockfd_store()
(bsc#1012628).
- m68k: Add missing mmap_read_lock() to sys_cacheflush()
(bsc#1012628).
- usb: cdnsp: Fixes issue with Configure Endpoint command
(bsc#1012628).
- spi: spi-zynqmp-gqspi: Fix missing unlock on error in
zynqmp_qspi_exec_op() (bsc#1012628).
- memory: renesas-rpc-if: fix possible NULL pointer dereference
of resource (bsc#1012628).
- memory: samsung: exynos5422-dmc: handle clk_set_parent()
failure (bsc#1012628).
- security: keys: trusted: fix TPM2 authorizations (bsc#1012628).
- char: tpm: fix error return code in tpm_cr50_i2c_tis_recv()
(bsc#1012628).
- platform/x86: pmc_atom: Match all Beckhoff Automation baytrail
boards with critclk_systems DMI table (bsc#1012628).
- usb: typec: tcpm: Honour pSnkStdby requirement during
negotiation (bsc#1012628).
- spi: spi-zynqmp-gqspi: Fix runtime PM imbalance in
zynqmp_qspi_probe (bsc#1012628).
- ARM: dts: aspeed: Rainier: Fix humidity sensor bus address
(bsc#1012628).
- Drivers: hv: vmbus: Use after free in __vmbus_open()
(bsc#1012628).
- crypto: arm64/aes-ce - deal with oversight in new CTR carry code
(bsc#1012628).
- spi: spi-zynqmp-gqspi: fix clk_enable/disable imbalance issue
(bsc#1012628).
- spi: spi-zynqmp-gqspi: fix hang issue when suspend/resume
(bsc#1012628).
- spi: spi-zynqmp-gqspi: fix use-after-free in zynqmp_qspi_exec_op
(bsc#1012628).
- spi: spi-zynqmp-gqspi: return -ENOMEM if dma_map_single fails
(bsc#1012628).
- btrfs: zoned: move log tree node allocation out of
log_root_tree->log_mutex (bsc#1012628).
- btrfs: zoned: bail out in btrfs_alloc_chunk for bad input
(bsc#1012628).
- x86/platform/uv: Fix !KEXEC build failure (bsc#1012628).
- hwmon: (pmbus/pxe1610) don't bail out when not all pages are
active (bsc#1012628).
- platform/surface: aggregator: fix a bit test (bsc#1012628).
- Drivers: hv: vmbus: Increase wait time for VMbus unload
(bsc#1012628).
- PM: hibernate: x86: Use crc32 instead of md5 for hibernation
e820 integrity check (bsc#1012628).
- usb: dwc2: Fix host mode hibernation exit with remote wakeup
flow (bsc#1012628).
- usb: dwc2: Fix hibernation between host and device modes
(bsc#1012628).
- ttyprintk: Add TTY hangup callback (bsc#1012628).
- serial: omap: don't disable rs485 if rts gpio is missing
(bsc#1012628).
- serial: omap: fix rs485 half-duplex filtering (bsc#1012628).
- spi: tools: make a symbolic link to the header file spi.h
(bsc#1012628).
- xen-blkback: fix compatibility bug with single page rings
(bsc#1012628).
- soc: aspeed: fix a ternary sign expansion bug (bsc#1012628).
- drm/tilcdc: send vblank event when disabling crtc (bsc#1012628).
- drm/stm: Fix bus_flags handling (bsc#1012628).
- drm/amd/display: Fix off by one in hdmi_14_process_transaction()
(bsc#1012628).
- drm/mcde/panel: Inverse misunderstood flag (bsc#1012628).
- scsi: lpfc: Fix null pointer dereference in lpfc_prep_els_iocb()
(bsc#1012628).
- sched/fair: Fix shift-out-of-bounds in load_balance()
(bsc#1012628).
- printk: limit second loop of syslog_print_all (bsc#1012628).
- afs: Fix updating of i_mode due to 3rd party change
(bsc#1012628).
- rcu: Remove spurious instrumentation_end() in rcu_nmi_enter()
(bsc#1012628).
- media: mtk: fix mtk-smi dependency (bsc#1012628).
- media: vivid: fix assignment of dev->fbuf_out_flags
(bsc#1012628).
- media: saa7134: use sg_dma_len when building pgtable
(bsc#1012628).
- media: saa7146: use sg_dma_len when building pgtable
(bsc#1012628).
- media: omap4iss: return error code when omap4iss_get() failed
(bsc#1012628).
- media: rkisp1: rsz: crash fix when setting src format
(bsc#1012628).
- media: aspeed: fix clock handling logic (bsc#1012628).
- drm/panel-simple: Undo enable if HPD never asserts
(bsc#1012628).
- power: supply: bq27xxx: fix sign of current_now for newer ICs
(bsc#1012628).
- drm/probe-helper: Check epoch counter in output_poll_execute()
(bsc#1012628).
- media: venus: core: Fix some resource leaks in the error path of
'venus_probe()' (bsc#1012628).
- media: platform: sunxi: sun6i-csi: fix error return code of
sun6i_video_start_streaming() (bsc#1012628).
- media: m88ds3103: fix return value check in m88ds3103_probe()
(bsc#1012628).
- media: docs: Fix data organization of
MEDIA_BUS_FMT_RGB101010_1X30 (bsc#1012628).
- media: [next] staging: media: atomisp: fix memory leak of
object flash (bsc#1012628).
- media: atomisp: Fixed error handling path (bsc#1012628).
- media: m88rs6000t: avoid potential out-of-bounds reads on arrays
(bsc#1012628).
- media: atomisp: Fix use after free in
atomisp_alloc_css_stat_bufs() (bsc#1012628).
- x86/kprobes: Retrieve correct opcode for group instruction
(bsc#1012628).
- drm/amdkfd: fix build error with AMD_IOMMU_V2=m (bsc#1012628).
- drm/amdkfd: Fix recursive lock warnings (bsc#1012628).
- drm/amd/display: Free local data after use (bsc#1012628).
- of: overlay: fix for_each_child.cocci warnings (bsc#1012628).
- scsi: qla2xxx: Check kzalloc() return value (bsc#1012628).
- x86/kprobes: Fix to check non boostable prefixes correctly
(bsc#1012628).
- drm/omap: dsi: Add missing IRQF_ONESHOT (bsc#1012628).
- selftests: fix prepending $(OUTPUT) to $(TEST_PROGS)
(bsc#1012628).
- pata_arasan_cf: fix IRQ check (bsc#1012628).
- pata_ipx4xx_cf: fix IRQ check (bsc#1012628).
- sata_mv: add IRQ checks (bsc#1012628).
- ata: libahci_platform: fix IRQ check (bsc#1012628).
- seccomp: Fix CONFIG tests for Seccomp_filters (bsc#1012628).
- drm/mediatek: Switch the hdmi bridge ops to the atomic versions
(bsc#1012628).
- drm/mediatek: Don't support hdmi connector creation
(bsc#1012628).
- nvme-tcp: block BH in sk state_change sk callback (bsc#1012628).
- nvmet-tcp: fix incorrect locking in state_change sk callback
(bsc#1012628).
- clk: imx: Fix reparenting of UARTs not associated with stdout
(bsc#1012628).
- power: supply: bq25980: Move props from battery node
(bsc#1012628).
- nvme: retrigger ANA log update if group descriptor isn't found
(bsc#1012628).
- media: ccs: Fix sub-device function (bsc#1012628).
- media: ipu3-cio2: Fix pixel-rate derived link frequency
(bsc#1012628).
- media: i2c: imx219: Move out locking/unlocking of vflip and
hflip controls from imx219_set_stream (bsc#1012628).
- media: i2c: imx219: Balance runtime PM use-count (bsc#1012628).
- media: v4l2-ctrls.c: fix race condition in hdl->requests list
(bsc#1012628).
- media: rkvdec: Do not require all controls to be present in
every request (bsc#1012628).
- vfio/fsl-mc: Re-order vfio_fsl_mc_probe() (bsc#1012628).
- vfio/pci: Move VGA and VF initialization to functions
(bsc#1012628).
- vfio/pci: Re-order vfio_pci_probe() (bsc#1012628).
- drm/msm: Fix debugfs deadlock (bsc#1012628).
- drm/msm/dpu: enable DPU_SSPP_QOS_8LVL for SM8250 (bsc#1012628).
- vfio/mdev: Do not allow a mdev_type to have a NULL parent
pointer (bsc#1012628).
- clk: zynqmp: move zynqmp_pll_set_mode out of round_rate callback
(bsc#1012628).
- clk: zynqmp: pll: add set_pll_mode to check condition in
zynqmp_pll_enable (bsc#1012628).
- drm: xlnx: zynqmp: fix a memset in zynqmp_dp_train()
(bsc#1012628).
- media: i2c: rdamc21: Fix warning on u8 cast (bsc#1012628).
- clk: qcom: a7-pll: Add missing MODULE_DEVICE_TABLE
(bsc#1012628).
- clk: qcom: a53-pll: Add missing MODULE_DEVICE_TABLE
(bsc#1012628).
- clk: qcom: apss-ipq-pll: Add missing MODULE_DEVICE_TABLE
(bsc#1012628).
- drm/amd/display: use GFP_ATOMIC in dcn20_resource_construct
(bsc#1012628).
- drm/amd/display: check fb of primary plane (bsc#1012628).
- drm/radeon: Fix a missing check bug in radeon_dp_mst_detect()
(bsc#1012628).
- bcache: Use 64-bit arithmetic instead of 32-bit (bsc#1012628).
- clk: uniphier: Fix potential infinite loop (bsc#1012628).
- scsi: pm80xx: Increase timeout for pm80xx mpi_uninit_check()
(bsc#1012628).
- scsi: pm80xx: Fix potential infinite loop (bsc#1012628).
- scsi: ufs: ufshcd-pltfrm: Fix deferred probing (bsc#1012628).
- scsi: hisi_sas: Fix IRQ checks (bsc#1012628).
- scsi: jazz_esp: Add IRQ check (bsc#1012628).
- scsi: sun3x_esp: Add IRQ check (bsc#1012628).
- scsi: sni_53c710: Add IRQ check (bsc#1012628).
- scsi: ibmvfc: Fix invalid state machine BUG_ON() (bsc#1012628).
- mailbox: sprd: Introduce refcnt when clients requests/free
channels (bsc#1012628).
- mfd: stm32-timers: Avoid clearing auto reload register
(bsc#1012628).
- nvmet-tcp: fix a segmentation fault during io parsing error
(bsc#1012628).
- nvme-pci: don't simple map sgl when sgls are disabled
(bsc#1012628).
- media: meson-ge2d: fix rotation parameters (bsc#1012628).
- media: cedrus: Fix H265 status definitions (bsc#1012628).
- HSI: core: fix resource leaks in hsi_add_client_from_dt()
(bsc#1012628).
- x86/events/amd/iommu: Fix sysfs type mismatch (bsc#1012628).
- perf/amd/uncore: Fix sysfs type mismatch (bsc#1012628).
- io_uring: fix overflows checks in provide buffers (bsc#1012628).
- block/rnbd-clt-sysfs: Remove copy buffer overlap in
rnbd_clt_get_path_name (bsc#1012628).
- sched/debug: Fix cgroup_path[] serialization (bsc#1012628).
- kthread: Fix PF_KTHREAD vs to_kthread() race (bsc#1012628).
- ataflop: potential out of bounds in do_format() (bsc#1012628).
- ataflop: fix off by one in ataflop_probe() (bsc#1012628).
- drivers/block/null_blk/main: Fix a double free in null_init
(bsc#1012628).
- xsk: Respect device's headroom and tailroom on generic xmit path
(bsc#1012628).
- HID: plantronics: Workaround for double volume key presses
(bsc#1012628).
- perf symbols: Fix dso__fprintf_symbols_by_name() to return
the number of printed chars (bsc#1012628).
- ASoC: Intel: boards: sof-wm8804: add check for PLL setting
(bsc#1012628).
- ASoC: Intel: Skylake: Compile when any configuration is selected
(bsc#1012628).
- RDMA/mlx5: Zero out ODP related items in the mlx5_ib_mr
(bsc#1012628).
- RDMA/mlx5: Fix query RoCE port (bsc#1012628).
- RDMA/mlx5: Fix mlx5 rates to IB rates map (bsc#1012628).
- net/mlx5: DR, Add missing vhca_id consume from STEv1
(bsc#1012628).
- wilc1000: write value to WILC_INTR2_ENABLE register
(bsc#1012628).
- KVM: x86/mmu: Retry page faults that hit an invalid memslot
(bsc#1012628).
- Bluetooth: avoid deadlock between hci_dev->lock and socket lock
(bsc#1012628).
- net: lapbether: Prevent racing when checking whether the netif
is running (bsc#1012628).
- libbpf: Add explicit padding to bpf_xdp_set_link_opts
(bsc#1012628).
- bpftool: Fix maybe-uninitialized warnings (bsc#1012628).
- iommu: Check dev->iommu in iommu_dev_xxx functions
(bsc#1012628).
- iommu/dma: Resurrect the "forcedac" option (bsc#1012628).
- iommu/vt-d: Reject unsupported page request modes (bsc#1012628).
- ASoC: tegra30: i2s: Restore hardware state on runtime PM resume
(bsc#1012628).
- net: dsa: bcm_sf2: add function finding RGMII register
(bsc#1012628).
- net: dsa: bcm_sf2: fix BCM4908 RGMII reg(s) (bsc#1012628).
- selftests/bpf: Re-generate vmlinux.h and BPF skeletons if
bpftool changed (bsc#1012628).
- libbpf: Add explicit padding to btf_dump_emit_type_decl_opts
(bsc#1012628).
- powerpc/mm: Move the linear_mapping_mutex to the ifdef where
it is used (bsc#1012628).
- powerpc/fadump: Mark fadump_calculate_reserve_size as __init
(bsc#1012628).
- powerpc/prom: Mark identical_pvr_fixup as __init (bsc#1012628).
- MIPS: fix local_irq_{disable,enable} in asmmacro.h
(bsc#1012628).
- ima: Fix the error code for restoring the PCR value
(bsc#1012628).
- inet: use bigger hash table for IP ID generation (bsc#1012628).
- pinctrl: pinctrl-single: remove unused parameter (bsc#1012628).
- pinctrl: pinctrl-single: fix pcs_pin_dbg_show() when
bits_per_mux is not zero (bsc#1012628).
- MIPS: loongson64: fix bug when PAGE_SIZE > 16KB (bsc#1012628).
- ASoC: wm8960: Remove bitclk relax condition in
wm8960_configure_sysclk (bsc#1012628).
- iommu/arm-smmu-v3: add bit field SFM into GERROR_ERR_MASK
(bsc#1012628).
- RDMA/mlx5: Fix drop packet rule in egress table (bsc#1012628).
- IB/isert: Fix a use after free in isert_connect_request
(bsc#1012628).
- powerpc/64s: Fix hash fault to use TRAP accessor (bsc#1012628).
- powerpc: Fix HAVE_HARDLOCKUP_DETECTOR_ARCH build configuration
(bsc#1012628).
- MIPS/bpf: Enable bpf_probe_read{, str}() on MIPS again
(bsc#1012628).
- gpio: guard gpiochip_irqchip_add_domain() with GPIOLIB_IRQCHIP
(bsc#1012628).
- fs: dlm: fix missing unlock on error in accept_from_sock()
(bsc#1012628).
- ASoC: q6afe-clocks: fix reprobing of the driver (bsc#1012628).
- ALSA: core: remove redundant spin_lock pair in
snd_card_disconnect (bsc#1012628).
- net: phy: lan87xx: fix access to wrong register of LAN87xx
(bsc#1012628).
- udp: skip L4 aggregation for UDP tunnel packets (bsc#1012628).
- udp: never accept GSO_FRAGLIST packets (bsc#1012628).
- powerpc/pseries: Only register vio drivers if vio bus exists
(bsc#1012628).
- net/tipc: fix missing destroy_workqueue() on error in
tipc_crypto_start() (bsc#1012628).
- bug: Remove redundant condition check in report_bug
(bsc#1012628).
- RDMA/core: Fix corrupted SL on passive side (bsc#1012628).
- nfc: pn533: prevent potential memory corruption (bsc#1012628).
- net: hns3: Limiting the scope of vector_ring_chain variable
(bsc#1012628).
- mips: bmips: fix syscon-reboot nodes (bsc#1012628).
- KVM: arm64: Fix error return code in init_hyp_mode()
(bsc#1012628).
- iommu/vt-d: Don't set then clear private data in
prq_event_thread() (bsc#1012628).
- iommu: Fix a boundary issue to avoid performance drop
(bsc#1012628).
- iommu/vt-d: Report right snoop capability when using FL for IOVA
(bsc#1012628).
- iommu/vt-d: Report the right page fault address (bsc#1012628).
- iommu/vt-d: Remove WO permissions on second-level paging entries
(bsc#1012628).
- iommu/vt-d: Invalidate PASID cache when root/context entry
changed (bsc#1012628).
- ALSA: usb-audio: Add error checks for
usb_driver_claim_interface() calls (bsc#1012628).
- HID: lenovo: Use brightness_set_blocking callback for setting
LEDs brightness (bsc#1012628).
- HID: lenovo: Fix lenovo_led_set_tp10ubkbd() error handling
(bsc#1012628).
- HID: lenovo: Check hid_get_drvdata() returns non NULL in
lenovo_event() (bsc#1012628).
- HID: lenovo: Map mic-mute button to KEY_F20 instead of
KEY_MICMUTE (bsc#1012628).
- KVM: arm64: Initialize VCPU mdcr_el2 before loading it
(bsc#1012628).
- ASoC: simple-card: fix possible uninitialized single_cpu local
variable (bsc#1012628).
- liquidio: Fix unintented sign extension of a left shift of a
u16 (bsc#1012628).
- IB/hfi1: Use kzalloc() for mmu_rb_handler allocation
(bsc#1012628).
- powerpc/64s: Fix pte update for kernel memory on radix
(bsc#1012628).
- powerpc/pseries: Add key to flags in
pSeries_lpar_hpte_updateboltedpp() (bsc#1012628).
- powerpc/64s: Use htab_convert_pte_flags() in
hash__mark_rodata_ro() (bsc#1012628).
- powerpc/perf: Fix PMU constraint check for EBB events
(bsc#1012628).
- powerpc: iommu: fix build when neither PCI or IBMVIO is set
(bsc#1012628).
- mac80211: bail out if cipher schemes are invalid (bsc#1012628).
- perf vendor events amd: Fix broken L2 Cache Hits from L2 HWPF
metric (bsc#1012628).
- RDMA/hns: Fix missing assignment of max_inline_data
(bsc#1012628).
- xfs: fix return of uninitialized value in variable error
(bsc#1012628).
- rtw88: Fix an error code in rtw_debugfs_set_rsvd_page()
(bsc#1012628).
- mt7601u: fix always true expression (bsc#1012628).
- mt76: mt7615: fix tx skb dma unmap (bsc#1012628).
- mt76: mt7915: fix tx skb dma unmap (bsc#1012628).
- mt76: mt7921: fix suspend/resume sequence (bsc#1012628).
- mt76: mt7921: fix memory leak in mt7921_coredump_work
(bsc#1012628).
- mt76: connac: fix up the setting for ht40 mode in
mt76_connac_mcu_uni_add_bss (bsc#1012628).
- mt76: mt7921: fixup rx bitrate statistics (bsc#1012628).
- mt76: mt7615: fix memory leak in mt7615_coredump_work
(bsc#1012628).
- mt76: mt7921: fix aggr length histogram (bsc#1012628).
- mt76: mt7915: fix aggr len debugfs node (bsc#1012628).
- mt76: mt7921: fix stats register definitions (bsc#1012628).
- mt76: mt7615: fix TSF configuration (bsc#1012628).
- mt76: mt7615: fix mib stats counter reporting to mac80211
(bsc#1012628).
- mt76: mt7915: fix mib stats counter reporting to mac80211
(bsc#1012628).
- mt76: connac: fix kernel warning adding monitor interface
(bsc#1012628).
- mt76: check return value of mt76_txq_send_burst in
mt76_txq_schedule_list (bsc#1012628).
- mt76: mt7921: fix the base of PCIe interrupt (bsc#1012628).
- mt76: mt7921: fix the base of the dynamic remap (bsc#1012628).
- mt76: mt7915: fix rxrate reporting (bsc#1012628).
- mt76: mt7915: fix txrate reporting (bsc#1012628).
- mt76: mt7663: fix when beacon filter is being applied
(bsc#1012628).
- mt76: mt7663s: make all of packets 4-bytes aligned in sdio tx
aggregation (bsc#1012628).
- mt76: mt7663s: fix the possible device hang in high traffic
(bsc#1012628).
- mt76: mt7615: cleanup mcu tx queue in mt7615_dma_reset()
(bsc#1012628).
- mt76: mt7915: cleanup mcu tx queue in mt7915_dma_reset()
(bsc#1012628).
- mt76: mt7921: always wake the device in mt7921_remove_interface
(bsc#1012628).
- mt76: mt7921: fix inappropriate WoW setup with the missing
ARP informaiton (bsc#1012628).
- mt76: mt7921: fix the dwell time control (bsc#1012628).
- KVM: PPC: Book3S HV P9: Restore host CTRL SPR after guest exit
(bsc#1012628).
- ovl: show "userxattr" in the mount data (bsc#1012628).
- ovl: invalidate readdir cache on changes to dir with origin
(bsc#1012628).
- RDMA/qedr: Fix error return code in qedr_iw_connect()
(bsc#1012628).
- IB/hfi1: Fix error return code in parse_platform_config()
(bsc#1012628).
- RDMA/bnxt_re: Fix error return code in
bnxt_qplib_cq_process_terminal() (bsc#1012628).
- cxgb4: Fix unintentional sign extension issues (bsc#1012628).
- net: thunderx: Fix unintentional sign extension issue
(bsc#1012628).
- mt76: mt7921: fix kernel crash when the firmware fails to
download (bsc#1012628).
- RDMA/srpt: Fix error return code in srpt_cm_req_recv()
(bsc#1012628).
- RDMA/rtrs-clt: destroy sysfs after removing session from active
list (bsc#1012628).
- pinctrl: at91-pio4: Fix slew rate disablement (bsc#1012628).
- i2c: cadence: fix reference leak when pm_runtime_get_sync fails
(bsc#1012628).
- i2c: img-scb: fix reference leak when pm_runtime_get_sync fails
(bsc#1012628).
- i2c: imx-lpi2c: fix reference leak when pm_runtime_get_sync
fails (bsc#1012628).
- i2c: imx: fix reference leak when pm_runtime_get_sync fails
(bsc#1012628).
- i2c: omap: fix reference leak when pm_runtime_get_sync fails
(bsc#1012628).
- i2c: sprd: fix reference leak when pm_runtime_get_sync fails
(bsc#1012628).
- i2c: stm32f7: fix reference leak when pm_runtime_get_sync fails
(bsc#1012628).
- i2c: xiic: fix reference leak when pm_runtime_get_sync fails
(bsc#1012628).
- i2c: cadence: add IRQ check (bsc#1012628).
- i2c: emev2: add IRQ check (bsc#1012628).
- i2c: jz4780: add IRQ check (bsc#1012628).
- i2c: mlxbf: add IRQ check (bsc#1012628).
- i2c: rcar: add IRQ check (bsc#1012628).
- i2c: sh7760: add IRQ check (bsc#1012628).
- fuse: fix matching of FUSE_DEV_IOC_CLONE command (bsc#1012628).
- iwlwifi: rs-fw: don't support stbc for HE 160 (bsc#1012628).
- iwlwifi: dbg: disable ini debug in 9000 family and below
(bsc#1012628).
- powerpc/xive: Drop check on irq_data in xive_core_debug_show()
(bsc#1012628).
- powerpc/xive: Fix xmon command "dxi" (bsc#1012628).
- powerpc/syscall: switch user_exit_irqoff and trace_hardirqs_off
order (bsc#1012628).
- ASoC: ak5558: correct reset polarity (bsc#1012628).
- net/mlx5: Fix bit-wise and with zero (bsc#1012628).
- net/packet: remove data races in fanout operations
(bsc#1012628).
- drm/i915/gvt: Fix error code in intel_gvt_init_device()
(bsc#1012628).
- iommu/vt-d: Fix an error handling path in
'intel_prepare_irq_remapping()' (bsc#1012628).
- iommu/amd: Put newline after closing bracket in warning
(bsc#1012628).
- perf beauty: Fix fsconfig generator (bsc#1012628).
- drm/amdgpu: fix an error code in
init_pmu_entry_by_type_and_add() (bsc#1012628).
- drm/amd/pm: fix error code in smu_set_power_limit()
(bsc#1012628).
- MIPS: pci-legacy: stop using of_pci_range_to_resource
(bsc#1012628).
- powerpc/pseries: extract host bridge from pci_bus prior to
bus removal (bsc#1012628).
- iommu/mediatek: Always enable the clk on resume (bsc#1012628).
- mptcp: fix format specifiers for unsigned int (bsc#1012628).
- powerpc/smp: Reintroduce cpu_core_mask (bsc#1012628).
- KVM: x86: dump_vmcs should not assume GUEST_IA32_EFER is valid
(bsc#1012628).
- rtlwifi: 8821ae: upgrade PHY and RF parameters (bsc#1012628).
- wlcore: fix overlapping snprintf arguments in debugfs
(bsc#1012628).
- i2c: sh7760: fix IRQ error path (bsc#1012628).
- i2c: mediatek: Fix wrong dma sync flag (bsc#1012628).
- mwl8k: Fix a double Free in mwl8k_probe_hw (bsc#1012628).
- netfilter: nft_payload: fix C-VLAN offload support
(bsc#1012628).
- netfilter: nftables_offload: VLAN id needs host byteorder in
flow dissector (bsc#1012628).
- netfilter: nftables_offload: special ethertype handling for VLAN
(bsc#1012628).
- vsock/vmci: log once the failed queue pair allocation
(bsc#1012628).
- libbpf: Initialize the bpf_seq_printf parameters array field
by field (bsc#1012628).
- net: ethernet: ixp4xx: Set the DMA masks explicitly
(bsc#1012628).
- gro: fix napi_gro_frags() Fast GRO breakage due to IP alignment
check (bsc#1012628).
- RDMA/cxgb4: add missing qpid increment (bsc#1012628).
- RDMA/i40iw: Fix error unwinding when i40iw_hmc_sd_one fails
(bsc#1012628).
- ALSA: usb: midi: don't return -ENOMEM when usb_urb_ep_type_check
fails (bsc#1012628).
- sfc: ef10: fix TX queue lookup in TX event handling
(bsc#1012628).
- vsock/virtio: free queued packets when closing socket
(bsc#1012628).
- net: marvell: prestera: fix port event handling on init
(bsc#1012628).
- net: davinci_emac: Fix incorrect masking of tx and rx error
channel (bsc#1012628).
- rtw88: refine napi deinit flow (bsc#1012628).
- mt76: mt7615: fix memleak when mt7615_unregister_device()
(bsc#1012628).
- mt76: mt7915: fix memleak when mt7915_unregister_device()
(bsc#1012628).
- mt76: mt7921: run mt7921_mcu_fw_log_2_host holding mt76 mutex
(bsc#1012628).
- powerpc/pseries/iommu: Fix window size for direct mapping with
pmem (bsc#1012628).
- crypto: ccp: Detect and reject "invalid" addresses destined
for PSP (bsc#1012628).
- net: dsa: mv88e6xxx: Fix off-by-one in VTU devlink region size
(bsc#1012628).
- nfp: devlink: initialize the devlink port attribute "lanes"
(bsc#1012628).
- net: stmmac: fix TSO and TBS feature enabling during driver open
(bsc#1012628).
- net: renesas: ravb: Fix a stuck issue when a lot of frames
are received (bsc#1012628).
- net: phy: intel-xway: enable integrated led functions
(bsc#1012628).
- mt76: mt7615: Fix a dereference of pointer sta before it is
null checked (bsc#1012628).
- mt76: mt7921: fix possible invalid register access
(bsc#1012628).
- RDMA/rxe: Fix a bug in rxe_fill_ip_info() (bsc#1012628).
- RDMA/core: Add CM to restrack after successful attachment to
a device (bsc#1012628).
- powerpc/64: Fix the definition of the fixmap area (bsc#1012628).
- ath9k: Fix error check in ath9k_hw_read_revisions() for PCI
devices (bsc#1012628).
- ath10k: Fix a use after free in ath10k_htc_send_bundle
(bsc#1012628).
- ath10k: Fix ath10k_wmi_tlv_op_pull_peer_stats_info() unlock
without lock (bsc#1012628).
- wlcore: Fix buffer overrun by snprintf due to incorrect buffer
size (bsc#1012628).
- powerpc/perf: Fix the threshold event selection for memory
events in power10 (bsc#1012628).
- powerpc/52xx: Fix an invalid ASM expression ('addi' used
instead of 'add') (bsc#1012628).
- net: phy: marvell: fix m88e1011_set_downshift (bsc#1012628).
- net: phy: marvell: fix m88e1111_set_downshift (bsc#1012628).
- net: enetc: fix link error again (bsc#1012628).
- net, xdp: Update pkt_type if generic XDP changes unicast MAC
(bsc#1012628).
- bnxt_en: fix ternary sign extension bug in bnxt_show_temp()
(bsc#1012628).
- ARM: dts: uniphier: Change phy-mode to RGMII-ID to enable
delay pins for RTL8211E (bsc#1012628).
- arm64: dts: uniphier: Change phy-mode to RGMII-ID to enable
delay pins for RTL8211E (bsc#1012628).
- net: geneve: modify IP header check in geneve6_xmit_skb and
geneve_xmit_skb (bsc#1012628).
- selftests: net: mirror_gre_vlan_bridge_1q: Make an FDB entry
static (bsc#1012628).
- selftests: mlxsw: Remove a redundant if statement in port_scale
test (bsc#1012628).
- selftests: mlxsw: Remove a redundant if statement in
tc_flower_scale test (bsc#1012628).
- mptcp: Retransmit DATA_FIN (bsc#1012628).
- bnxt_en: Fix RX consumer index logic in the error path
(bsc#1012628).
- KVM: VMX: Intercept FS/GS_BASE MSR accesses for 32-bit KVM
(bsc#1012628).
- KVM: SVM: Zero out the VMCB array used to track SEV ASID
association (bsc#1012628).
- KVM: SVM: Free sev_asid_bitmap during init if SEV setup fails
(bsc#1012628).
- KVM: SVM: Disable SEV/SEV-ES if NPT is disabled (bsc#1012628).
- net/sched: act_ct: fix wild memory access when clearing
fragments (bsc#1012628).
- net:emac/emac-mac: Fix a use after free in emac_mac_tx_buf_send
(bsc#1012628).
- selftests/bpf: Fix BPF_CORE_READ_BITFIELD() macro (bsc#1012628).
- selftests/bpf: Fix field existence CO-RE reloc tests
(bsc#1012628).
- selftests/bpf: Fix core_reloc test runner (bsc#1012628).
- bpf: Fix propagation of 32 bit unsigned bounds from 64 bit
bounds (bsc#1012628).
- RDMA/siw: Fix a use after free in siw_alloc_mr (bsc#1012628).
- RDMA/bnxt_re: Fix a double free in bnxt_qplib_alloc_res
(bsc#1012628).
- net: bridge: mcast: fix broken length + header check for MRDv6
Adv (bsc#1012628).
- net: dsa: mv88e6xxx: Fix 6095/6097/6185 ports in non-SERDES
CMODE (bsc#1012628).
- net:nfc:digital: Fix a double free in digital_tg_recv_dep_req
(bsc#1012628).
- perf tools: Change fields type in perf_record_time_conv
(bsc#1012628).
- perf jit: Let convert_timestamp() to be backwards-compatible
(bsc#1012628).
- perf session: Add swap operation for event TIME_CONV
(bsc#1012628).
- ia64: ensure proper NUMA distance and possible map
initialization (bsc#1012628).
- ia64: fix EFI_DEBUG build (bsc#1012628).
- kfifo: fix ternary sign extension bugs (bsc#1012628).
- mm: memcontrol: slab: fix obtain a reference to a freeing memcg
(bsc#1012628).
- mm/sparse: add the missing sparse_buffer_fini() in error branch
(bsc#1012628).
- mm/memory-failure: unnecessary amount of unmapping
(bsc#1012628).
- afs: Fix speculative status fetches (bsc#1012628).
- bpf: Fix alu32 const subreg bound tracking on bitwise operations
(bsc#1012628).
- bpf, ringbuf: Deny reserve of buffers larger than ringbuf
(bsc#1012628).
- bpf: Prevent writable memory-mapping of read-only ringbuf pages
(bsc#1012628).
- net: Only allow init netns to set default tcp cong to a
restricted algo (bsc#1012628).
- smp: Fix smp_call_function_single_async prototype (bsc#1012628).
- Refresh patches.suse/firmware-xilinx-Add-pinctrl-support.patch.
- Update config files.
- commit f003acc
-------------------------------------------------------------------
Fri May 14 19:15:43 CEST 2021 - tiwai@suse.de
- drm/radeon: use the dummy page for GART if needed (bsc#1185516).
- commit 25fe027
-------------------------------------------------------------------
Fri May 14 19:03:36 CEST 2021 - tiwai@suse.de
- ath11k: Clear the fragment cache during key install
(CVE-2020-24586 CVE-2020-24587 CVE-2020-26145 CVE-2020-24588
CVE-2020-24587 CVE-2020-26141 CVE-2020-26139 bsc#1185859
bsc#1185860 bsc#1185861 bsc#1185862 bsc#1185863 bsc#1185987
bsc#1186062).
- ath10k: Validate first subframe of A-MSDU before processing
the list (CVE-2020-24586 CVE-2020-24587 CVE-2020-26145
CVE-2020-24588 CVE-2020-24587 CVE-2020-26141 CVE-2020-26139
bsc#1185859 bsc#1185860 bsc#1185861 bsc#1185862 bsc#1185863
bsc#1185987 bsc#1186062).
- ath10k: Fix TKIP Michael MIC verification for PCIe
(CVE-2020-24586 CVE-2020-24587 CVE-2020-26145 CVE-2020-24588
CVE-2020-24587 CVE-2020-26141 CVE-2020-26139 bsc#1185859
bsc#1185860 bsc#1185861 bsc#1185862 bsc#1185863 bsc#1185987
bsc#1186062).
- ath10k: drop MPDU which has discard flag set by firmware
for SDIO (CVE-2020-24586 CVE-2020-24587 CVE-2020-26145
CVE-2020-24588 CVE-2020-24587 CVE-2020-26141 CVE-2020-26139
bsc#1185859 bsc#1185860 bsc#1185861 bsc#1185862 bsc#1185863
bsc#1185987 bsc#1186062).
- ath10k: drop fragments with multicast DA for SDIO
(CVE-2020-24586 CVE-2020-24587 CVE-2020-26145 CVE-2020-24588
CVE-2020-24587 CVE-2020-26141 CVE-2020-26139 bsc#1185859
bsc#1185860 bsc#1185861 bsc#1185862 bsc#1185863 bsc#1185987
bsc#1186062).
- ath10k: drop fragments with multicast DA for PCIe
(CVE-2020-24586 CVE-2020-24587 CVE-2020-26145 CVE-2020-24588
CVE-2020-24587 CVE-2020-26141 CVE-2020-26139 bsc#1185859
bsc#1185860 bsc#1185861 bsc#1185862 bsc#1185863 bsc#1185987
bsc#1186062).
- ath10k: add CCMP PN replay protection for fragmented frames
for PCIe (CVE-2020-24586 CVE-2020-24587 CVE-2020-26145
CVE-2020-24588 CVE-2020-24587 CVE-2020-26141 CVE-2020-26139
bsc#1185859 bsc#1185860 bsc#1185861 bsc#1185862 bsc#1185863
bsc#1185987 bsc#1186062).
- mac80211: extend protection against mixed key and fragment
cache attacks (CVE-2020-24586 CVE-2020-24587 CVE-2020-26145
CVE-2020-24588 CVE-2020-24587 CVE-2020-26141 CVE-2020-26139
bsc#1185859 bsc#1185860 bsc#1185861 bsc#1185862 bsc#1185863
bsc#1185987 bsc#1186062).
- mac80211: do not accept/forward invalid EAPOL frames
(CVE-2020-24586 CVE-2020-24587 CVE-2020-26145 CVE-2020-24588
CVE-2020-24587 CVE-2020-26141 CVE-2020-26139 bsc#1185859
bsc#1185860 bsc#1185861 bsc#1185862 bsc#1185863 bsc#1185987
bsc#1186062).
- mac80211: prevent attacks on TKIP/WEP as well (CVE-2020-24586
CVE-2020-24587 CVE-2020-26145 CVE-2020-24588 CVE-2020-24587
CVE-2020-26141 CVE-2020-26139 bsc#1185859 bsc#1185860
bsc#1185861 bsc#1185862 bsc#1185863 bsc#1185987 bsc#1186062).
- mac80211: check defrag PN against current frame (CVE-2020-24586
CVE-2020-24587 CVE-2020-26145 CVE-2020-24588 CVE-2020-24587
CVE-2020-26141 CVE-2020-26139 bsc#1185859 bsc#1185860
bsc#1185861 bsc#1185862 bsc#1185863 bsc#1185987 bsc#1186062).
- mac80211: add fragment cache to sta_info (CVE-2020-24586
CVE-2020-24587 CVE-2020-26145 CVE-2020-24588 CVE-2020-24587
CVE-2020-26141 CVE-2020-26139 bsc#1185859 bsc#1185860
bsc#1185861 bsc#1185862 bsc#1185863 bsc#1185987 bsc#1186062).
- mac80211: drop A-MSDUs on old ciphers (CVE-2020-24586
CVE-2020-24587 CVE-2020-26145 CVE-2020-24588 CVE-2020-24587
CVE-2020-26141 CVE-2020-26139 bsc#1185859 bsc#1185860
bsc#1185861 bsc#1185862 bsc#1185863 bsc#1185987 bsc#1186062).
- cfg80211: mitigate A-MSDU aggregation attacks (CVE-2020-24586
CVE-2020-24587 CVE-2020-26145 CVE-2020-24588 CVE-2020-24587
CVE-2020-26141 CVE-2020-26139 bsc#1185859 bsc#1185860
bsc#1185861 bsc#1185862 bsc#1185863 bsc#1185987 bsc#1186062).
- mac80211: properly handle A-MSDUs that start with an RFC
1042 header (CVE-2020-24586 CVE-2020-24587 CVE-2020-26145
CVE-2020-24588 CVE-2020-24587 CVE-2020-26141 CVE-2020-26139
bsc#1185859 bsc#1185860 bsc#1185861 bsc#1185862 bsc#1185863
bsc#1185987 bsc#1186062).
- mac80211: prevent mixed key and fragment cache attacks
(CVE-2020-24586 CVE-2020-24587 CVE-2020-26145 CVE-2020-24588
CVE-2020-24587 CVE-2020-26141 CVE-2020-26139 bsc#1185859
bsc#1185860 bsc#1185861 bsc#1185862 bsc#1185863 bsc#1185987
bsc#1186062).
- mac80211: assure all fragments are encrypted (CVE-2020-24586
CVE-2020-24587 CVE-2020-26145 CVE-2020-24588 CVE-2020-24587
CVE-2020-26141 CVE-2020-26139 bsc#1185859 bsc#1185860
bsc#1185861 bsc#1185862 bsc#1185863 bsc#1185987 bsc#1186062).
- commit 469e487
-------------------------------------------------------------------
Fri May 14 13:58:06 CEST 2021 - iivanov@suse.de
- pinctrl: bcm2835: Accept fewer than expected IRQs (bsc#1181942)
- commit af44426
-------------------------------------------------------------------
Wed May 12 12:42:36 CEST 2021 - tiwai@suse.de
- Delete patches.suse/radeon-workaround.patch.
An upstream fix follows
- commit ff0b740
-------------------------------------------------------------------
Wed May 12 10:43:00 CEST 2021 - glin@suse.com
- bpf: Prevent writable memory-mapping of read-only ringbuf pages
(bsc#1185640 CVE-2021-3489).
- bpf, ringbuf: Deny reserve of buffers larger than ringbuf
(bsc#1185640 CVE-2021-3489).
- bpf: Fix alu32 const subreg bound tracking on bitwise operations
(bsc#1185641 CVE-2021-3490).
- commit 1f475c8
-------------------------------------------------------------------
Wed May 12 09:37:24 CEST 2021 - jslaby@suse.cz
- Revert "drm/qxl: do not run release if qxl failed to init"
(git-fixes).
- drm/amdgpu/display/dm: add missing parameter documentation
(git-fixes).
- drm/amdgpu/display: remove redundant continue statement
(git-fixes).
- ALSA: hda/realtek: ALC285 Thinkpad jack pin quirk is unreachable
(git-fixes).
- io_uring: update sq_thread_idle after ctx deleted (git-fixes).
- commit 6e5c933
-------------------------------------------------------------------
Wed May 12 09:30:14 CEST 2021 - jslaby@suse.cz
- Linux 5.12.3 (bsc#1012628).
- bus: mhi: core: Fix check for syserr at power_up (bsc#1012628).
- bus: mhi: core: Clear configuration from channel context during
reset (bsc#1012628).
- bus: mhi: core: Sanity check values from remote device before
use (bsc#1012628).
- bus: mhi: core: Add missing checks for MMIO register entries
(bsc#1012628).
- bus: mhi: pci_generic: Remove WQ_MEM_RECLAIM flag from state
workqueue (bsc#1012628).
- bus: mhi: core: Fix MHI runtime_pm behavior (bsc#1012628).
- bus: mhi: core: Fix invalid error returning in mhi_queue
(bsc#1012628).
- nitro_enclaves: Fix stale file descriptors on failed usercopy
(bsc#1012628).
- dyndbg: fix parsing file query without a line-range suffix
(bsc#1012628).
- s390/disassembler: increase ebpf disasm buffer size
(bsc#1012628).
- s390/zcrypt: fix zcard and zqueue hot-unplug memleak
(bsc#1012628).
- s390/vfio-ap: fix circular lockdep when setting/clearing crypto
masks (bsc#1012628).
- s390/cio: remove invalid condition on IO_SCH_UNREG
(bsc#1012628).
- vhost-vdpa: fix vm_flags for virtqueue doorbell mapping
(bsc#1012628).
- tpm: acpi: Check eventlog signature before using it
(bsc#1012628).
- ACPI: custom_method: fix potential use-after-free issue
(bsc#1012628).
- ACPI: custom_method: fix a possible memory leak (bsc#1012628).
- ftrace: Handle commands when closing set_ftrace_filter file
(bsc#1012628).
- ARM: 9056/1: decompressor: fix BSS size calculation for LLVM
ld.lld (bsc#1012628).
- arm64: dts: marvell: armada-37xx: add syscon compatible to NB
clk node (bsc#1012628).
- arm64: dts: mt8173: fix property typo of 'phys' in dsi node
(bsc#1012628).
- ecryptfs: fix kernel panic with null dev_name (bsc#1012628).
- fs/epoll: restore waking from ep_done_scan() (bsc#1012628).
- reset: add missing empty function reset_control_rearm()
(bsc#1012628).
- mtd: spi-nor: core: Fix an issue of releasing resources during
read/write (bsc#1012628).
- Revert "mtd: spi-nor: macronix: Add support for mx25l51245g"
(bsc#1012628).
- mtd: spinand: core: add missing MODULE_DEVICE_TABLE()
(bsc#1012628).
- mtd: rawnand: atmel: Update ecc_stats.corrected counter
(bsc#1012628).
- mtd: physmap: physmap-bt1-rom: Fix unintentional stack access
(bsc#1012628).
- erofs: add unsupported inode i_format check (bsc#1012628).
- spi: stm32-qspi: fix pm_runtime usage_count counter
(bsc#1012628).
- spi: spi-ti-qspi: Free DMA resources (bsc#1012628).
- libceph: bump CephXAuthenticate encoding version (bsc#1012628).
- libceph: allow addrvecs with a single NONE/blank address
(bsc#1012628).
- scsi: qla2xxx: Reserve extra IRQ vectors (bsc#1012628).
- scsi: lpfc: Fix rmmod crash due to bad ring pointers to
abort_iotag (bsc#1012628).
- scsi: qla2xxx: Fix crash in qla2xxx_mqueuecommand()
(bsc#1012628).
- scsi: mpt3sas: Only one vSES is present even when IOC has
multi vSES (bsc#1012628).
- scsi: mpt3sas: Block PCI config access from userspace during
reset (bsc#1012628).
- mmc: uniphier-sd: Fix an error handling path in
uniphier_sd_probe() (bsc#1012628).
- mmc: uniphier-sd: Fix a resource leak in the remove function
(bsc#1012628).
- mmc: sdhci: Check for reset prior to DMA address unmap
(bsc#1012628).
- mmc: sdhci-pci: Fix initialization of some SD cards for Intel
BYT-based controllers (bsc#1012628).
- mmc: sdhci-tegra: Add required callbacks to set/clear CQE_EN
bit (bsc#1012628).
- mmc: block: Update ext_csd.cache_ctrl if it was written
(bsc#1012628).
- mmc: block: Issue a cache flush only when it's enabled
(bsc#1012628).
- mmc: core: Do a power cycle when the CMD11 fails (bsc#1012628).
- mmc: core: Set read only for SD cards with permanent write
protect bit (bsc#1012628).
- mmc: core: Fix hanging on I/O during system suspend for
removable cards (bsc#1012628).
- irqchip/gic-v3: Do not enable irqs when handling spurious
interrups (bsc#1012628).
- cifs: Return correct error code from smb2_get_enc_key
(bsc#1012628).
- cifs: fix out-of-bound memory access when calling smb3_notify()
at mount point (bsc#1012628).
- cifs: fix leak in cifs_smb3_do_mount() ctx (bsc#1012628).
- cifs: detect dead connections only when echoes are enabled
(bsc#1012628).
- cifs: fix regression when mounting shares with prefix paths
(bsc#1012628).
- smb2: fix use-after-free in smb2_ioctl_query_info()
(bsc#1012628).
- btrfs: handle remount to no compress during compression
(bsc#1012628).
- x86/build: Disable HIGHMEM64G selection for M486SX
(bsc#1012628).
- btrfs: fix metadata extent leak after failure to create
subvolume (bsc#1012628).
- intel_th: pci: Add Rocket Lake CPU support (bsc#1012628).
- btrfs: fix race between transaction aborts and fsyncs leading
to use-after-free (bsc#1012628).
- btrfs: zoned: fix unpaired block group unfreeze during device
replace (bsc#1012628).
- btrfs: zoned: fail mount if the device does not support zone
append (bsc#1012628).
- posix-timers: Preserve return value in clock_adjtime32()
(bsc#1012628).
- fbdev: zero-fill colormap in fbcmap.c (bsc#1012628).
- cpuidle: tegra: Fix C7 idling state on Tegra114 (bsc#1012628).
- bus: ti-sysc: Probe for l4_wkup and l4_cfg interconnect devices
first (bsc#1012628).
- staging: wimax/i2400m: fix byte-order issue (bsc#1012628).
- spi: ath79: always call chipselect function (bsc#1012628).
- spi: ath79: remove spi-master setup and cleanup assignment
(bsc#1012628).
- bus: mhi: pci_generic: No-Op for device_wake operations
(bsc#1012628).
- bus: mhi: core: Destroy SBL devices when moving to mission mode
(bsc#1012628).
- bus: mhi: core: Process execution environment changes serially
(bsc#1012628).
- crypto: api - check for ERR pointers in crypto_destroy_tfm()
(bsc#1012628).
- crypto: qat - fix unmap invalid dma address (bsc#1012628).
- usb: gadget: uvc: add bInterval checking for HS mode
(bsc#1012628).
- usb: webcam: Invalid size of Processing Unit Descriptor
(bsc#1012628).
- x86/sev: Do not require Hypervisor CPUID bit for SEV guests
(bsc#1012628).
- crypto: hisilicon/sec - fixes a printing error (bsc#1012628).
- genirq/matrix: Prevent allocation counter corruption
(bsc#1012628).
- usb: gadget: f_uac2: validate input parameters (bsc#1012628).
- usb: gadget: f_uac1: validate input parameters (bsc#1012628).
- usb: dwc3: gadget: Ignore EP queue requests during bus reset
(bsc#1012628).
- usb: xhci: Fix port minor revision (bsc#1012628).
- kselftest/arm64: mte: Fix compilation with native compiler
(bsc#1012628).
- ARM: tegra: acer-a500: Rename avdd to vdda of touchscreen node
(bsc#1012628).
- PCI: PM: Do not read power state in pci_enable_device_flags()
(bsc#1012628).
- kselftest/arm64: mte: Fix MTE feature detection (bsc#1012628).
- ARM: dts: BCM5301X: fix "reg" formatting in /memory node
(bsc#1012628).
- ARM: dts: ux500: Fix up TVK R3 sensors (bsc#1012628).
- x86/build: Propagate $(CLANG_FLAGS) to $(REALMODE_FLAGS)
(bsc#1012628).
- x86/boot: Add $(CLANG_FLAGS) to compressed KBUILD_CFLAGS
(bsc#1012628).
- efi/libstub: Add $(CLANG_FLAGS) to x86 flags (bsc#1012628).
- soc/tegra: pmc: Fix completion of power-gate toggling
(bsc#1012628).
- arm64: dts: imx8mq-librem5-r3: Mark buck3 as always on
(bsc#1012628).
- tee: optee: do not check memref size on return from Secure World
(bsc#1012628).
- soundwire: cadence: only prepare attached devices on clock stop
(bsc#1012628).
- perf/arm_pmu_platform: Use dev_err_probe() for IRQ errors
(bsc#1012628).
- perf/arm_pmu_platform: Fix error handling (bsc#1012628).
- random: initialize ChaCha20 constants with correct endianness
(bsc#1012628).
- usb: xhci-mtk: support quirk to disable usb2 lpm (bsc#1012628).
- fpga: dfl: pci: add DID for D5005 PAC cards (bsc#1012628).
- xhci: check port array allocation was successful before
dereferencing it (bsc#1012628).
- xhci: check control context is valid before dereferencing it
(bsc#1012628).
- xhci: fix potential array out of bounds with several
interrupters (bsc#1012628).
- xhci: prevent double-fetch of transfer and transfer event TRBs
(bsc#1012628).
- bus: mhi: core: Clear context for stopped channels from remove()
(bsc#1012628).
- bus: mhi: pci_generic: Implement PCI shutdown callback
(bsc#1012628).
- ARM: dts: at91: change the key code of the gpio key
(bsc#1012628).
- tools/power/x86/intel-speed-select: Increase string size
(bsc#1012628).
- platform/x86: ISST: Account for increased timeout in some cases
(bsc#1012628).
- clocksource/drivers/dw_apb_timer_of: Add handling for potential
memory leak (bsc#1012628).
- resource: Prevent irqresource_disabled() from erasing flags
(bsc#1012628).
- spi: dln2: Fix reference leak to master (bsc#1012628).
- spi: omap-100k: Fix reference leak to master (bsc#1012628).
- spi: qup: fix PM reference leak in spi_qup_remove()
(bsc#1012628).
- usb: dwc3: pci: add support for the Intel Alder Lake-M
(bsc#1012628).
- usb: gadget: tegra-xudc: Fix possible use-after-free in
tegra_xudc_remove() (bsc#1012628).
- usb: musb: fix PM reference leak in musb_irq_work()
(bsc#1012628).
- usb: core: hub: Fix PM reference leak in usb_port_resume()
(bsc#1012628).
- usb: dwc3: gadget: Check for disabled LPM quirk (bsc#1012628).
- tty: n_gsm: check error while registering tty devices
(bsc#1012628).
- intel_th: Consistency and off-by-one fix (bsc#1012628).
- phy: phy-twl4030-usb: Fix possible use-after-free in
twl4030_usb_remove() (bsc#1012628).
- crypto: sun4i-ss - Fix PM reference leak when
pm_runtime_get_sync() fails (bsc#1012628).
- crypto: sun8i-ss - Fix PM reference leak when
pm_runtime_get_sync() fails (bsc#1012628).
- crypto: sun8i-ce - Fix PM reference leak in sun8i_ce_probe()
(bsc#1012628).
- crypto: stm32/hash - Fix PM reference leak on stm32-hash.c
(bsc#1012628).
- crypto: stm32/cryp - Fix PM reference leak on stm32-cryp.c
(bsc#1012628).
- crypto: sa2ul - Fix PM reference leak in sa_ul_probe()
(bsc#1012628).
- crypto: omap-aes - Fix PM reference leak on omap-aes.c
(bsc#1012628).
- platform/x86: intel_pmc_core: Don't use global pmcdev in quirks
(bsc#1012628).
- spi: sync up initial chipselect state (bsc#1012628).
- btrfs: use btrfs_inode_lock/btrfs_inode_unlock inode lock
helpers (bsc#1012628).
- btrfs: fix race between marking inode needs to be logged and
log syncing (bsc#1012628).
- btrfs: fix exhaustion of the system chunk array due to
concurrent allocations (bsc#1012628).
- btrfs: do proper error handling in create_reloc_root
(bsc#1012628).
- btrfs: do proper error handling in btrfs_update_reloc_root
(bsc#1012628).
- btrfs: convert logic BUG_ON()'s in replace_path to ASSERT()'s
(bsc#1012628).
- regulator: da9121: automotive variants identity fix
(bsc#1012628).
- drm: Added orientation quirk for OneGX1 Pro (bsc#1012628).
- drm/qxl: do not run release if qxl failed to init (bsc#1012628).
- drm/qxl: release shadow on shutdown (bsc#1012628).
- drm/ast: Fix invalid usage of AST_MAX_HWC_WIDTH in cursor
atomic_check (bsc#1012628).
- drm/amd/display: changing sr exit latency (bsc#1012628).
- drm/amd/display: Fix MPC OGAM power on/off sequence
(bsc#1012628).
- drm/amd/pm: do not issue message while write "r" into
pp_od_clk_voltage (bsc#1012628).
- drm/ast: fix memory leak when unload the driver (bsc#1012628).
- drm/amd/display: Check for DSC support instead of ASIC revision
(bsc#1012628).
- drm/amd/display: Don't optimize bandwidth before disabling
planes (bsc#1012628).
- drm/amd/display: Return invalid state if GPINT times out
(bsc#1012628).
- drm/amdgpu/display: buffer INTERRUPT_LOW_IRQ_CONTEXT interrupt
work (bsc#1012628).
- drm/amd/display/dc/dce/dce_aux: Remove duplicate line causing
'field overwritten' issue (bsc#1012628).
- scsi: lpfc: Fix incorrect dbde assignment when building target
abts wqe (bsc#1012628).
- scsi: lpfc: Fix pt2pt connection does not recover after LOGO
(bsc#1012628).
- scsi: lpfc: Fix status returned in lpfc_els_retry() error exit
path (bsc#1012628).
- scsi: lpfc: Fix PLOGI ACC to be transmit after REG_LOGIN
(bsc#1012628).
- scsi: lpfc: Fix ADISC handling that never frees nodes
(bsc#1012628).
- drm/amd/pm/swsmu: clean up user profile function (bsc#1012628).
- drm/amdgpu: Fix some unload driver issues (bsc#1012628).
- sched/fair: Fix task utilization accountability in
compute_energy() (bsc#1012628).
- sched/pelt: Fix task util_est update filtering (bsc#1012628).
- sched/topology: fix the issue groups don't span domain->span
for NUMA diameter > 2 (bsc#1012628).
- kvfree_rcu: Use same set of GFP flags as does single-argument
(bsc#1012628).
- drm/virtio: fix possible leak/unlock virtio_gpu_object_array
(bsc#1012628).
- scsi: target: pscsi: Fix warning in pscsi_complete_cmd()
(bsc#1012628).
- media: ite-cir: check for receive overflow (bsc#1012628).
- media: drivers: media: pci: sta2x11: fix Kconfig dependency
on GPIOLIB (bsc#1012628).
- media: drivers/media/usb: fix memory leak in zr364xx_probe
(bsc#1012628).
- media: cx23885: add more quirks for reset DMA on some AMD IOMMU
(bsc#1012628).
- media: imx: capture: Return -EPIPE from
__capture_legacy_try_fmt() (bsc#1012628).
- atomisp: don't let it go past pipes array (bsc#1012628).
- power: supply: bq27xxx: fix power_avg for newer ICs
(bsc#1012628).
- extcon: arizona: Fix some issues when HPDET IRQ fires after
the jack has been unplugged (bsc#1012628).
- extcon: arizona: Fix various races on driver unbind
(bsc#1012628).
- media: venus: core, venc, vdec: Fix probe dependency error
(bsc#1012628).
- s390/qdio: let driver manage the QAOB (bsc#1012628).
- media: media/saa7164: fix saa7164_encoder_register() memory
leak bugs (bsc#1012628).
- media: gspca/sq905.c: fix uninitialized variable (bsc#1012628).
- media: v4l2-ctrls.c: initialize flags field of p_fwht_params
(bsc#1012628).
- power: supply: Use IRQF_ONESHOT (bsc#1012628).
- backlight: qcom-wled: Use sink_addr for sync toggle
(bsc#1012628).
- backlight: qcom-wled: Fix FSC update issue for WLED5
(bsc#1012628).
- drm/amdgpu: enable retry fault wptr overflow (bsc#1012628).
- drm/amdgpu: enable 48-bit IH timestamp counter (bsc#1012628).
- drm/amdgpu: mask the xgmi number of hops reported from psp to
kfd (bsc#1012628).
- drm/amdkfd: Fix UBSAN shift-out-of-bounds warning (bsc#1012628).
- drm/amd/display: Align cursor cache address to 2KB
(bsc#1012628).
- drm/amdgpu : Fix asic reset regression issue introduce by
8f211fe8ac7c4f (bsc#1012628).
- drm/amd/pm: fix workload mismatch on vega10 (bsc#1012628).
- drm/amd/display: Fix UBSAN warning for not a valid value for
type '_Bool' (bsc#1012628).
- drm/amd/display: DCHUB underflow counter increasing in some
scenarios (bsc#1012628).
- drm/amd/display: fix dml prefetch validation (bsc#1012628).
- drm/amd/display: Fix potential memory leak (bsc#1012628).
- scsi: qla2xxx: Always check the return value of
qla24xx_get_isp_stats() (bsc#1012628).
- drm/vkms: fix misuse of WARN_ON (bsc#1012628).
- block, bfq: fix weight-raising resume with !low_latency
(bsc#1012628).
- scsi: qla2xxx: Fix use after free in bsg (bsc#1012628).
- mmc: sdhci-esdhc-imx: validate pinctrl before use it
(bsc#1012628).
- mmc: sdhci-pci: Add PCI IDs for Intel LKF (bsc#1012628).
- mmc: sdhci-brcmstb: Remove CQE quirk (bsc#1012628).
- ata: ahci: Disable SXS for Hisilicon Kunpeng920 (bsc#1012628).
- drm/komeda: Fix bit check to import to value of proper type
(bsc#1012628).
- nvmet: return proper error code from discovery ctrl
(bsc#1012628).
- selftests/resctrl: Enable gcc checks to detect buffer overflows
(bsc#1012628).
- selftests/resctrl: Fix compilation issues for global variables
(bsc#1012628).
- selftests/resctrl: Fix compilation issues for other global
variables (bsc#1012628).
- selftests/resctrl: Clean up resctrl features check
(bsc#1012628).
- selftests/resctrl: Fix missing options "-n" and "-p"
(bsc#1012628).
- selftests/resctrl: Use resctrl/info for feature detection
(bsc#1012628).
- selftests/resctrl: Fix incorrect parsing of iMC counters
(bsc#1012628).
- selftests/resctrl: Fix checking for < 0 for unsigned values
(bsc#1012628).
- power: supply: cpcap-charger: fix small mistake in current to
register conversion (bsc#1012628).
- power: supply: cpcap-charger: Add usleep to cpcap charger to
avoid usb plug bounce (bsc#1012628).
- scsi: smartpqi: Use host-wide tag space (bsc#1012628).
- scsi: smartpqi: Correct request leakage during reset operations
(bsc#1012628).
- scsi: smartpqi: Add new PCI IDs (bsc#1012628).
- scsi: scsi_dh_alua: Remove check for ASC 24h in alua_rtpg()
(bsc#1012628).
- media: em28xx: fix memory leak (bsc#1012628).
- media: vivid: update EDID (bsc#1012628).
- media: uvcvideo: Fix XU id print in forward scan (bsc#1012628).
- media: uvcvideo: Support devices that report an OT as an entity
source (bsc#1012628).
- drm/msm/a6xx: Fix perfcounter oob timeout (bsc#1012628).
- drm/msm/dp: Fix incorrect NULL check kbot warnings in DP driver
(bsc#1012628).
- clk: socfpga: arria10: Fix memory leak of socfpga_clk on error
return (bsc#1012628).
- power: supply: generic-adc-battery: fix possible use-after-free
in gab_remove() (bsc#1012628).
- power: supply: s3c_adc_battery: fix possible use-after-free
in s3c_adc_bat_remove() (bsc#1012628).
- media: tc358743: fix possible use-after-free in
tc358743_remove() (bsc#1012628).
- media: adv7604: fix possible use-after-free in adv76xx_remove()
(bsc#1012628).
- media: i2c: adv7511-v4l2: fix possible use-after-free in
adv7511_remove() (bsc#1012628).
- media: i2c: tda1997: Fix possible use-after-free in
tda1997x_remove() (bsc#1012628).
- media: i2c: adv7842: fix possible use-after-free in
adv7842_remove() (bsc#1012628).
- media: platform: sti: Fix runtime PM imbalance in regs_show
(bsc#1012628).
- media: sun8i-di: Fix runtime PM imbalance in
deinterlace_start_streaming (bsc#1012628).
- media: dvb-usb: fix memory leak in dvb_usb_adapter_init
(bsc#1012628).
- media: gscpa/stv06xx: fix memory leak (bsc#1012628).
- sched/fair: Bring back select_idle_smt(), but differently
(bsc#1012628).
- sched/fair: Ignore percpu threads for imbalance pulls
(bsc#1012628).
- drm/msm/mdp5: Configure PP_SYNC_HEIGHT to double the vtotal
(bsc#1012628).
- drm/msm/mdp5: Do not multiply vclk line count by 100
(bsc#1012628).
- drm/amdgpu/ttm: Fix memory leak userptr pages (bsc#1012628).
- drm/radeon/ttm: Fix memory leak userptr pages (bsc#1012628).
- drm/amd/display: Fix debugfs link_settings entry (bsc#1012628).
- drm/amd/display: Fix UBSAN: shift-out-of-bounds warning
(bsc#1012628).
- drm/radeon: don't evict if not initialized (bsc#1012628).
- drm/amdkfd: Fix cat debugfs hang_hws file causes system crash
bug (bsc#1012628).
- amdgpu: avoid incorrect %hu format string (bsc#1012628).
- drm/amdgpu/display: fix memory leak for dimgrey cavefish
(bsc#1012628).
- drm/amd/display: Try YCbCr420 color when YCbCr444 fails
(bsc#1012628).
- drm/amdgpu: fix NULL pointer dereference (bsc#1012628).
- drm/amd/display: Update DCN302 SR Exit Latency (bsc#1012628).
- scsi: mpt3sas: Fix out-of-bounds warnings in
_ctl_addnl_diag_query (bsc#1012628).
- scsi: lpfc: Fix crash when a REG_RPI mailbox fails triggering
a LOGO response (bsc#1012628).
- scsi: lpfc: Fix reference counting errors in lpfc_cmpl_els_rsp()
(bsc#1012628).
- scsi: lpfc: Fix error handling for mailboxes completed in
MBX_POLL mode (bsc#1012628).
- scsi: lpfc: Remove unsupported mbox PORT_CAPABILITIES logic
(bsc#1012628).
- mfd: intel-m10-bmc: Fix the register access range (bsc#1012628).
- mfd: da9063: Support SMBus and I2C mode (bsc#1012628).
- mfd: arizona: Fix rumtime PM imbalance on error (bsc#1012628).
- scsi: libfc: Fix a format specifier (bsc#1012628).
- perf: Rework perf_event_exit_event() (bsc#1012628).
- sched,fair: Alternative sched_slice() (bsc#1012628).
- block/rnbd-srv: Prevent a deadlock generated by accessing
sysfs in parallel (bsc#1012628).
- block/rnbd-clt: Fix missing a memory free when unloading the
module (bsc#1012628).
- io_uring: safer sq_creds putting (bsc#1012628).
- s390/archrandom: add parameter check for
s390_arch_random_generate (bsc#1012628).
- sched,psi: Handle potential task count underflow bugs more
gracefully (bsc#1012628).
- nvmet: avoid queuing keep-alive timer if it is disabled
(bsc#1012628).
- power: supply: cpcap-battery: fix invalid usage of list cursor
(bsc#1012628).
- ALSA: emu8000: Fix a use after free in snd_emu8000_create_mixer
(bsc#1012628).
- ALSA: hda/conexant: Re-order CX5066 quirk table entries
(bsc#1012628).
- ALSA: sb: Fix two use after free in snd_sb_qsound_build
(bsc#1012628).
- ALSA: usb-audio: Explicitly set up the clock selector
(bsc#1012628).
- ALSA: usb-audio: Add dB range mapping for Sennheiser
Communications Headset PC 8 (bsc#1012628).
- ALSA: hda/realtek: fix mute/micmute LEDs for HP ProBook 445 G7
(bsc#1012628).
- ALSA: hda/realtek: GA503 use same quirks as GA401 (bsc#1012628).
- ALSA: hda/realtek: fix mic boost on Intel NUC 8 (bsc#1012628).
- ALSA: hda/realtek - Headset Mic issue on HP platform
(bsc#1012628).
- ALSA: hda/realtek: fix static noise on ALC285 Lenovo laptops
(bsc#1012628).
- ALSA: hda/realtek: Add quirk for Intel Clevo PCx0Dx
(bsc#1012628).
- tools/power/turbostat: Fix turbostat for AMD Zen CPUs
(bsc#1012628).
- btrfs: fix race when picking most recent mod log operation
for an old root (bsc#1012628).
- btrfs: fix a potential hole punching failure (bsc#1012628).
- arm64/vdso: Discard .note.gnu.property sections in vDSO
(bsc#1012628).
- Makefile: Move -Wno-unused-but-set-variable out of GCC only
block (bsc#1012628).
- riscv/kprobe: fix kernel panic when invoking sys_read traced
by kprobe (bsc#1012628).
- fs: fix reporting supported extra file attributes for statx()
(bsc#1012628).
- virtiofs: fix memory leak in virtio_fs_probe() (bsc#1012628).
- kcsan, debugfs: Move debugfs file creation out of early init
(bsc#1012628).
- ubifs: Only check replay with inode type to judge if inode
linked (bsc#1012628).
- f2fs: fix error handling in f2fs_end_enable_verity()
(bsc#1012628).
- f2fs: fix to avoid out-of-bounds memory access (bsc#1012628).
- mlxsw: spectrum_mr: Update egress RIF list before route's action
(bsc#1012628).
- openvswitch: fix stack OOB read while fragmenting IPv4 packets
(bsc#1012628).
- net/sched: sch_frag: fix stack OOB read while fragmenting IPv4
packets (bsc#1012628).
- ACPI: GTDT: Don't corrupt interrupt mappings on watchdow probe
failure (bsc#1012628).
- NFS: fs_context: validate UDP retrans to prevent shift
out-of-bounds (bsc#1012628).
- NFS: Don't discard pNFS layout segments that are marked for
return (bsc#1012628).
- NFSv4: Don't discard segments marked for return in
_pnfs_return_layout() (bsc#1012628).
- Input: ili210x - add missing negation for touch indication on
ili210x (bsc#1012628).
- jffs2: Fix kasan slab-out-of-bounds problem (bsc#1012628).
- jffs2: Hook up splice_write callback (bsc#1012628).
- iommu/vt-d: Force to flush iotlb before creating superpage
(bsc#1012628).
- powerpc/vdso: Separate vvar vma from vdso (bsc#1012628).
- powerpc/powernv: Enable HAIL (HV AIL) for ISA v3.1 processors
(bsc#1012628).
- powerpc/eeh: Fix EEH handling for hugepages in ioremap space
(bsc#1012628).
- powerpc/kexec_file: Use current CPU info while setting up FDT
(bsc#1012628).
- powerpc/32: Fix boot failure with CONFIG_STACKPROTECTOR
(bsc#1012628).
- powerpc: fix EDEADLOCK redefinition error in uapi/asm/errno.h
(bsc#1012628).
- powerpc/kvm: Fix PR KVM with KUAP/MEM_KEYS enabled
(bsc#1012628).
- powerpc/kvm: Fix build error when PPC_MEM_KEYS/PPC_PSERIES=n
(bsc#1012628).
- intel_th: pci: Add Alder Lake-M support (bsc#1012628).
- tpm: efi: Use local variable for calculating final log size
(bsc#1012628).
- tpm: vtpm_proxy: Avoid reading host log when using a virtual
device (bsc#1012628).
- crypto: arm/curve25519 - Move '.fpu' after '.arch'
(bsc#1012628).
- crypto: rng - fix crypto_rng_reset() refcounting when
!CRYPTO_STATS (bsc#1012628).
- md/raid1: properly indicate failure when ending a failed write
request (bsc#1012628).
- dm raid: fix inconclusive reshape layout on fast raid4/5/6
table reload sequences (bsc#1012628).
- fuse: fix write deadlock (bsc#1012628).
- mm: page_alloc: ignore init_on_free=1 for debug_pagealloc=1
(bsc#1012628).
- exfat: fix erroneous discard when clear cluster bit
(bsc#1012628).
- sfc: farch: fix TX queue lookup in TX flush done handling
(bsc#1012628).
- sfc: farch: fix TX queue lookup in TX event handling
(bsc#1012628).
- sfc: adjust efx->xdp_tx_queue_count with the real number of
initialized queues (bsc#1012628).
- rcu/nocb: Fix missed nocb_timer requeue (bsc#1012628).
- security: commoncap: fix -Wstringop-overread warning
(bsc#1012628).
- Fix misc new gcc warnings (bsc#1012628).
- smb3: when mounting with multichannel include it in requested
capabilities (bsc#1012628).
- smb3: if max_channels set to more than one channel request
multichannel (bsc#1012628).
- smb3: do not attempt multichannel to server which does not
support it (bsc#1012628).
- Revert 337f13046ff0 ("futex: Allow FUTEX_CLOCK_REALTIME with
FUTEX_WAIT op") (bsc#1012628).
- futex: Do not apply time namespace adjustment on FUTEX_LOCK_PI
(bsc#1012628).
- x86/cpu: Initialize MSR_TSC_AUX if RDTSCP *or* RDPID is
supported (bsc#1012628).
- kbuild: update config_data.gz only when the content of .config
is changed (bsc#1012628).
- ext4: annotate data race in start_this_handle() (bsc#1012628).
- ext4: annotate data race in jbd2_journal_dirty_metadata()
(bsc#1012628).
- ext4: fix check to prevent false positive report of incorrect
used inodes (bsc#1012628).
- ext4: do not set SB_ACTIVE in ext4_orphan_cleanup()
(bsc#1012628).
- ext4: always panic when errors=panic is specified (bsc#1012628).
- ext4: fix error code in ext4_commit_super (bsc#1012628).
- ext4: fix ext4_error_err save negative errno into superblock
(bsc#1012628).
- ext4: fix error return code in ext4_fc_perform_commit()
(bsc#1012628).
- ext4: allow the dax flag to be set and cleared on inline
directories (bsc#1012628).
- ext4: Fix occasional generic/418 failure (bsc#1012628).
- media: dvbdev: Fix memory leak in dvb_media_device_free()
(bsc#1012628).
- media: staging/intel-ipu3: Fix memory leak in imu_fmt
(bsc#1012628).
- media: staging/intel-ipu3: Fix set_fmt error handling
(bsc#1012628).
- media: staging/intel-ipu3: Fix race condition during set_fmt
(bsc#1012628).
- media: v4l2-ctrls: fix reference to freed memory (bsc#1012628).
- media: coda: fix macroblocks count control usage (bsc#1012628).
- media: venus: pm_helpers: Set opp clock name for v1
(bsc#1012628).
- media: venus: venc_ctrls: Change default header mode
(bsc#1012628).
- media: venus: hfi_cmds: Support plane-actual-info property
from v1 (bsc#1012628).
- media: venus: hfi_parser: Don't initialize parser on v1
(bsc#1012628).
- media: venus: hfi_parser: Check for instance after hfi platform
get (bsc#1012628).
- io_uring: remove extra sqpoll submission halting (bsc#1012628).
- io_uring: fix shared sqpoll cancellation hangs (bsc#1012628).
- io_uring: fix work_exit sqpoll cancellations (bsc#1012628).
- io_uring: Check current->io_uring in io_uring_cancel_sqpoll
(bsc#1012628).
- usb: gadget: dummy_hcd: fix gpf in gadget_setup (bsc#1012628).
- usb: gadget: Fix double free of device descriptor pointers
(bsc#1012628).
- usb: gadget/function/f_fs string table fix for multiple
languages (bsc#1012628).
- usb: dwc3: gadget: Remove FS bInterval_m1 limitation
(bsc#1012628).
- usb: dwc3: gadget: Fix START_TRANSFER link state check
(bsc#1012628).
- usb: dwc3: core: Do core softreset when switch mode
(bsc#1012628).
- usb: dwc2: Fix session request interrupt handler (bsc#1012628).
- PCI: dwc: Move iATU detection earlier (bsc#1012628).
- tty: fix memory leak in vc_deallocate (bsc#1012628).
- rsi: Use resume_noirq for SDIO (bsc#1012628).
- tools/power turbostat: Fix offset overflow issue in index
converting (bsc#1012628).
- tracing: Map all PIDs to command lines (bsc#1012628).
- tracing: Restructure trace_clock_global() to never block
(bsc#1012628).
- dm persistent data: packed struct should have an aligned()
attribute too (bsc#1012628).
- dm space map common: fix division bug in sm_ll_find_free_block()
(bsc#1012628).
- dm integrity: fix missing goto in bitmap_flush_interval error
handling (bsc#1012628).
- dm rq: fix double free of blk_mq_tag_set in dev remove after
table load fails (bsc#1012628).
- pinctrl: Ingenic: Add missing pins to the JZ4770 MAC MII group
(bsc#1012628).
- pinctrl: Ingenic: Add support for read the pin configuration
of X1830 (bsc#1012628).
- lib/vsprintf.c: remove leftover 'f' and 'F' cases from
bstr_printf() (bsc#1012628).
- thermal/drivers/cpufreq_cooling: Fix slab OOB issue
(bsc#1012628).
- thermal/core/fair share: Lock the thermal zone while looping
over instances (bsc#1012628).
- commit e0bb900
-------------------------------------------------------------------
Wed May 12 05:16:11 CEST 2021 - glin@suse.com
- scripts/git_sort/git_sort.py: add bpf git repo
- commit 65979e3
-------------------------------------------------------------------
Tue May 11 21:22:19 CEST 2021 - mkubecek@suse.cz
- sctp: delay auto_asconf init until binding the first addr
(CVE-2021-23133 bsc#1184675).
- Revert "net/sctp: fix race condition in sctp_destroy_sock"
(CVE-2021-23133 bsc#1184675).
- commit 6758015
-------------------------------------------------------------------
Tue May 11 21:00:40 CEST 2021 - ddiss@suse.de
- proc: Avoid mixing integer types in mem_rw() (CVE-2021-3491
bsc#1185642).
- io_uring: truncate lengths larger than MAX_RW_COUNT on provide
buffers (CVE-2021-3491 bsc#1185642).
- io_uring: fix overflows checks in provide buffers (CVE-2021-3491
bsc#1185642).
- commit 079e747
-------------------------------------------------------------------
Tue May 11 17:59:50 CEST 2021 - mbrugger@suse.com
- pinctrl: Add Xilinx ZynqMP pinctrl driver support (bsc#1185927).
- Update config files. (bsc#1185927)
- firmware: xilinx: Add pinctrl support (bsc#1185927).
- dt-bindings: pinctrl: Add binding for ZynqMP pinctrl driver
(bsc#1185927).
- pinctrl: Introduce MODE group in enum pin_config_param
(bsc#1185927).
- commit fce7e82
-------------------------------------------------------------------
Tue May 11 09:05:09 CEST 2021 - tiwai@suse.de
- Workaround for a crash in radeon driver (bsc#1185516).
- commit 66123af
-------------------------------------------------------------------
Mon May 10 21:30:04 CEST 2021 - tiwai@suse.de
- drm/i915/dp: Use slow and wide link training for everything
(bsc#1185601).
- commit 3d40a8d
-------------------------------------------------------------------
Mon May 10 21:28:42 CEST 2021 - tiwai@suse.de
- Delete patches.suse/Revert-drm-i915-Try-to-use-fast-narrow-link-on-eDP-a.patch
An upstream fix will follow
- commit 3da1f57
-------------------------------------------------------------------
Mon May 10 15:38:19 CEST 2021 - dmueller@suse.com
- Add dtb-apple (bsc#1185845)
- commit 405d0ae
-------------------------------------------------------------------
Mon May 10 10:46:48 CEST 2021 - mkubecek@suse.cz
- Update to 5.13-rc1
- eliminated 34 patches (22 stable, 12 other)
- patches.kernel.org/*
- patches.suse/clk-bcm-rpi-release-firmware-handle-on-unbind.patch
- patches.suse/dt-bindings-pwm-add-binding-for-rpi-firmware-pwm-bus.patch
- patches.suse/firmware-raspberrypi-introduce-devm_rpi_firmware_get.patch
- patches.suse/firmware-raspberrypi-keep-count-of-all-consumers.patch
- patches.suse/gpio-raspberrypi-exp-release-firmware-handle-on-unbind.patch
- patches.suse/input-raspberrypi-ts-release-firmware-handle-when-not-needed.patch
- patches.suse/media-dvb-usb-Fix-memory-leak-at-error-in-dvb_usb_de.patch
- patches.suse/media-dvb-usb-Fix-use-after-free-access.patch
- patches.suse/pwm-add-raspberry-pi-firmware-based-pwm-bus.patch
- patches.suse/reset-raspberrypi-release-firmware-handle-on-unbind.patch
- patches.suse/soc-bcm-raspberrypi-power-release-firmware-handle-on-unbind.patch
- patches.suse/vchiq-release-firmware-handle-on-unbind.patch
- disable ARM architectures (need config update)
- refresh
- patches.rpmify/powerpc-64-BE-option-to-use-ELFv2-ABI-for-big-endian.patch
- patches.suse/kernel-add-product-identifying-information-to-kernel-build.patch
- patches.suse/supported-flag
- patches.suse/supported-flag-modverdir
- patches.suse/vfs-add-super_operations-get_inode_dev
- config refresh (no longer available as module)
- PVPANIC (m -> y)
- NFS_V4_2_SSC_HELPER (m -> y)
- new config options
- General setup
- CGROUP_MISC=y
- Virtualization
- X86_SGX_KVM=y
- General architecture-dependent options
- RANDOMIZE_KSTACK_OFFSET_DEFAULT=y
- Enable loadable module support
- MODULE_COMPRESS_NONE=y
- MODULE_COMPRESS_GZIP=n
- MODULE_COMPRESS_XZ=n
- MODULE_COMPRESS_ZSTD=n
- MODPROBE_PATH="/sbin/modprobe"
- Networking support
- NF_LOG_SYSLOG=m
- NETFILTER_XTABLES_COMPAT=y
- PCPU_DEV_REFCNT=y
- CAN_ETAS_ES58X=m
- BT_AOSPEXT=y
- BT_VIRTIO=m
- File systems
- NETFS_SUPPORT=m
- NETFS_STATS=y
- Security options
- SECURITY_LANDLOCK=y
- Cryptographic API
- CRYPTO_ECDSA=y
- SYSTEM_REVOCATION_LIST=y
- SYSTEM_REVOCATION_KEYS=""
- Kernel hacking
- VMLINUX_MAP=y
- TEST_DIV64=n
- Virtualiation drivers
- PVPANIC=y
- PVPANIC_MMIO=m
- PVPANIC_PCI=m
- VDPA_SIM_BLOCK=m
- VP_VDPA=m
- Network device support
- NET_DSA_MICROCHIP_KSZ8863_SMI=m
- NET_VENDOR_MICROSOFT=y
- MICROSOFT_MANA=m
- MLX5_TC_SAMPLE=y
- MARVELL_88X2222_PHY=m
- NXP_C45_TJA11XX_PHY=m
- WWAN=y
- WWAN_CORE=m
- MHI_WWAN_CTRL=m
- Input device support
- TOUCHSCREEN_HYCON_HY46XX=m
- TOUCHSCREEN_ILITEK=m
- TOUCHSCREEN_MSG2638=m
- INPUT_IQS626A=m
- Power supply class support
- BATTERY_GOLDFISH=m
- BATTERY_SURFACE=m
- CHARGER_SURFACE=m
- Hardware Monitoring support
- SENSORS_NZXT_KRAKEN2=m
- SENSORS_BPA_RS600=m
- SENSORS_FSP_3Y=m
- SENSORS_IR36021=m
- SENSORS_MAX15301=m
- SENSORS_STPDDC60=m
- Graphics support
- DRM_AMD_SECURE_DISPLAY=y
- DRM_I915_REQUEST_TIMEOUT=20000
- DRM_GUD=m
- Sound card support
- SND_SOC_FSL_RPMSG=n
- SND_SOC_TLV320AIC3X_I2C=n
- SND_SOC_TLV320AIC3X_SPI=n
- SND_VIRTIO=m
- HID support
- HID_FT260=m
- SURFACE_KBD=m
- SURFACE_HID=m
- X86 Platform Specific Device Drivers
- GIGABYTE_WMI=m
- ADV_SWBUTTON=m
- Microsoft Surface Platform-Specific Device Drivers
- SURFACE_AGGREGATOR_REGISTRY=m
- SURFACE_DTX=m
- SURFACE_PLATFORM_PROFILE=m
- Industrial I/O support
- BMI088_ACCEL=n
- TI_ADS131E08=n
- CROS_EC_MKBP_PROXIMITY=n
- Misc devices
- DW_XDATA_PCIE=m
- I2C_CP2615=m
- SPI_ALTERA_CORE=m
- SPI_ALTERA_DFL=m
- INTEL_TCC_COOLING=m
- MFD_ATC260X_I2C=n
- RTC_DRV_GOLDFISH=m
- INTEL_IDXD_PERFMON=y
- UIO_DFL=m
- OF dependent (i386, ppc64 / ppc64le, riscv64)
- MFD_NTXEC=n
- MFD_ROHM_BD957XMUF=n
- DRM_CHIPONE_ICN6211=n
- DRM_LONTIUM_LT8912B=n
- LEDS_RT4505=m
- i386
- MODULE_SIG_ALL=n
- MODULE_SIG_SHA256=y
- SND_SOC_RT1316_SDW=n
- SND_SOC_RT711_SDCA_SDW=n
- SND_SOC_RT715_SDCA_SDW=n
- LEDS_LGM=m
- MODULE_SIG_KEY="certs/signing_key.pem"
- ppc64 / ppc64le
- TIME_NS=y
- STRICT_KERNEL_RWX=y
- CMA_SYSFS=n
- FSL_DPAA2_SWITCH=m
- FSL_ENETC_IERB=m
- DEBUG_RODATA_TEST=n
- DEBUG_VM_PGTABLE=n
- s390x
- CMA_SYSFS=n
- NET_DSA=n
- CIO_INJECT=n
- riscv64
- SOC_MICROCHIP_POLARFIRE=y
- RISCV_ERRATA_ALTERNATIVE=y
- ERRATA_SIFIVE_CIP_453=y
- ERRATA_SIFIVE_CIP_1200=y
- KEXEC=y
- CRASH_DUMP=y
- PHYS_RAM_BASE_FIXED=n
- XIP_KERNEL=n
- STRICT_MODULE_RWX=y
- PCIE_FU740
- PROC_VMCORE=y
- PROC_VMCORE_DEVICE_DUMP=y
- FORTIFY_SOURCE=y
- commit 0ba08a9
-------------------------------------------------------------------
Mon May 10 09:21:10 CEST 2021 - tiwai@suse.de
- Revert "drm/i915: Try to use fast+narrow link on eDP again
and fall back to the old max strategy on failure" (bsc#1185601).
- commit 6c0f44c
-------------------------------------------------------------------
Fri May 7 20:04:24 CEST 2021 - jslaby@suse.cz
- Linux 5.12.2 (bsc#1012628).
- perf/core: Fix unconditional security_locked_down() call
(bsc#1012628).
- platform/x86: thinkpad_acpi: Correct thermal sensor allocation
(bsc#1012628).
- USB: Add reset-resume quirk for WD19's Realtek Hub
(bsc#1012628).
- USB: Add LPM quirk for Lenovo ThinkPad USB-C Dock Gen2 Ethernet
(bsc#1012628).
- ALSA: usb-audio: Fix implicit sync clearance at stopping stream
(bsc#1012628).
- ALSA: usb-audio: Add MIDI quirk for Vox ToneLab EX
(bsc#1012628).
- ovl: allow upperdir inside lowerdir (bsc#1012628).
- ovl: fix leaked dentry (bsc#1012628).
- net: qrtr: Avoid potential use after free in MHI send
(bsc#1012628).
- bpf: Fix leakage of uninitialized bpf stack under speculation
(bsc#1012628).
- bpf: Fix masking negation logic upon negative dst register
(bsc#1012628).
- drm/i915: Disable runtime power management during shutdown
(bsc#1012628).
- net: usb: ax88179_178a: initialize local variables before use
(bsc#1012628).
- netfilter: conntrack: Make global sysctls readonly in non-init
netns (bsc#1012628).
- mips: Do not include hi and lo in clobber list for R6
(bsc#1012628).
- commit 85a2a31
-------------------------------------------------------------------
Thu May 6 20:11:36 CEST 2021 - msuchanek@suse.de
- kernel-docs.spec.in: Build using an utf-8 locale.
Sphinx cannot handle UTF-8 input in non-UTF-8 locale.
- commit 0db6da1
-------------------------------------------------------------------
Thu May 6 08:10:21 CEST 2021 - mkubecek@suse.cz
- config: disable kfence by default (bsc#1185565)
Apperently the overhead of kfence is not as negligible as the help text
seemed to promise so that it seems more appropriate to disable kfence by
default by setting CONFIG_KFENCE_SAMPLE_INTERVAL to 0. Anyone who wants to
enable it can still do so using the kfence.sample_interval command line
parameter.
- commit 5d73dc7
-------------------------------------------------------------------
Wed May 5 20:14:06 CEST 2021 - msuchanek@suse.de
- rpm/kernel-docs.spec.in: Add amscls as required for build.
[ 781s] ! LaTeX Error: File `amsthm.sty' not found.
- commit 1fd6a67
-------------------------------------------------------------------
Wed May 5 13:37:57 CEST 2021 - msuchanek@suse.de
- Fix vanilla ppc64 build.
- commit f1085cb
-------------------------------------------------------------------
Wed May 5 11:30:18 CEST 2021 - iivanov@suse.de
- mmc: sdhci-iproc: Set SDHCI_QUIRK_CAP_CLOCK_BASE_BROKEN on BCM2711 (bsc#1176576)
- commit 310b140
-------------------------------------------------------------------
Wed May 5 11:29:02 CEST 2021 - iivanov@suse.de
- mmc: sdhci-iproc: Cap min clock frequency on BCM2711 (bsc#1176576)
- commit 3e41868
-------------------------------------------------------------------
Wed May 5 09:45:14 CEST 2021 - mkubecek@suse.cz
- rpm: drop /usr/bin/env in interpreter specification
OBS checks don't like /usr/bin/env in script interpreter lines but upstream
developers tend to use it. A proper solution would be fixing the depedency
extraction and drop the OBS check error but that's unlikely to happen so
that we have to work around the problem on our side and rewrite the
interpreter lines in scripts before collecting files for packages instead.
- commit 45c5c1a
-------------------------------------------------------------------
Tue May 4 11:56:48 CEST 2021 - mbrugger@suse.com
- supported.conf: add USB Typec to installer (bsc#1184867)
- commit 17b53f0
-------------------------------------------------------------------
Tue May 4 10:05:31 CEST 2021 - dwagner@suse.de
- scripts/git_sort/git_sort.py: Update nvme repositories
- commit e849c44
-------------------------------------------------------------------
Sun May 2 13:46:37 CEST 2021 - jslaby@suse.cz
- Linux 5.12.1 (bsc#1012628).
- mei: me: add Alder Lake P device id (bsc#1012628).
- cfg80211: fix locking in netlink owner interface destruction
(bsc#1012628).
- iwlwifi: Fix softirq/hardirq disabling in
iwl_pcie_gen2_enqueue_hcmd() (bsc#1012628).
- USB: CDC-ACM: fix poison/unpoison imbalance (bsc#1012628).
- net: hso: fix NULL-deref on disconnect regression (bsc#1012628).
- commit 9f237a4
-------------------------------------------------------------------
Sat May 1 09:50:18 CEST 2021 - msuchanek@suse.de
- powerpc/64: BE option to use ELFv2 ABI for big endian kernels
(BTFIDS vmlinux FAILED unresolved symbol vfs_truncate).
Update config files.
- commit 17ebdf1
-------------------------------------------------------------------
Fri Apr 30 11:36:22 CEST 2021 - schwab@suse.de
- rpm/constraints.in: bump disk space to 45GB on riscv64
- commit f8b883f
-------------------------------------------------------------------
Thu Apr 29 14:54:16 CEST 2021 - tiwai@suse.de
- Update config files: fix armv7hl/lpae config (bsc#1152773)
CONFIG_OABI_COMPAT was left enabled mistakenly on lpae flavor, which
resulted in the disablement of CONFIG_SECCOMP_FILTER. Fix those.
CONFIG_OABI_COMPAT -> disabled
CONFIG_SECCOMP_FILTER=y
CONFIG_HAVE_ARCH_SECCOMP_FILTER=y
Also corrected the following with the update:
CONFIG_HAVE_ARCH_AUDITSYSCALL=y
CONFIG_AUDITSYSCALL=y
CONFIG_FPE_NWFPE -> removed
CONFIG_FPE_NWFPE_XP -> removed
CONFIG_FPE_FASTFPE -> removed
- commit 644711e
-------------------------------------------------------------------
Wed Apr 28 06:31:30 CEST 2021 - jslaby@suse.cz
- rpm/constraints.in: remove aarch64 disk size exception
obs://Kernel:stable/kernel-default/ARM/aarch64 currrently fails:
installing package kernel-default-livepatch-devel-5.12.0-3.1.g6208a83.aarch64 needs 3MB more space on the / filesystem
The stats say:
Maximal used disk space: 31799 Mbyte
By default, we require 35G. For aarch64 we had an exception to lower
this limit to 30G there. Drop this exception as it is obviously no
longer valid.
- commit ee00b50
-------------------------------------------------------------------
Mon Apr 26 11:58:35 CEST 2021 - mkubecek@suse.cz
- series.conf: cleanup
- fix Patch-mainline tag and move to "almost mainline" section:
patches.suse/crypto-ccp-Annotate-SEV-Firmware-file-names.patch
- commit 3a48ed8
-------------------------------------------------------------------
Mon Apr 26 10:45:45 CEST 2021 - jroedel@suse.de
- crypto: ccp: Annotate SEV Firmware file names (bsc#1185282).
- commit 66154b6
-------------------------------------------------------------------
Sun Apr 25 23:15:12 CEST 2021 - mkubecek@suse.cz
- Update to 5.12 final
- refresh configs (headers only)
- commit 9683115
-------------------------------------------------------------------
Sun Apr 25 13:19:40 CEST 2021 - msuchanek@suse.de
- rpm/kernel-binary.spec.in: Require new enough pahole.
pahole 1.21 is required for building line-next BTF
- commit 8df1aaa
-------------------------------------------------------------------
Fri Apr 23 18:24:39 CEST 2021 - martin.wilck@suse.com
- rpm/macros.kernel-source: fix KMP failure in %install (bsc#1185244)
- commit 52805ed
-------------------------------------------------------------------
Thu Apr 22 18:26:15 CEST 2021 - msuchanek@suse.de
- rpm/kernel-obs-build.spec.in: Include essiv with dm-crypt (boo#1183063).
Previously essiv was part of dm-crypt but now it is separate.
Include the module in kernel-obs-build when available.
Fixes: 7cf5b9e26d87 ("rpm/kernel-obs-build.spec.in: add dm-crypt for building with cryptsetup")
- commit fe15b78
-------------------------------------------------------------------
Thu Apr 22 10:26:25 CEST 2021 - tiwai@suse.de
- Revert "rpm/kernel-binary.spec.in: Fix dependency of kernel-*-devel package (bsc#1184514)"
This turned out to be a bad idea: the kernel-$flavor-devel package
must be usable without kernel-$flavor, e.g. at the build of a KMP.
And this change brought superfluous installation of kernel-preempt
when a system had kernel-syms (bsc#1185113).
- commit d771304
-------------------------------------------------------------------
Wed Apr 21 14:48:06 CEST 2021 - jslaby@suse.cz
- rpm/check-for-config-changes: add AS_HAS_* to ignores
arch/arm64/Kconfig defines a lot of these. So far our current compilers
seem to support them all. But it can quickly change with SLE later.
- commit a4d8194
-------------------------------------------------------------------
Wed Apr 21 14:39:06 CEST 2021 - jslaby@suse.cz
- Linux 5.11.16 (bsc#1012628).
- bpf: Move sanitize_val_alu out of op switch (bsc#1012628).
- bpf: Improve verifier error messages for users (bsc#1012628).
- bpf: Rework ptr_limit into alu_limit and add common error path
(bsc#1012628).
- ARM: 9071/1: uprobes: Don't hook on thumb instructions
(bsc#1012628).
- bpf: Move off_reg into sanitize_ptr_alu (bsc#1012628).
- bpf: Ensure off_reg has no mixed signed bounds for all types
(bsc#1012628).
- r8169: don't advertise pause in jumbo mode (bsc#1012628).
- r8169: tweak max read request size for newer chips also in
jumbo mtu mode (bsc#1012628).
- kasan: remove redundant config option (bsc#1012628).
- kasan: fix hwasan build for gcc (bsc#1012628).
- KVM: VMX: Don't use vcpu->run->internal.ndata as an array index
(bsc#1012628).
- KVM: VMX: Convert vcpu_vmx.exit_reason to a union (bsc#1012628).
- bpf: Use correct permission flag for mixed signed bounds
arithmetic (bsc#1012628).
- arm64: dts: allwinner: h6: beelink-gs1: Remove ext. 32 kHz
osc reference (bsc#1012628).
- arm64: dts: allwinner: Fix SD card CD GPIO for SOPine systems
(bsc#1012628).
- ARM: OMAP2+: Fix uninitialized sr_inst (bsc#1012628).
- ARM: footbridge: fix PCI interrupt mapping (bsc#1012628).
- ARM: 9069/1: NOMMU: Fix conversion for_each_membock() to
for_each_mem_range() (bsc#1012628).
- ARM: 9063/1: mm: reduce maximum number of CPUs if
DEBUG_KMAP_LOCAL is enabled (bsc#1012628).
- ARM: OMAP2+: Fix warning for omap_init_time_of() (bsc#1012628).
- gro: ensure frag0 meets IP header alignment (bsc#1012628).
- ch_ktls: do not send snd_una update to TCB in middle
(bsc#1012628).
- ch_ktls: tcb close causes tls connection failure (bsc#1012628).
- ch_ktls: fix device connection close (bsc#1012628).
- ch_ktls: Fix kernel panic (bsc#1012628).
- ibmvnic: remove duplicate napi_schedule call in open function
(bsc#1012628).
- ibmvnic: remove duplicate napi_schedule call in do_reset
function (bsc#1012628).
- ibmvnic: avoid calling napi_disable() twice (bsc#1012628).
- ia64: tools: remove inclusion of ia64-specific version of
errno.h header (bsc#1012628).
- ia64: remove duplicate entries in generic_defconfig
(bsc#1012628).
- ethtool: pause: make sure we init driver stats (bsc#1012628).
- i40e: fix the panic when running bpf in xdpdrv mode
(bsc#1012628).
- ibmvnic: correctly use dev_consume/free_skb_irq (bsc#1012628).
- net: Make tcp_allowed_congestion_control readonly in non-init
netns (bsc#1012628).
- mm: ptdump: fix build failure (bsc#1012628).
- net: ip6_tunnel: Unregister catch-all devices (bsc#1012628).
- net: sit: Unregister catch-all devices (bsc#1012628).
- net: phy: marvell: fix detection of PHY on Topaz switches
(bsc#1012628).
- net: davicom: Fix regulator not turned off on failed probe
(bsc#1012628).
- net/mlx5e: Fix setting of RS FEC mode (bsc#1012628).
- netfilter: nftables: clone set element expression template
(bsc#1012628).
- netfilter: nft_limit: avoid possible divide error in
nft_limit_init (bsc#1012628).
- net/mlx5e: fix ingress_ifindex check in mlx5e_flower_parse_meta
(bsc#1012628).
- net: macb: fix the restore of cmp registers (bsc#1012628).
- drm/i915/display/vlv_dsi: Do not skip panel_pwr_cycle_delay
when disabling the panel (bsc#1012628).
- libbpf: Fix potential NULL pointer dereference (bsc#1012628).
- netfilter: arp_tables: add pre_exit hook for table unregister
(bsc#1012628).
- netfilter: bridge: add pre_exit hooks for ebtable unregistration
(bsc#1012628).
- libnvdimm/region: Fix nvdimm_has_flush() to handle
ND_REGION_ASYNC (bsc#1012628).
- ice: Fix potential infinite loop when using u8 loop counter
(bsc#1012628).
- netfilter: conntrack: do not print icmpv6 as unknown via /proc
(bsc#1012628).
- netfilter: flowtable: fix NAT IPv6 offload mangling
(bsc#1012628).
- ixgbe: fix unbalanced device enable/disable in suspend/resume
(bsc#1012628).
- ixgbe: Fix NULL pointer dereference in ethtool loopback test
(bsc#1012628).
- drm/vmwgfx: Make sure we unpin no longer needed buffers
(bsc#1012628).
- scsi: libsas: Reset num_scatter if libata marks qc as NODATA
(bsc#1012628).
- riscv: Fix spelling mistake "SPARSEMEM" to "SPARSMEM"
(bsc#1012628).
- vfio/pci: Add missing range check in vfio_pci_mmap
(bsc#1012628).
- arm64: alternatives: Move length validation in
alternative_{insn, endif} (bsc#1012628).
- arm64: mte: Ensure TIF_MTE_ASYNC_FAULT is set atomically
(bsc#1012628).
- Update config files.
- arm64: fix inline asm in load_unaligned_zeropad() (bsc#1012628).
- drm/i915: Don't zero out the Y plane's watermarks (bsc#1012628).
- readdir: make sure to verify directory entry for legacy
interfaces too (bsc#1012628).
- dm verity fec: fix misaligned RS roots IO (bsc#1012628).
- HID: wacom: set EV_KEY and EV_ABS only for non-HID_GENERIC
type of devices (bsc#1012628).
- Input: i8042 - fix Pegatron C15B ID entry (bsc#1012628).
- Input: s6sy761 - fix coordinate read bit shift (bsc#1012628).
- net/sctp: fix race condition in sctp_destroy_sock (bsc#1012628).
- lib: fix kconfig dependency on ARCH_WANT_FRAME_POINTERS
(bsc#1012628).
- virt_wifi: Return micros for BSS TSF values (bsc#1012628).
- mac80211: clear sta->fast_rx when STA removed from 4-addr VLAN
(bsc#1012628).
- drm/amd/display: Add missing mask for DCN3 (bsc#1012628).
- pcnet32: Use pci_resource_len to validate PCI resource
(bsc#1012628).
- net: ieee802154: forbid monitor for add llsec seclevel
(bsc#1012628).
- net: ieee802154: stop dump llsec seclevels for monitors
(bsc#1012628).
- net: ieee802154: forbid monitor for del llsec devkey
(bsc#1012628).
- net: ieee802154: forbid monitor for add llsec devkey
(bsc#1012628).
- net: ieee802154: stop dump llsec devkeys for monitors
(bsc#1012628).
- net: ieee802154: forbid monitor for del llsec dev (bsc#1012628).
- net: ieee802154: forbid monitor for add llsec dev (bsc#1012628).
- net: ieee802154: stop dump llsec devs for monitors
(bsc#1012628).
- net: ieee802154: forbid monitor for del llsec key (bsc#1012628).
- net: ieee802154: forbid monitor for add llsec key (bsc#1012628).
- net: ieee802154: stop dump llsec keys for monitors
(bsc#1012628).
- iwlwifi: add support for Qu with AX201 device (bsc#1012628).
- scsi: scsi_transport_srp: Don't block target in SRP_PORT_LOST
state (bsc#1012628).
- ASoC: fsl_esai: Fix TDM slot setup for I2S mode (bsc#1012628).
- drm/msm: Fix a5xx/a6xx timestamps (bsc#1012628).
- ARM: omap1: fix building with clang IAS (bsc#1012628).
- ARM: keystone: fix integer overflow warning (bsc#1012628).
- powerpc/signal32: Fix Oops on sigreturn with unmapped VDSO
(bsc#1012628).
- neighbour: Disregard DEAD dst in neigh_update (bsc#1012628).
- bpf: Take module reference for trampoline in module
(bsc#1012628).
- gpu/xen: Fix a use after free in xen_drm_drv_init (bsc#1012628).
- net: axienet: allow setups without MDIO (bsc#1012628).
- ASoC: max98373: Added 30ms turn on/off time delay (bsc#1012628).
- ASoC: max98373: Changed amp shutdown register as volatile
(bsc#1012628).
- xfrm: BEET mode doesn't support fragments for inner packets
(bsc#1012628).
- iwlwifi: Fix softirq/hardirq disabling in
iwl_pcie_enqueue_hcmd() (bsc#1012628).
- arc: kernel: Return -EFAULT if copy_to_user() fails
(bsc#1012628).
- lockdep: Add a missing initialization hint to the "INFO:
Trying to register non-static key" message (bsc#1012628).
- remoteproc: pru: Fix loading of GNU Binutils ELF (bsc#1012628).
- ARM: dts: Fix moving mmc devices with aliases for omap4 & 5
(bsc#1012628).
- ARM: dts: Drop duplicate sha2md5_fck to fix clk_disable race
(bsc#1012628).
- ACPI: x86: Call acpi_boot_table_init() after
acpi_table_upgrade() (bsc#1012628).
- dmaengine: idxd: fix wq cleanup of WQCFG registers
(bsc#1012628).
- dmaengine: idxd: clear MSIX permission entry on shutdown
(bsc#1012628).
- dmaengine: plx_dma: add a missing put_device() on error path
(bsc#1012628).
- dmaengine: Fix a double free in dma_async_device_register
(bsc#1012628).
- dmaengine: dw: Make it dependent to HAS_IOMEM (bsc#1012628).
- dmaengine: idxd: fix wq size store permission state
(bsc#1012628).
- dmaengine: idxd: fix opcap sysfs attribute output (bsc#1012628).
- dmaengine: idxd: fix delta_rec and crc size field for completion
record (bsc#1012628).
- dmaengine: idxd: Fix clobbering of SWERR overflow bit on
writeback (bsc#1012628).
- gpio: sysfs: Obey valid_mask (bsc#1012628).
- Input: nspire-keypad - enable interrupts only when opened
(bsc#1012628).
- mtd: rawnand: mtk: Fix WAITRDY break condition and timeout
(bsc#1012628).
- AMD_SFH: Add DMI quirk table for BIOS-es which don't set the
activestatus bits (bsc#1012628).
- AMD_SFH: Add sensor_mask module parameter (bsc#1012628).
- AMD_SFH: Removed unused activecontrolstatus member from the
amd_mp2_dev struct (bsc#1012628).
- commit d57ad55
-------------------------------------------------------------------
Mon Apr 19 00:03:41 CEST 2021 - mkubecek@suse.cz
- Update to 5.12-rc8
- refresh configs
- commit a71cb9a
-------------------------------------------------------------------
Fri Apr 16 18:47:19 CEST 2021 - jslaby@suse.cz
- Linux 5.11.15 (bsc#1012628).
- net: sfp: cope with SFPs that set both LOS normal and LOS
inverted (bsc#1012628).
- perf map: Tighten snprintf() string precision to pass gcc
check on some 32-bit arches (bsc#1012628).
- netfilter: x_tables: fix compat match/target pad out-of-bound
write (bsc#1012628).
- block: don't ignore REQ_NOWAIT for direct IO (bsc#1012628).
- riscv,entry: fix misaligned base for excp_vect_table
(bsc#1012628).
- io_uring: don't mark S_ISBLK async work as unbounded
(bsc#1012628).
- null_blk: fix command timeout completion handling (bsc#1012628).
- idr test suite: Create anchor before launching throbber
(bsc#1012628).
- idr test suite: Take RCU read lock in idr_find_test_1
(bsc#1012628).
- radix tree test suite: Register the main thread with the RCU
library (bsc#1012628).
- block: only update parent bi_status when bio fail (bsc#1012628).
- radix tree test suite: Fix compilation (bsc#1012628).
- XArray: Fix splitting to non-zero orders (bsc#1012628).
- gpu: host1x: Use different lock classes for each client
(bsc#1012628).
- drm/tegra: dc: Don't set PLL clock to 0Hz (bsc#1012628).
- tools/kvm_stat: Add restart delay (bsc#1012628).
- ftrace: Check if pages were allocated before calling
free_pages() (bsc#1012628).
- gfs2: report "already frozen/thawed" errors (bsc#1012628).
- drm/imx: imx-ldb: fix out of bounds array access warning
(bsc#1012628).
- KVM: arm64: Disable guest access to trace filter controls
(bsc#1012628).
- KVM: arm64: Hide system instruction access to Trace registers
(bsc#1012628).
- gfs2: Flag a withdraw if init_threads() fails (bsc#1012628).
- interconnect: core: fix error return code of icc_link_destroy()
(bsc#1012628).
- commit 64fb5bf
-------------------------------------------------------------------
Wed Apr 14 08:57:33 CEST 2021 - jslaby@suse.cz
- Linux 5.11.14 (bsc#1012628).
- xfrm/compat: Cleanup WARN()s that can be user-triggered
(bsc#1012628).
- ALSA: aloop: Fix initialization of controls (bsc#1012628).
- ALSA: hda/realtek: Fix speaker amp setup on Acer Aspire E1
(bsc#1012628).
- ALSA: hda/conexant: Apply quirk for another HP ZBook G5 model
(bsc#1012628).
- file: fix close_range() for unshare+cloexec (bsc#1012628).
- ASoC: intel: atom: Stop advertising non working S24LE support
(bsc#1012628).
- nfc: fix refcount leak in llcp_sock_bind() (bsc#1012628).
- nfc: fix refcount leak in llcp_sock_connect() (bsc#1012628).
- nfc: fix memory leak in llcp_sock_connect() (bsc#1012628).
- nfc: Avoid endless loops caused by repeated llcp_sock_connect()
(bsc#1012628).
- selinux: make nslot handling in avtab more robust (bsc#1012628).
- selinux: fix cond_list corruption when changing booleans
(bsc#1012628).
- selinux: fix race between old and new sidtab (bsc#1012628).
- xen/evtchn: Change irq_info lock to raw_spinlock_t
(bsc#1012628).
- net: ipv6: check for validity before dereferencing
cfg->fc_nlinfo.nlh (bsc#1012628).
- net: dsa: lantiq_gswip: Let GSWIP automatically set the xMII
clock (bsc#1012628).
- net: dsa: lantiq_gswip: Don't use PHY auto polling
(bsc#1012628).
- net: dsa: lantiq_gswip: Configure all remaining GSWIP_MII_CFG
bits (bsc#1012628).
- ACPI: processor: Fix build when CONFIG_ACPI_PROCESSOR=m
(bsc#1012628).
- drm/radeon: Fix size overflow (bsc#1012628).
- drm/amdgpu: Fix size overflow (bsc#1012628).
- drm/amdgpu/smu7: fix CAC setting on TOPAZ (bsc#1012628).
- rfkill: revert back to old userspace API by default
(bsc#1012628).
- cifs: escape spaces in share names (bsc#1012628).
- cifs: On cifs_reconnect, resolve the hostname again
(bsc#1012628).
- IB/hfi1: Fix probe time panic when AIP is enabled with a buggy
BIOS (bsc#1012628).
- LOOKUP_MOUNTPOINT: we are cleaning "jumped" flag too late
(bsc#1012628).
- gcov: re-fix clang-11+ support (bsc#1012628).
- ia64: fix user_stack_pointer() for ptrace() (bsc#1012628).
- nds32: flush_dcache_page: use page_mapping_file to avoid races
with swapoff (bsc#1012628).
- ocfs2: fix deadlock between setattr and dio_end_io_write
(bsc#1012628).
- fs: direct-io: fix missing sdio->boundary (bsc#1012628).
- ethtool: fix incorrect datatype in set_eee ops (bsc#1012628).
- of: property: fw_devlink: do not link ".*,nr-gpios"
(bsc#1012628).
- parisc: parisc-agp requires SBA IOMMU driver (bsc#1012628).
- parisc: avoid a warning on u8 cast for cmpxchg on u8 pointers
(bsc#1012628).
- ARM: dts: turris-omnia: configure LED[2]/INTn pin as interrupt
pin (bsc#1012628).
- batman-adv: initialize "struct
batadv_tvlv_tt_vlan_data"->reserved field (bsc#1012628).
- ice: Continue probe on link/PHY errors (bsc#1012628).
- ice: Increase control queue timeout (bsc#1012628).
- ice: prevent ice_open and ice_stop during reset (bsc#1012628).
- ice: fix memory allocation call (bsc#1012628).
- ice: remove DCBNL_DEVRESET bit from PF state (bsc#1012628).
- ice: Fix for dereference of NULL pointer (bsc#1012628).
- ice: Use port number instead of PF ID for WoL (bsc#1012628).
- ice: Cleanup fltr list in case of allocation issues
(bsc#1012628).
- iwlwifi: pcie: properly set LTR workarounds on 22000 devices
(bsc#1012628).
- ice: fix memory leak of aRFS after resuming from suspend
(bsc#1012628).
- net: hso: fix null-ptr-deref during tty device unregistration
(bsc#1012628).
- libbpf: Fix bail out from 'ringbuf_process_ring()' on error
(bsc#1012628).
- bpf: Enforce that struct_ops programs be GPL-only (bsc#1012628).
- bpf: link: Refuse non-O_RDWR flags in BPF_OBJ_GET (bsc#1012628).
- ethernet/netronome/nfp: Fix a use after free in
nfp_bpf_ctrl_msg_rx (bsc#1012628).
- libbpf: Ensure umem pointer is non-NULL before dereferencing
(bsc#1012628).
- libbpf: Restore umem state after socket create failure
(bsc#1012628).
- libbpf: Only create rx and tx XDP rings when necessary
(bsc#1012628).
- bpf: Refcount task stack in bpf_get_task_stack (bsc#1012628).
- bpf, sockmap: Fix sk->prot unhash op reset (bsc#1012628).
- bpf, sockmap: Fix incorrect fwd_alloc accounting (bsc#1012628).
- net: ensure mac header is set in virtio_net_hdr_to_skb()
(bsc#1012628).
- i40e: Fix sparse warning: missing error code 'err'
(bsc#1012628).
- i40e: Fix sparse error: 'vsi->netdev' could be null
(bsc#1012628).
- i40e: Fix sparse error: uninitialized symbol 'ring'
(bsc#1012628).
- i40e: Fix sparse errors in i40e_txrx.c (bsc#1012628).
- vdpa/mlx5: Fix suspend/resume index restoration (bsc#1012628).
- net: sched: sch_teql: fix null-pointer dereference
(bsc#1012628).
- net: sched: fix action overwrite reference counting
(bsc#1012628).
- nl80211: fix beacon head validation (bsc#1012628).
- nl80211: fix potential leak of ACL params (bsc#1012628).
- cfg80211: check S1G beacon compat element length (bsc#1012628).
- mac80211: fix time-is-after bug in mlme (bsc#1012628).
- mac80211: fix TXQ AC confusion (bsc#1012628).
- net: hsr: Reset MAC header for Tx path (bsc#1012628).
- net-ipv6: bugfix - raw & sctp - switch to
ipv6_can_nonlocal_bind() (bsc#1012628).
- net: let skb_orphan_partial wake-up waiters (bsc#1012628).
- thunderbolt: Fix a leak in tb_retimer_add() (bsc#1012628).
- thunderbolt: Fix off by one in tb_port_find_retimer()
(bsc#1012628).
- usbip: add sysfs_lock to synchronize sysfs code paths
(bsc#1012628).
- usbip: stub-dev synchronize sysfs code paths (bsc#1012628).
- usbip: vudc synchronize sysfs code paths (bsc#1012628).
- usbip: synchronize event handler with sysfs code paths
(bsc#1012628).
- driver core: Fix locking bug in
deferred_probe_timeout_work_func() (bsc#1012628).
- scsi: pm80xx: Fix chip initialization failure (bsc#1012628).
- scsi: target: iscsi: Fix zero tag inside a trace event
(bsc#1012628).
- percpu: make pcpu_nr_empty_pop_pages per chunk type
(bsc#1012628).
- i2c: turn recovery error on init to debug (bsc#1012628).
- powerpc/vdso: Make sure vdso_wrapper.o is rebuilt everytime
vdso.so is rebuilt (bsc#1012628).
- powerpc/ptrace: Don't return error when getting/setting FP
regs without CONFIG_PPC_FPU_REGS (bsc#1012628).
- KVM: x86/mmu: change TDP MMU yield function returns to match
cond_resched (bsc#1012628).
- KVM: x86/mmu: Merge flush and non-flush
tdp_mmu_iter_cond_resched (bsc#1012628).
- KVM: x86/mmu: Rename goal_gfn to next_last_level_gfn
(bsc#1012628).
- KVM: x86/mmu: Ensure forward progress when yielding in TDP
MMU iter (bsc#1012628).
- KVM: x86/mmu: Yield in TDU MMU iter even if no SPTES changed
(bsc#1012628).
- KVM: x86/mmu: Ensure TLBs are flushed when yielding during
GFN range zap (bsc#1012628).
- KVM: x86/mmu: Ensure TLBs are flushed for TDP MMU during NX
zapping (bsc#1012628).
- KVM: x86/mmu: Don't allow TDP MMU to yield when recovering NX
pages (bsc#1012628).
- KVM: x86/mmu: preserve pending TLB flush across calls to
kvm_tdp_mmu_zap_sp (bsc#1012628).
- net: sched: fix err handler in tcf_action_init() (bsc#1012628).
- ice: Refactor DCB related variables out of the ice_port_info
struct (bsc#1012628).
- ice: Recognize 860 as iSCSI port in CEE mode (bsc#1012628).
- xfrm: interface: fix ipv4 pmtu check to honor ip header df
(bsc#1012628).
- xfrm: Use actual socket sk instead of skb socket for
xfrm_output_resume (bsc#1012628).
- remoteproc: qcom: pil_info: avoid 64-bit division (bsc#1012628).
- regulator: bd9571mwv: Fix AVS and DVFS voltage range
(bsc#1012628).
- ARM: OMAP4: Fix PMIC voltage domains for bionic (bsc#1012628).
- ARM: OMAP4: PM: update ROM return address for OSWR and OFF
(bsc#1012628).
- remoteproc: pru: Fix firmware loading crashes on K3 SoCs
(bsc#1012628).
- net: xfrm: Localize sequence counter per network namespace
(bsc#1012628).
- esp: delete NETIF_F_SCTP_CRC bit from features for esp offload
(bsc#1012628).
- ASoC: SOF: Intel: HDA: fix core status verification
(bsc#1012628).
- ASoC: wm8960: Fix wrong bclk and lrclk with pll enabled for
some chips (bsc#1012628).
- xfrm: Fix NULL pointer dereference on policy lookup
(bsc#1012628).
- virtchnl: Fix layout of RSS structures (bsc#1012628).
- i40e: Added Asym_Pause to supported link modes (bsc#1012628).
- i40e: Fix kernel oops when i40e driver removes VF's
(bsc#1012628).
- hostfs: fix memory handling in follow_link() (bsc#1012628).
- amd-xgbe: Update DMA coherency values (bsc#1012628).
- vxlan: do not modify the shared tunnel info when PMTU triggers
an ICMP reply (bsc#1012628).
- geneve: do not modify the shared tunnel info when PMTU triggers
an ICMP reply (bsc#1012628).
- sch_red: fix off-by-one checks in red_check_params()
(bsc#1012628).
- drivers/net/wan/hdlc_fr: Fix a double free in pvc_xmit
(bsc#1012628).
- arm64: dts: imx8mm/q: Fix pad control of SD1_DATA0
(bsc#1012628).
- xfrm: Provide private skb extensions for segmented and hw
offloaded ESP packets (bsc#1012628).
- can: bcm/raw: fix msg_namelen values depending on
CAN_REQUIRED_SIZE (bsc#1012628).
- can: isotp: fix msg_namelen values depending on
CAN_REQUIRED_SIZE (bsc#1012628).
- can: uapi: can.h: mark union inside struct can_frame packed
(bsc#1012628).
- mlxsw: spectrum: Fix ECN marking in tunnel decapsulation
(bsc#1012628).
- ethernet: myri10ge: Fix a use after free in myri10ge_sw_tso
(bsc#1012628).
- gianfar: Handle error code at MAC address change (bsc#1012628).
- net: dsa: Fix type was not set for devlink port (bsc#1012628).
- clk: qcom: camcc: Update the clock ops for the SC7180
(bsc#1012628).
- cxgb4: avoid collecting SGE_QBASE regs during traffic
(bsc#1012628).
- net:tipc: Fix a double free in tipc_sk_mcast_rcv (bsc#1012628).
- ARM: dts: imx6: pbab01: Set vmmc supply for both SD interfaces
(bsc#1012628).
- net/ncsi: Avoid channel_monitor hrtimer deadlock (bsc#1012628).
- net: qrtr: Fix memory leak on qrtr_tx_wait failure
(bsc#1012628).
- nfp: flower: ignore duplicate merge hints from FW (bsc#1012628).
- net: phy: broadcom: Only advertise EEE for supported modes
(bsc#1012628).
- I2C: JZ4780: Fix bug for Ingenic X1000 (bsc#1012628).
- ASoC: sunxi: sun4i-codec: fill ASoC card owner (bsc#1012628).
- net/mlx5e: Fix mapping of ct_label zero (bsc#1012628).
- net/mlx5: Delete auxiliary bus driver eth-rep first
(bsc#1012628).
- net/mlx5e: Fix ethtool indication of connector type
(bsc#1012628).
- net/mlx5: Don't request more than supported EQs (bsc#1012628).
- net/mlx5e: Guarantee room for XSK wakeup NOP on async ICOSQ
(bsc#1012628).
- net/rds: Fix a use after free in rds_message_map_pages
(bsc#1012628).
- xdp: fix xdp_return_frame() kernel BUG throw for page_pool
memory model (bsc#1012628).
- soc/fsl: qbman: fix conflicting alignment attributes
(bsc#1012628).
- i40e: fix receiving of single packets in xsk zero-copy mode
(bsc#1012628).
- i40e: Fix display statistics for veb_tc (bsc#1012628).
- RDMA/rtrs-clt: Close rtrs client conn before destroying rtrs
clt session files (bsc#1012628).
- drm/msm: Set drvdata to NULL when msm_drm_init() fails
(bsc#1012628).
- net: udp: Add support for getsockopt(..., ..., UDP_GRO, ...,
...); (bsc#1012628).
- mptcp: forbit mcast-related sockopt on MPTCP sockets
(bsc#1012628).
- mptcp: revert "mptcp: provide subflow aware release function"
(bsc#1012628).
- scsi: ufs: core: Fix task management request completion timeout
(bsc#1012628).
- scsi: ufs: core: Fix wrong Task Tag used in task management
request UPIUs (bsc#1012628).
- drm/msm/disp/dpu1: program 3d_merge only if block is attached
(bsc#1012628).
- Revert "arm64: dts: marvell: armada-cp110: Switch to per-port
SATA interrupts" (bsc#1012628).
- ARM: dts: turris-omnia: fix hardware buffer management
(bsc#1012628).
- net: cls_api: Fix uninitialised struct field
bo->unlocked_driver_cb (bsc#1012628).
- net: macb: restore cmp registers on resume path (bsc#1012628).
- clk: fix invalid usage of list cursor in register (bsc#1012628).
- clk: fix invalid usage of list cursor in unregister
(bsc#1012628).
- workqueue: Move the position of debug_work_activate() in
__queue_work() (bsc#1012628).
- s390/cpcmd: fix inline assembly register clobbering
(bsc#1012628).
- perf inject: Fix repipe usage (bsc#1012628).
- openvswitch: fix send of uninitialized stack memory in ct
limit reply (bsc#1012628).
- i2c: designware: Adjust bus_freq_hz when refuse high speed
mode set (bsc#1012628).
- iwlwifi: fix 11ax disabled bit in the regulatory capability
flags (bsc#1012628).
- can: mcp251x: fix support for half duplex SPI host controllers
(bsc#1012628).
- platform/x86: intel-hid: Fix spurious wakeups caused by
tablet-mode events during suspend (bsc#1012628).
- tipc: increment the tmp aead refcnt before attaching it
(bsc#1012628).
- net: hns3: clear VF down state bit before request link status
(bsc#1012628).
- net/mlx5: Fix HW spec violation configuring uplink
(bsc#1012628).
- net/mlx5: Fix placement of log_max_flow_counter (bsc#1012628).
- net/mlx5: Fix PPLM register mapping (bsc#1012628).
- net/mlx5: Fix PBMC register mapping (bsc#1012628).
- RDMA/cxgb4: check for ipv6 address properly while destroying
listener (bsc#1012628).
- perf report: Fix wrong LBR block sorting (bsc#1012628).
- RDMA/qedr: Fix kernel panic when trying to access recv_cq
(bsc#1012628).
- drm/vc4: crtc: Reduce PV fifo threshold on hvs4 (bsc#1012628).
- i40e: Fix parameters in aq_get_phy_register() (bsc#1012628).
- RDMA/addr: Be strict with gid size (bsc#1012628).
- vdpa/mlx5: should exclude header length and fcs from mtu
(bsc#1012628).
- vdpa/mlx5: Fix wrong use of bit numbers (bsc#1012628).
- RAS/CEC: Correct ce_add_elem()'s returned values (bsc#1012628).
- clk: socfpga: fix iomem pointer cast on 64-bit (bsc#1012628).
- lockdep: Address clang -Wformat warning printing for %hd
(bsc#1012628).
- dt-bindings: net: ethernet-controller: fix typo in NVMEM
(bsc#1012628).
- net: sched: bump refcount for new action in ACT replace mode
(bsc#1012628).
- x86/traps: Correct exc_general_protection() and math_error()
return paths (bsc#1012628).
- gpiolib: Read "gpio-line-names" from a firmware node
(bsc#1012628).
- cfg80211: remove WARN_ON() in cfg80211_sme_connect
(bsc#1012628).
- net: tun: set tun->dev->addr_len during TUNSETLINK processing
(bsc#1012628).
- drivers: net: fix memory leak in atusb_probe (bsc#1012628).
- drivers: net: fix memory leak in peak_usb_create_dev
(bsc#1012628).
- net: mac802154: Fix general protection fault (bsc#1012628).
- net: ieee802154: nl-mac: fix check on panid (bsc#1012628).
- net: ieee802154: fix nl802154 del llsec key (bsc#1012628).
- net: ieee802154: fix nl802154 del llsec dev (bsc#1012628).
- net: ieee802154: fix nl802154 add llsec key (bsc#1012628).
- net: ieee802154: fix nl802154 del llsec devkey (bsc#1012628).
- net: ieee802154: forbid monitor for set llsec params
(bsc#1012628).
- net: ieee802154: forbid monitor for del llsec seclevel
(bsc#1012628).
- net: ieee802154: stop dump llsec params for monitors
(bsc#1012628).
- Revert "net: sched: bump refcount for new action in ACT replace
mode" (bsc#1012628).
- commit f68b7e1
-------------------------------------------------------------------
Wed Apr 14 06:52:47 CEST 2021 - jslaby@suse.cz
- rpm/check-for-config-changes: remove stale comment
It is stale since 8ab393bf905a committed in 2005 :).
- commit c9f9f5a
-------------------------------------------------------------------
Tue Apr 13 17:05:10 CEST 2021 - tiwai@suse.de
- rpm/mkspec: Use tilde instead of dot for version string with rc (bsc#1184650)
- commit f37613f
-------------------------------------------------------------------
Mon Apr 12 14:22:20 CEST 2021 - tiwai@suse.de
- rpm/kernel-binary.spec.in: Fix dependency of kernel-*-devel package (bsc#1184514)
The devel package requires the kernel binary package itself for building
modules externally.
- commit 794be7b
-------------------------------------------------------------------
Mon Apr 12 00:31:38 CEST 2021 - mkubecek@suse.cz
- Update to 5.12-rc7
- commit bd61ada
-------------------------------------------------------------------
Sun Apr 11 19:59:36 CEST 2021 - jslaby@suse.cz
- drm/msm: a6xx: fix version check for the A650 SQE microcode
(git-fixes).
- commit b15020c
-------------------------------------------------------------------
Sun Apr 11 18:10:28 CEST 2021 - jslaby@suse.cz
- Linux 5.11.13 (bsc#1012628).
- init/Kconfig: make COMPILE_TEST depend on HAS_IOMEM
(bsc#1012628).
- Update config files.
- bpf, x86: Validate computation of branch displacements for
x86-32 (bsc#1012628).
- bpf, x86: Validate computation of branch displacements for
x86-64 (bsc#1012628).
- tools/resolve_btfids: Add /libbpf to .gitignore (bsc#1012628).
- kbuild: Do not clean resolve_btfids if the output does not exist
(bsc#1012628).
- kbuild: Add resolve_btfids clean to root clean target
(bsc#1012628).
- tools/resolve_btfids: Set srctree variable unconditionally
(bsc#1012628).
- tools/resolve_btfids: Check objects before removing
(bsc#1012628).
- tools/resolve_btfids: Build libbpf and libsubcmd in separate
directories (bsc#1012628).
- math: Export mul_u64_u64_div_u64 (bsc#1012628).
- io_uring: fix timeout cancel return code (bsc#1012628).
- cifs: Silently ignore unknown oplock break handle (bsc#1012628).
- cifs: revalidate mapping when we open files for SMB1 POSIX
(bsc#1012628).
- ia64: fix format strings for err_inject (bsc#1012628).
- ia64: mca: allocate early mca with GFP_ATOMIC (bsc#1012628).
- selftests/vm: fix out-of-tree build (bsc#1012628).
- arm64: kernel: disable CNP on Carmel (bsc#1012628).
- Update config files.
- scsi: target: pscsi: Clean up after failure in pscsi_map_sg()
(bsc#1012628).
- ptp_qoriq: fix overflow in ptp_qoriq_adjfine() u64 calcalation
(bsc#1012628).
- platform/x86: intel_pmc_core: Ignore GBE LTR on Tiger Lake
platforms (bsc#1012628).
- platform/x86: intel_pmt_class: Initial resource to 0
(bsc#1012628).
- block: clear GD_NEED_PART_SCAN later in bdev_disk_changed
(bsc#1012628).
- x86/build: Turn off -fcf-protection for realmode targets
(bsc#1012628).
- drm/msm/disp/dpu1: icc path needs to be set before dpu runtime
resume (bsc#1012628).
- kselftest/arm64: sve: Do not use non-canonical FFR register
value (bsc#1012628).
- platform/x86: thinkpad_acpi: Allow the FnLock LED to change
state (bsc#1012628).
- net: ipa: fix init header command validation (bsc#1012628).
- netfilter: nftables: skip hook overlap logic if flowtable is
stale (bsc#1012628).
- netfilter: conntrack: Fix gre tunneling over ipv6 (bsc#1012628).
- drm/msm: Ratelimit invalid-fence message (bsc#1012628).
- drm/msm/adreno: a5xx_power: Don't apply A540 lm_setup to other
GPUs (bsc#1012628).
- drm/msm/dsi_pll_7nm: Fix variable usage for pll_lockdet_rate
(bsc#1012628).
- mac80211: choose first enabled channel for monitor
(bsc#1012628).
- mac80211: Check crypto_aead_encrypt for errors (bsc#1012628).
- can: kvaser_usb: Add support for USBcan Pro 4xHS (bsc#1012628).
- net: arcnet: com20020 fix error handling (bsc#1012628).
- mISDN: fix crash in fritzpci (bsc#1012628).
- kunit: tool: Fix a python tuple typing error (bsc#1012628).
- net: pxa168_eth: Fix a potential data race in pxa168_eth_remove
(bsc#1012628).
- net/mlx5e: Enforce minimum value check for ICOSQ size
(bsc#1012628).
- bpf, x86: Use kvmalloc_array instead kmalloc_array in
bpf_jit_comp (bsc#1012628).
- platform/x86: intel-hid: Support Lenovo ThinkPad X1 Tablet Gen 2
(bsc#1012628).
- drm/msm: a6xx: Make sure the SQE microcode is safe
(bsc#1012628).
- bus: ti-sysc: Fix warning on unbind if reset is not deasserted
(bsc#1012628).
- ARM: dts: am33xx: add aliases for mmc interfaces (bsc#1012628).
- commit 0ea11a6
-------------------------------------------------------------------
Fri Apr 9 22:32:34 CEST 2021 - msuchanek@suse.de
- Add obsolete_rebuilds_subpackage (boo#1172073 bsc#1191731).
- commit f037781
-------------------------------------------------------------------
Fri Apr 9 12:42:23 CEST 2021 - msuchanek@suse.de
- rpm/check-for-config-changes: Also ignore AS_VERSION added in 5.12.
- commit bd64cb2
-------------------------------------------------------------------
Fri Apr 9 09:23:27 CEST 2021 - msuchanek@suse.de
- post.sh: Return an error when module update fails (bsc#1047233 bsc#1184388).
- commit 18f65df
-------------------------------------------------------------------
Fri Apr 9 07:39:04 CEST 2021 - jslaby@suse.cz
- Update
patches.kernel.org/5.11.9-100-perf-x86-intel-Fix-a-crash-caused-by-zero-PEBS.patch
(bsc#1012628 CVE-2021-28971 bsc#1184196).
Add a CVE reference.
- commit 1b6b086
-------------------------------------------------------------------
Wed Apr 7 19:30:21 CEST 2021 - jslaby@suse.cz
- Linux 5.11.12 (bsc#1012628).
- arm64: mm: correct the inside linear map range during hotplug
check (bsc#1012628).
- virtiofs: Fail dax mount if device does not support it
(bsc#1012628).
- ext4: shrink race window in ext4_should_retry_alloc()
(bsc#1012628).
- ext4: fix bh ref count on error paths (bsc#1012628).
- fs: nfsd: fix kconfig dependency warning for NFSD_V4
(bsc#1012628).
- rpc: fix NULL dereference on kmalloc failure (bsc#1012628).
- iomap: Fix negative assignment to unsigned sis->pages in
iomap_swapfile_activate (bsc#1012628).
- ASoC: rt1015: fix i2c communication error (bsc#1012628).
- ASoC: rt5640: Fix dac- and adc- vol-tlv values being off by
a factor of 10 (bsc#1012628).
- ASoC: rt5651: Fix dac- and adc- vol-tlv values being off by
a factor of 10 (bsc#1012628).
- ASoC: sgtl5000: set DAP_AVC_CTRL register to correct default
value on probe (bsc#1012628).
- ASoC: es8316: Simplify adc_pga_gain_tlv table (bsc#1012628).
- ASoC: soc-core: Prevent warning if no DMI table is present
(bsc#1012628).
- ASoC: cs42l42: Fix Bitclock polarity inversion (bsc#1012628).
- ASoC: cs42l42: Fix channel width support (bsc#1012628).
- ASoC: cs42l42: Fix mixer volume control (bsc#1012628).
- ASoC: cs42l42: Always wait at least 3ms after reset
(bsc#1012628).
- NFSD: fix error handling in NFSv4.0 callbacks (bsc#1012628).
- ASoC: mediatek: mt8192: fix tdm out data is valid on rising edge
(bsc#1012628).
- kernel: freezer should treat PF_IO_WORKER like PF_KTHREAD for
freezing (bsc#1012628).
- vhost: Fix vhost_vq_reset() (bsc#1012628).
- io_uring: fix ->flags races by linked timeouts (bsc#1012628).
- io_uring: halt SQO submission on ctx exit (bsc#1012628).
- scsi: st: Fix a use after free in st_open() (bsc#1012628).
- scsi: qla2xxx: Fix broken #endif placement (bsc#1012628).
- staging: comedi: cb_pcidas: fix request_irq() warn
(bsc#1012628).
- staging: comedi: cb_pcidas64: fix request_irq() warn
(bsc#1012628).
- ASoC: rt5659: Update MCLK rate in set_sysclk() (bsc#1012628).
- ASoC: rt711: add snd_soc_component remove callback
(bsc#1012628).
- thermal/core: Add NULL pointer check before using cooling
device stats (bsc#1012628).
- locking/ww_mutex: Simplify use_ww_ctx & ww_ctx handling
(bsc#1012628).
- locking/ww_mutex: Fix acquire/release imbalance in
ww_acquire_init()/ww_acquire_fini() (bsc#1012628).
- nvmet-tcp: fix kmap leak when data digest in use (bsc#1012628).
- io_uring: imply MSG_NOSIGNAL for send[msg]()/recv[msg]() calls
(bsc#1012628).
- Revert "PM: ACPI: reboot: Use S5 for reboot" (bsc#1012628).
- nouveau: Skip unvailable ttm page entries (bsc#1012628).
- static_call: Align static_call_is_init() patching condition
(bsc#1012628).
- ext4: do not iput inode under running transaction in
ext4_rename() (bsc#1012628).
- io_uring: call req_set_fail_links() on short
send[msg]()/recv[msg]() with MSG_WAITALL (bsc#1012628).
- net: mvpp2: fix interrupt mask/unmask skip condition
(bsc#1012628).
- mptcp: deliver ssk errors to msk (bsc#1012628).
- mptcp: fix poll after shutdown (bsc#1012628).
- mptcp: init mptcp request socket earlier (bsc#1012628).
- mptcp: add a missing retransmission timer scheduling
(bsc#1012628).
- flow_dissector: fix TTL and TOS dissection on IPv4 fragments
(bsc#1012628).
- mptcp: fix DATA_FIN processing for orphaned sockets
(bsc#1012628).
- mptcp: provide subflow aware release function (bsc#1012628).
- can: dev: move driver related infrastructure into separate
subdir (bsc#1012628).
- net: introduce CAN specific pointer in the struct net_device
(bsc#1012628).
- mptcp: fix race in release_cb (bsc#1012628).
- net: bonding: fix error return code of bond_neigh_init()
(bsc#1012628).
- mptcp: fix bit MPTCP_PUSH_PENDING tests (bsc#1012628).
- can: tcan4x5x: fix max register value (bsc#1012628).
- brcmfmac: clear EAP/association status bits on linkdown events
(bsc#1012628).
- ath11k: add ieee80211_unregister_hw to avoid kernel crash
caused by NULL pointer (bsc#1012628).
- rtw88: coex: 8821c: correct antenna switch function
(bsc#1012628).
- netdevsim: dev: Initialize FIB module after debugfs
(bsc#1012628).
- iwlwifi: pcie: don't disable interrupts for reg_lock
(bsc#1012628).
- ath10k: hold RCU lock when calling
ieee80211_find_sta_by_ifaddr() (bsc#1012628).
- net: ethernet: aquantia: Handle error cleanup of start on open
(bsc#1012628).
- appletalk: Fix skb allocation size in loopback case
(bsc#1012628).
- net: ipa: remove two unused register definitions (bsc#1012628).
- net: ipa: use a separate pointer for adjusted GSI memory
(bsc#1012628).
- net: ipa: fix register write command validation (bsc#1012628).
- net: wan/lmc: unregister device when no matching device is found
(bsc#1012628).
- net: 9p: advance iov on empty read (bsc#1012628).
- bpf: Remove MTU check in __bpf_skb_max_len (bsc#1012628).
- ACPI: tables: x86: Reserve memory occupied by ACPI tables
(bsc#1012628).
- ACPI: processor: Fix CPU0 wakeup in acpi_idle_play_dead()
(bsc#1012628).
- ACPI: scan: Fix _STA getting called on devices with unmet
dependencies (bsc#1012628).
- ALSA: usb-audio: Apply sample rate quirk to Logitech Connect
(bsc#1012628).
- ALSA: hda: Re-add dropped snd_poewr_change_state() calls
(bsc#1012628).
- ALSA: hda: Add missing sanity checks in PM prepare/complete
callbacks (bsc#1012628).
- ALSA: hda/realtek: fix a determine_headset_type issue for a
Dell AIO (bsc#1012628).
- ALSA: hda/realtek: call alc_update_headset_mode() in
hp_automute_hook (bsc#1012628).
- ALSA: hda/realtek: fix mute/micmute LEDs for HP 640 G8
(bsc#1012628).
- xtensa: fix uaccess-related livelock in do_page_fault
(bsc#1012628).
- xtensa: move coprocessor_flush to the .text section
(bsc#1012628).
- KVM: SVM: load control fields from VMCB12 before checking them
(bsc#1012628).
- KVM: SVM: ensure that EFER.SVME is set when running nested
guest or on nested vmexit (bsc#1012628).
- PM: runtime: Fix race getting/putting suppliers at probe
(bsc#1012628).
- PM: runtime: Fix ordering in pm_runtime_get_suppliers()
(bsc#1012628).
- tracing: Fix stack trace event size (bsc#1012628).
- s390/vdso: copy tod_steering_delta value to vdso_data page
(bsc#1012628).
- s390/vdso: fix tod_steering_delta type (bsc#1012628).
- drm/ttm: make ttm_bo_unpin more defensive (bsc#1012628).
- mm: fix race by making init_zero_pfn() early_initcall
(bsc#1012628).
- drm/amdkfd: dqm fence memory corruption (bsc#1012628).
- drm/amd/pm: no need to force MCLK to highest when no display
connected (bsc#1012628).
- drm/amdgpu/vangogh: don't check for dpm in is_dpm_running when
in suspend (bsc#1012628).
- drm/amdgpu: fix offset calculation in
amdgpu_vm_bo_clear_mappings() (bsc#1012628).
- drm/amdgpu: Set a suitable dev_info.gart_page_size
(bsc#1012628).
- drm/amdgpu: check alignment on CPU page for bo map
(bsc#1012628).
- reiserfs: update reiserfs_xattrs_initialized() condition
(bsc#1012628).
- drm/imx: fix memory leak when fails to init (bsc#1012628).
- drm/tegra: dc: Restore coupling of display controllers
(bsc#1012628).
- drm/tegra: sor: Grab runtime PM reference across reset
(bsc#1012628).
- vfio/nvlink: Add missing SPAPR_TCE_IOMMU depends (bsc#1012628).
- pinctrl: microchip-sgpio: Fix wrong register offset for IRQ
trigger (bsc#1012628).
- pinctrl: rockchip: fix restore error in resume (bsc#1012628).
- pinctrl: qcom: sc7280: Fix SDC_QDSD_PINGROUP and UFS_RESET
offsets (bsc#1012628).
- pinctrl: qcom: sc7280: Fix SDC1_RCLK configurations
(bsc#1012628).
- pinctrl: qcom: lpass lpi: use default pullup/strength values
(bsc#1012628).
- pinctrl: qcom: fix unintentional string concatenation
(bsc#1012628).
- extcon: Add stubs for extcon_register_notifier_all() functions
(bsc#1012628).
- extcon: Fix error handling in extcon_dev_register (bsc#1012628).
- firmware: stratix10-svc: reset COMMAND_RECONFIG_FLAG_PARTIAL
to 0 (bsc#1012628).
- powerpc/pseries/mobility: use struct for shared state
(bsc#1012628).
- powerpc/pseries/mobility: handle premature return from H_JOIN
(bsc#1012628).
- usb: dwc3: pci: Enable dis_uX_susphy_quirk for Intel Merrifield
(bsc#1012628).
- video: hyperv_fb: Fix a double free in hvfb_probe (bsc#1012628).
- powerpc/mm/book3s64: Use the correct storage key value when
calling H_PROTECT (bsc#1012628).
- usbip: vhci_hcd fix shift out-of-bounds in vhci_hub_control()
(bsc#1012628).
- USB: quirks: ignore remote wake-up on Fibocom L850-GL LTE modem
(bsc#1012628).
- usb: musb: Fix suspend with devices connected for a64
(bsc#1012628).
- usb: xhci-mtk: fix broken streams issue on 0.96 xHCI
(bsc#1012628).
- cdc-acm: fix BREAK rx code path adding necessary calls
(bsc#1012628).
- USB: cdc-acm: untangle a circular dependency between callback
and softint (bsc#1012628).
- USB: cdc-acm: downgrade message to debug (bsc#1012628).
- USB: cdc-acm: fix double free on probe failure (bsc#1012628).
- USB: cdc-acm: fix use-after-free after probe failure
(bsc#1012628).
- usb: gadget: udc: amd5536udc_pci fix null-ptr-dereference
(bsc#1012628).
- usb: dwc2: Fix HPRT0.PrtSusp bit setting for HiKey 960 board
(bsc#1012628).
- usb: dwc2: Prevent core suspend when port connection flag is 0
(bsc#1012628).
- usb: dwc3: qcom: skip interconnect init for ACPI probe
(bsc#1012628).
- usb: dwc3: gadget: Clear DEP flags after stop transfers in ep
disable (bsc#1012628).
- soc: qcom-geni-se: Cleanup the code to remove proxy votes
(bsc#1012628).
- staging: rtl8192e: Fix incorrect source in memcpy()
(bsc#1012628).
- staging: rtl8192e: Change state information from u16 to u8
(bsc#1012628).
- driver core: clear deferred probe reason on probe retry
(bsc#1012628).
- drivers: video: fbcon: fix NULL dereference in fbcon_cursor()
(bsc#1012628).
- riscv: evaluate put_user() arg before enabling user access
(bsc#1012628).
- io_uring: do ctx sqd ejection in a clear context (bsc#1012628).
- Revert "kernel: freezer should treat PF_IO_WORKER like
PF_KTHREAD for freezing" (bsc#1012628).
- Revert "net: bonding: fix error return code of
bond_neigh_init()" (bsc#1012628).
- commit 92a542e
-------------------------------------------------------------------
Wed Apr 7 10:18:52 CEST 2021 - dmueller@suse.com
- config.conf: reenable armv6/armv7 configs
(all modules, otherwise same settings like arm64)
- commit d115d63
-------------------------------------------------------------------
Wed Apr 7 09:51:47 CEST 2021 - dmueller@suse.com
- arm64: add debug config with KASAN enabled (bsc#1183716)
- commit b68cba9
-------------------------------------------------------------------
Tue Apr 6 17:45:31 CEST 2021 - tiwai@suse.de
- firewire: nosy: Fix a use-after-free bug in nosy_ioctl()
(CVE-2021-3483 bsc#1184393).
- commit c90d8a9
-------------------------------------------------------------------
Tue Apr 6 16:01:19 CEST 2021 - tiwai@suse.de
- drm/i915: Fix invalid access to ACPI _DSM objects (bsc#1184074).
- commit 6dbaa20
-------------------------------------------------------------------
Tue Apr 6 11:28:47 CEST 2021 - dmueller@suse.com
- arm64: enable and update config for 5.12
- commit 0a5586c
-------------------------------------------------------------------
Mon Apr 5 00:09:26 CEST 2021 - mkubecek@suse.cz
- Update to 5.12-rc6
- commit b5f88e6
-------------------------------------------------------------------
Tue Mar 30 19:20:11 CEST 2021 - jslaby@suse.cz
- Linux 5.11.11 (bsc#1012628).
- mt76: fix tx skb error handling in mt76_dma_tx_queue_skb
(bsc#1012628).
- mt76: mt7915: only modify tx buffer list after allocating tx
token id (bsc#1012628).
- net: stmmac: fix dma physical address of descriptor when
display ring (bsc#1012628).
- net: fec: ptp: avoid register access when ipg clock is disabled
(bsc#1012628).
- powerpc/4xx: Fix build errors from mfdcr() (bsc#1012628).
- atm: eni: dont release is never initialized (bsc#1012628).
- atm: lanai: dont run lanai_dev_close if not open (bsc#1012628).
- Revert "r8152: adjust the settings about MAC clock speed down
for RTL8153" (bsc#1012628).
- ALSA: hda: ignore invalid NHLT table (bsc#1012628).
- ixgbe: Fix memleak in ixgbe_configure_clsu32 (bsc#1012628).
- scsi: ufs: ufs-qcom: Disable interrupt in reset path
(bsc#1012628).
- blk-cgroup: Fix the recursive blkg rwstat (bsc#1012628).
- net: tehuti: fix error return code in bdx_probe() (bsc#1012628).
- net: intel: iavf: fix error return code of
iavf_init_get_resources() (bsc#1012628).
- sun/niu: fix wrong RXMAC_BC_FRM_CNT_COUNT count (bsc#1012628).
- gianfar: fix jumbo packets+napi+rx overrun crash (bsc#1012628).
- cifs: ask for more credit on async read/write code paths
(bsc#1012628).
- gfs2: fix use-after-free in trans_drain (bsc#1012628).
- cpufreq: blacklist Arm Vexpress platforms in cpufreq-dt-platdev
(bsc#1012628).
- gpiolib: acpi: Add missing IRQF_ONESHOT (bsc#1012628).
- nfs: fix PNFS_FLEXFILE_LAYOUT Kconfig default (bsc#1012628).
- NFS: Correct size calculation for create reply length
(bsc#1012628).
- net: hisilicon: hns: fix error return code of
hns_nic_clear_all_rx_fetch() (bsc#1012628).
- net: wan: fix error return code of uhdlc_init() (bsc#1012628).
- net: davicom: Use platform_get_irq_optional() (bsc#1012628).
- net: enetc: set MAC RX FIFO to recommended value (bsc#1012628).
- atm: uPD98402: fix incorrect allocation (bsc#1012628).
- atm: idt77252: fix null-ptr-dereference (bsc#1012628).
- cifs: change noisy error message to FYI (bsc#1012628).
- irqchip/ingenic: Add support for the JZ4760 (bsc#1012628).
- kbuild: add image_name to no-sync-config-targets (bsc#1012628).
- umem: fix error return code in mm_pci_probe() (bsc#1012628).
- sparc64: Fix opcode filtering in handling of no fault loads
(bsc#1012628).
- habanalabs: Call put_pid() when releasing control device
(bsc#1012628).
- habanalabs: Disable file operations after device is removed
(bsc#1012628).
- staging: rtl8192e: fix kconfig dependency on CRYPTO
(bsc#1012628).
- u64_stats,lockdep: Fix u64_stats_init() vs lockdep
(bsc#1012628).
- kselftest: arm64: Fix exit code of sve-ptrace (bsc#1012628).
- regulator: qcom-rpmh: Correct the pmic5_hfsmps515 buck
(bsc#1012628).
- regulator: qcom-rpmh: Use correct buck for S1C regulator
(bsc#1012628).
- block: Fix REQ_OP_ZONE_RESET_ALL handling (bsc#1012628).
- drm/amd/display: Enable pflip interrupt upon pipe enable
(bsc#1012628).
- drm/amd/display: Enabled pipe harvesting in dcn30 (bsc#1012628).
- drm/amdgpu/display: Use wm_table.entries for dcn301 calculate_wm
(bsc#1012628).
- drm/amdgpu: fb BO should be ttm_bo_type_device (bsc#1012628).
- drm/radeon: fix AGP dependency (bsc#1012628).
- nvme: simplify error logic in nvme_validate_ns() (bsc#1012628).
- nvme: add NVME_REQ_CANCELLED flag in nvme_cancel_request()
(bsc#1012628).
- nvme-fc: set NVME_REQ_CANCELLED in nvme_fc_terminate_exchange()
(bsc#1012628).
- nvme-fc: return NVME_SC_HOST_ABORTED_CMD when a command has
been aborted (bsc#1012628).
- nvme-core: check ctrl css before setting up zns (bsc#1012628).
- nvme-rdma: Fix a use after free in nvmet_rdma_write_data_done
(bsc#1012628).
- nvme-pci: add the DISABLE_WRITE_ZEROES quirk for a Samsung
PM1725a (bsc#1012628).
- nfs: we don't support removing system.nfs4_acl (bsc#1012628).
- block: Suppress uevent for hidden device when removed
(bsc#1012628).
- io_uring: cancel deferred requests in try_cancel (bsc#1012628).
- mm/fork: clear PASID for new mm (bsc#1012628).
- ia64: fix ia64_syscall_get_set_arguments() for break-based
syscalls (bsc#1012628).
- ia64: fix ptrace(PTRACE_SYSCALL_INFO_EXIT) sign (bsc#1012628).
- static_call: Pull some static_call declarations to the type
headers (bsc#1012628).
- static_call: Allow module use without exposing static_call_key
(bsc#1012628).
- static_call: Fix the module key fixup (bsc#1012628).
- static_call: Fix static_call_set_init() (bsc#1012628).
- KVM: x86: Protect userspace MSR filter with SRCU, and set
atomically-ish (bsc#1012628).
- btrfs: do not initialize dev stats if we have no dev_root
(bsc#1012628).
- btrfs: do not initialize dev replace for bad dev root
(bsc#1012628).
- btrfs: fix check_data_csum() error message for direct I/O
(bsc#1012628).
- btrfs: initialize device::fs_info always (bsc#1012628).
- btrfs: fix sleep while in non-sleep context during qgroup
removal (bsc#1012628).
- btrfs: fix subvolume/snapshot deletion not triggered on mount
(bsc#1012628).
- selinux: don't log MAC_POLICY_LOAD record on failed policy load
(bsc#1012628).
- selinux: fix variable scope issue in live sidtab conversion
(bsc#1012628).
- netsec: restore phy power state after controller reset
(bsc#1012628).
- platform/x86: intel-vbtn: Stop reporting SW_DOCK events
(bsc#1012628).
- psample: Fix user API breakage (bsc#1012628).
- z3fold: prevent reclaim/free race for headless pages
(bsc#1012628).
- squashfs: fix inode lookup sanity checks (bsc#1012628).
- squashfs: fix xattr id and id lookup sanity checks
(bsc#1012628).
- hugetlb_cgroup: fix imbalanced css_get and css_put pair for
shared mappings (bsc#1012628).
- kasan: fix per-page tags for non-page_alloc pages (bsc#1012628).
- gcov: fix clang-11+ support (bsc#1012628).
- mm/highmem: fix CONFIG_DEBUG_KMAP_LOCAL_FORCE_MAP (bsc#1012628).
- ACPI: video: Add missing callback back for Sony VPCEH3U1E
(bsc#1012628).
- ACPICA: Always create namespace nodes using
acpi_ns_create_node() (bsc#1012628).
- arm64: stacktrace: don't trace arch_stack_walk() (bsc#1012628).
- arm64: dts: ls1046a: mark crypto engine dma coherent
(bsc#1012628).
- arm64: dts: ls1012a: mark crypto engine dma coherent
(bsc#1012628).
- arm64: dts: ls1043a: mark crypto engine dma coherent
(bsc#1012628).
- ARM: dts: at91: sam9x60: fix mux-mask for PA7 so it can be
set to A, B and C (bsc#1012628).
- ARM: dts: at91: sam9x60: fix mux-mask to match product's
datasheet (bsc#1012628).
- ARM: dts: at91-sama5d27_som1: fix phy address to 7
(bsc#1012628).
- integrity: double check iint_cache was initialized
(bsc#1012628).
- drm/etnaviv: Use FOLL_FORCE for userptr (bsc#1012628).
- drm/amd/pm: workaround for audio noise issue (bsc#1012628).
- drm/amdgpu/display: restore AUX_DPHY_TX_CONTROL for DCN2.x
(bsc#1012628).
- drm/amdgpu: fix the hibernation suspend with s0ix (bsc#1012628).
- drm/amdgpu: Add additional Sienna Cichlid PCI ID (bsc#1012628).
- drm/i915/dsc: fix DSS CTL register usage for ICL DSI transcoders
(bsc#1012628).
- drm/i915: Fix the GT fence revocation runtime PM logic
(bsc#1012628).
- dm verity: fix DM_VERITY_OPTS_MAX value (bsc#1012628).
- dm: don't report "detected capacity change" on device creation
(bsc#1012628).
- dm ioctl: fix out of bounds array access when no devices
(bsc#1012628).
- bus: omap_l3_noc: mark l3 irqs as IRQF_NO_THREAD (bsc#1012628).
- soc: ti: omap-prm: Fix reboot issue with invalid pcie reset
map for dra7 (bsc#1012628).
- ARM: OMAP2+: Fix smartreflex init regression after dropping
legacy data (bsc#1012628).
- soc: ti: omap-prm: Fix occasional abort on reset deassert for
dra7 iva (bsc#1012628).
- veth: Store queue_mapping independently of XDP prog presence
(bsc#1012628).
- bpf: Dont allow vmlinux BTF to be used in map_create and
prog_load (bsc#1012628).
- bpf: Change inode_storage's lookup_elem return value from NULL
to -EBADF (bsc#1012628).
- libbpf: Fix INSTALL flag order (bsc#1012628).
- net/mlx5e: RX, Mind the MPWQE gaps when calculating offsets
(bsc#1012628).
- net/mlx5e: Set PTP channel pointer explicitly to NULL
(bsc#1012628).
- net/mlx5e: When changing XDP program without reset, take refs
for XSK RQs (bsc#1012628).
- net/mlx5e: Revert parameters on errors when changing PTP state
without reset (bsc#1012628).
- net/mlx5e: Don't match on Geneve options in case option masks
are all zero (bsc#1012628).
- net/mlx5e: E-switch, Fix rate calculation division
(bsc#1012628).
- ipv6: fix suspecious RCU usage warning (bsc#1012628).
- drop_monitor: Perform cleanup upon probe registration failure
(bsc#1012628).
- macvlan: macvlan_count_rx() needs to be aware of preemption
(bsc#1012628).
- net: sched: validate stab values (bsc#1012628).
- net: dsa: bcm_sf2: Qualify phydev->dev_flags based on port
(bsc#1012628).
- igc: reinit_locked() should be called with rtnl_lock
(bsc#1012628).
- igc: Fix Pause Frame Advertising (bsc#1012628).
- igc: Fix Supported Pause Frame Link Setting (bsc#1012628).
- igc: Fix igc_ptp_rx_pktstamp() (bsc#1012628).
- e1000e: add rtnl_lock() to e1000_reset_task (bsc#1012628).
- e1000e: Fix error handling in e1000_set_d0_lplu_state_82571
(bsc#1012628).
- kunit: tool: Disable PAGE_POISONING under --alltests
(bsc#1012628).
- net/qlcnic: Fix a use after free in
qlcnic_83xx_get_minidump_template (bsc#1012628).
- net: phy: broadcom: Add power down exit reset state delay
(bsc#1012628).
- ice: fix napi work done reporting in xsk path (bsc#1012628).
- ftgmac100: Restart MAC HW once (bsc#1012628).
- clk: qcom: gcc-sc7180: Use floor ops for the correct sdcc1 clk
(bsc#1012628).
- net: ipa: terminate message handler arrays (bsc#1012628).
- net: qrtr: fix a kernel-infoleak in qrtr_recvmsg()
(bsc#1012628).
- flow_dissector: fix byteorder of dissected ICMP ID
(bsc#1012628).
- selftests/bpf: Set gopt opt_class to 0 if get tunnel opt failed
(bsc#1012628).
- netfilter: ctnetlink: fix dump of the expect mask attribute
(bsc#1012628).
- net: hdlc_x25: Prevent racing between "x25_close" and
"x25_xmit"/"x25_rx" (bsc#1012628).
- net: phylink: Fix phylink_err() function name error in
phylink_major_config (bsc#1012628).
- tipc: better validate user input in tipc_nl_retrieve_key()
(bsc#1012628).
- tcp: relookup sock for RST+ACK packets handled by obsolete
req sock (bsc#1012628).
- mptcp: fix ADD_ADDR HMAC in case port is specified
(bsc#1012628).
- can: isotp: isotp_setsockopt(): only allow to set low level
TX flags for CAN-FD (bsc#1012628).
- can: isotp: TX-path: ensure that CAN frame flags are initialized
(bsc#1012628).
- can: peak_usb: add forgotten supported devices (bsc#1012628).
- can: flexcan: flexcan_chip_freeze(): fix chip freeze for
missing bitrate (bsc#1012628).
- can: kvaser_pciefd: Always disable bus load reporting
(bsc#1012628).
- can: c_can_pci: c_can_pci_remove(): fix use-after-free
(bsc#1012628).
- can: c_can: move runtime PM enable/disable to c_can_platform
(bsc#1012628).
- can: m_can: m_can_do_rx_poll(): fix extraneous msg loss warning
(bsc#1012628).
- can: m_can: m_can_rx_peripheral(): fix RX being blocked by
errors (bsc#1012628).
- mac80211: fix rate mask reset (bsc#1012628).
- mac80211: Allow HE operation to be longer than expected
(bsc#1012628).
- selftests/net: fix warnings on reuseaddr_ports_exhausted
(bsc#1012628).
- nfp: flower: fix unsupported pre_tunnel flows (bsc#1012628).
- nfp: flower: add ipv6 bit to pre_tunnel control message
(bsc#1012628).
- nfp: flower: fix pre_tun mask id allocation (bsc#1012628).
- ftrace: Fix modify_ftrace_direct (bsc#1012628).
- drm/msm/dsi: fix check-before-set in the 7nm dsi_pll code
(bsc#1012628).
- ionic: linearize tso skb with too many frags (bsc#1012628).
- net/sched: cls_flower: fix only mask bit check in the
validate_ct_state (bsc#1012628).
- netfilter: nftables: report EOPNOTSUPP on unsupported flowtable
flags (bsc#1012628).
- netfilter: nftables: allow to update flowtable flags
(bsc#1012628).
- netfilter: flowtable: Make sure GC works periodically in idle
system (bsc#1012628).
- libbpf: Fix error path in bpf_object__elf_init() (bsc#1012628).
- libbpf: Use SOCK_CLOEXEC when opening the netlink socket
(bsc#1012628).
- ARM: dts: imx6ull: fix ubi filesystem mount failed
(bsc#1012628).
- ipv6: weaken the v4mapped source check (bsc#1012628).
- octeontx2-af: Formatting debugfs entry rsrc_alloc (bsc#1012628).
- octeontx2-af: Remove TOS field from MKEX TX (bsc#1012628).
- octeontx2-af: Fix irq free in rvu teardown (bsc#1012628).
- octeontx2-pf: Clear RSS enable flag on interace down
(bsc#1012628).
- octeontx2-af: fix infinite loop in unmapping NPC counter
(bsc#1012628).
- net: check all name nodes in __dev_alloc_name (bsc#1012628).
- net: cdc-phonet: fix data-interface release on probe failure
(bsc#1012628).
- igb: check timestamp validity (bsc#1012628).
- sctp: move sk_route_caps check and set into
sctp_outq_flush_transports (bsc#1012628).
- r8152: limit the RX buffer size of RTL8153A for USB 2.0
(bsc#1012628).
- net: stmmac: dwmac-sun8i: Provide TX and RX fifo sizes
(bsc#1012628).
- selinux: vsock: Set SID for socket returned by accept()
(bsc#1012628).
- selftests: forwarding: vxlan_bridge_1d: Fix vxlan ecn
decapsulate value (bsc#1012628).
- libbpf: Fix BTF dump of pointer-to-array-of-struct
(bsc#1012628).
- bpf: Fix umd memory leak in copy_process() (bsc#1012628).
- can: isotp: tx-path: zero initialize outgoing CAN frames
(bsc#1012628).
- platform/x86: dell-wmi-sysman: Fix crash caused by calling
kset_unregister twice (bsc#1012628).
- platform/x86: dell-wmi-sysman: Fix possible NULL pointer deref
on exit (bsc#1012628).
- platform/x86: dell-wmi-sysman: Make it safe to call
exit_foo_attributes() multiple times (bsc#1012628).
- platform/x86: dell-wmi-sysman: Fix release_attributes_data()
getting called twice on init_bios_attributes() failure
(bsc#1012628).
- platform/x86: dell-wmi-sysman: Cleanup sysman_init() error-exit
handling (bsc#1012628).
- platform/x86: dell-wmi-sysman: Make sysman_init() return
-ENODEV of the interfaces are not found (bsc#1012628).
- drm/msm: fix shutdown hook in case GPU components failed to bind
(bsc#1012628).
- drm/msm: Fix suspend/resume on i.MX5 (bsc#1012628).
- arm64: kdump: update ppos when reading elfcorehdr (bsc#1012628).
- PM: runtime: Defer suspending suppliers (bsc#1012628).
- net/mlx5: Add back multicast stats for uplink representor
(bsc#1012628).
- net/mlx5e: Allow to match on MPLS parameters only for MPLS
over UDP (bsc#1012628).
- net/mlx5e: Offload tuple rewrite for non-CT flows (bsc#1012628).
- net/mlx5e: Fix error path for ethtool set-priv-flag
(bsc#1012628).
- mfd: intel_quark_i2c_gpio: Revert "Constify static struct
resources" (bsc#1012628).
- PM: EM: postpone creating the debugfs dir till fs_initcall
(bsc#1012628).
- platform/x86: intel_pmt_crashlog: Fix incorrect macros
(bsc#1012628).
- net: bridge: don't notify switchdev for local FDB addresses
(bsc#1012628).
- octeontx2-af: Fix memory leak of object buf (bsc#1012628).
- xen/x86: make XEN_BALLOON_MEMORY_HOTPLUG_LIMIT depend on
MEMORY_HOTPLUG (bsc#1012628).
- RDMA/cxgb4: Fix adapter LE hash errors while destroying ipv6
listening server (bsc#1012628).
- mm: memblock: fix section mismatch warning again (bsc#1012628).
- bpf: Don't do bpf_cgroup_storage_set() for kuprobe/tp programs
(bsc#1012628).
- net: Consolidate common blackhole dst ops (bsc#1012628).
- net, bpf: Fix ip6ip6 crash with collect_md populated skbs
(bsc#1012628).
- igb: avoid premature Rx buffer reuse (bsc#1012628).
- net: axienet: Fix probe error cleanup (bsc#1012628).
- net: phy: introduce phydev->port (bsc#1012628).
- net: phy: broadcom: Avoid forward for
bcm54xx_config_clock_delay() (bsc#1012628).
- net: phy: broadcom: Set proper 1000BaseX/SGMII interface mode
for BCM54616S (bsc#1012628).
- net: phy: broadcom: Fix RGMII delays for BCM50160 and BCM50610M
(bsc#1012628).
- Revert "netfilter: x_tables: Switch synchronization to RCU"
(bsc#1012628).
- netfilter: x_tables: Use correct memory barriers (bsc#1012628).
- bpf: Fix fexit trampoline (bsc#1012628).
- bpf: Use NOP_ATOMIC5 instead of emit_nops(&prog, 5) for
BPF_TRAMP_F_CALL_ORIG (bsc#1012628).
- platform/x86: dell-wmi-sysman: Cleanup
create_attributes_level_sysfs_files() (bsc#1012628).
- dm table: Fix zoned model check and zone sectors check
(bsc#1012628).
- mm/mmu_notifiers: ensure range_end() is paired with
range_start() (bsc#1012628).
- Revert "netfilter: x_tables: Update remaining dereference to
RCU" (bsc#1012628).
- ACPI: scan: Rearrange memory allocation in acpi_device_add()
(bsc#1012628).
- ACPI: scan: Use unique number for instance_no (bsc#1012628).
- perf auxtrace: Fix auxtrace queue conflict (bsc#1012628).
- perf synthetic events: Avoid write of uninitialized memory
when generating PERF_RECORD_MMAP* records (bsc#1012628).
- io_uring: fix provide_buffers sign extension (bsc#1012628).
- block: recalculate segment count for multi-segment discards
correctly (bsc#1012628).
- scsi: Revert "qla2xxx: Make sure that aborted commands are
freed" (bsc#1012628).
- scsi: qedi: Fix error return code of qedi_alloc_global_queues()
(bsc#1012628).
- scsi: mpt3sas: Fix error return code of mpt3sas_base_attach()
(bsc#1012628).
- smb3: fix cached file size problems in duplicate extents
(reflink) (bsc#1012628).
- cifs: Adjust key sizes and key generation routines for AES256
encryption (bsc#1012628).
- locking/mutex: Fix non debug version of mutex_lock_io_nested()
(bsc#1012628).
- x86/mem_encrypt: Correct physical address calculation in
__set_clr_pte_enc() (bsc#1012628).
- fs/cachefiles: Remove wait_bit_key layout dependency
(bsc#1012628).
- ch_ktls: fix enum-conversion warning (bsc#1012628).
- can: dev: Move device back to init netns on owning netns delete
(bsc#1012628).
- r8169: fix DMA being used after buffer free if WoL is enabled
(bsc#1012628).
- net: dsa: b53: VLAN filtering is global to all users
(bsc#1012628).
- mac80211: fix double free in ibss_leave (bsc#1012628).
- ext4: add reclaim checks to xattr code (bsc#1012628).
- fs/ext4: fix integer overflow in s_log_groups_per_flex
(bsc#1012628).
- Revert "xen: fix p2m size in dom0 for disabled memory hotplug
case" (bsc#1012628).
- nvme: fix the nsid value to print in nvme_validate_or_alloc_ns
(bsc#1012628).
- can: peak_usb: Revert "can: peak_usb: add forgotten supported
devices" (bsc#1012628).
- selftest/bpf: Add a test to check trampoline freeing logic
(bsc#1012628).
- xen-blkback: don't leak persistent grants from xen_blkbk_map()
(bsc#1012628).
- Update config files.
- commit dbc4a02
-------------------------------------------------------------------
Mon Mar 29 01:26:17 CEST 2021 - mkubecek@suse.cz
- Update to 5.12-rc5
- refresh configs
- XEN_BALLOON_MEMORY_HOTPLUG_LIMIT renamed to XEN_MEMORY_HOTPLUG_LIMIT (x86_64)
- commit 5fe2d5c
-------------------------------------------------------------------
Fri Mar 26 09:43:28 CET 2021 - tiwai@suse.de
- drm/nouveau/kms/nve4-nv108: Limit cursors to 128x128
(bsc#1183671).
- commit fa5dcf1
-------------------------------------------------------------------
Fri Mar 26 09:34:36 CET 2021 - tiwai@suse.de
- Update config files: disable CONFIG_SND_HDA_INTEL_HDMI_SILENT_STREAM (bsc#1184019)
- commit d848134
-------------------------------------------------------------------
Thu Mar 25 11:20:49 CET 2021 - jslaby@suse.cz
- Linux 5.11.10 (bsc#1012628).
- Revert "drm/ttm: Warn on pinning without holding a reference"
(bsc#1012628).
- Revert "drm/ttm: make ttm_bo_unpin more defensive"
(bsc#1012628).
- commit fccd69b
-------------------------------------------------------------------
Wed Mar 24 12:54:27 CET 2021 - jslaby@suse.cz
- drm/ttm: stop destroying pinned ghost object (git-fixes).
- commit 39815e4
-------------------------------------------------------------------
Wed Mar 24 12:52:34 CET 2021 - jslaby@suse.cz
- Linux 5.11.9 (bsc#1012628).
- ASoC: ak4458: Add MODULE_DEVICE_TABLE (bsc#1012628).
- ASoC: ak5558: Add MODULE_DEVICE_TABLE (bsc#1012628).
- spi: cadence: set cqspi to the driver_data field of struct
device (bsc#1012628).
- ALSA: dice: fix null pointer dereference when node is
disconnected (bsc#1012628).
- ALSA: hda/realtek: apply pin quirk for XiaomiNotebook Pro
(bsc#1012628).
- ALSA: hda: generic: Fix the micmute led init state
(bsc#1012628).
- ALSA: hda/realtek: Apply headset-mic quirks for Xiaomi Redmibook
Air (bsc#1012628).
- ALSA: hda/realtek: fix mute/micmute LEDs for HP 840 G8
(bsc#1012628).
- ALSA: hda/realtek: fix mute/micmute LEDs for HP 440 G8
(bsc#1012628).
- ALSA: hda/realtek: fix mute/micmute LEDs for HP 850 G8
(bsc#1012628).
- Revert "PM: runtime: Update device status before letting
suppliers suspend" (bsc#1012628).
- s390/vtime: fix increased steal time accounting (bsc#1012628).
- s390/pci: refactor zpci_create_device() (bsc#1012628).
- s390/pci: remove superfluous zdev->zbus check (bsc#1012628).
- s390/pci: fix leak of PCI device structure (bsc#1012628).
- zonefs: Fix O_APPEND async write handling (bsc#1012628).
- zonefs: prevent use of seq files as swap file (bsc#1012628).
- zonefs: fix to update .i_wr_refcnt correctly in
zonefs_open_zone() (bsc#1012628).
- btrfs: fix race when cloning extent buffer during rewind of
an old root (bsc#1012628).
- btrfs: fix slab cache flags for free space tree bitmap
(bsc#1012628).
- vhost-vdpa: fix use-after-free of v->config_ctx (bsc#1012628).
- vhost-vdpa: set v->config_ctx to NULL if eventfd_ctx_fdget()
fails (bsc#1012628).
- drm/amd/display: Correct algorithm for reversed gamma
(bsc#1012628).
- drm/amd/display: Remove MPC gamut remap logic for DCN30
(bsc#1012628).
- iommu/amd: Don't call early_amd_iommu_init() when AMD IOMMU
is disabled (bsc#1012628).
- iommu/amd: Keep track of amd_iommu_irq_remap state
(bsc#1012628).
- iommu/amd: Move Stoney Ridge check to detect_ivrs()
(bsc#1012628).
- ASoC: fsl_ssi: Fix TDM slot setup for I2S mode (bsc#1012628).
- ASoC: Intel: bytcr_rt5640: Fix HP Pavilion x2 10-p0XX OVCD
current threshold (bsc#1012628).
- ASoC: SOF: Intel: unregister DMIC device on probe error
(bsc#1012628).
- ASoC: SOF: intel: fix wrong poll bits in dsp power down
(bsc#1012628).
- ASoC: qcom: sdm845: Fix array out of bounds access
(bsc#1012628).
- ASoC: qcom: sdm845: Fix array out of range on rx slim channels
(bsc#1012628).
- ASoC: codecs: wcd934x: add a sanity check in set channel map
(bsc#1012628).
- ASoC: qcom: lpass-cpu: Fix lpass dai ids parse (bsc#1012628).
- ASoC: simple-card-utils: Do not handle device clock
(bsc#1012628).
- afs: Fix accessing YFS xattrs on a non-YFS server (bsc#1012628).
- afs: Stop listxattr() from listing "afs.*" attributes
(bsc#1012628).
- ALSA: usb-audio: Fix unintentional sign extension issue
(bsc#1012628).
- nvme: fix Write Zeroes limitations (bsc#1012628).
- nvme-tcp: fix misuse of __smp_processor_id with preemption
enabled (bsc#1012628).
- nvme-tcp: fix possible hang when failing to set io queues
(bsc#1012628).
- nvme-tcp: fix a NULL deref when receiving a 0-length r2t PDU
(bsc#1012628).
- nvmet: don't check iosqes,iocqes for discovery controllers
(bsc#1012628).
- nfsd: Don't keep looking up unhashed files in the nfsd file
cache (bsc#1012628).
- nfsd: don't abort copies early (bsc#1012628).
- NFSD: Repair misuse of sv_lock in 5.10.16-rt30 (bsc#1012628).
- NFSD: fix dest to src mount in inter-server COPY (bsc#1012628).
- svcrdma: disable timeouts on rdma backchannel (bsc#1012628).
- vfio: IOMMU_API should be selected (bsc#1012628).
- vhost_vdpa: fix the missing irq_bypass_unregister_producer()
invocation (bsc#1012628).
- sunrpc: fix refcount leak for rpc auth modules (bsc#1012628).
- i915/perf: Start hrtimer only if sampling the OA buffer
(bsc#1012628).
- iommu/tegra-smmu: Make tegra_smmu_probe_device() to handle
all IOMMU phandles (bsc#1012628).
- pstore: Fix warning in pstore_kill_sb() (bsc#1012628).
- io_uring: ensure that SQPOLL thread is started for exit
(bsc#1012628).
- net/qrtr: fix __netdev_alloc_skb call (bsc#1012628).
- cifs: warn and fail if trying to use rootfs without the config
option (bsc#1012628).
- cifs: fix allocation size on newly created files (bsc#1012628).
- RISC-V: Fix out-of-bounds accesses in init_resources()
(bsc#1012628).
- riscv: Correct SPARSEMEM configuration (bsc#1012628).
- scsi: lpfc: Fix some error codes in debugfs (bsc#1012628).
- scsi: myrs: Fix a double free in myrs_cleanup() (bsc#1012628).
- scsi: ufs: ufs-mediatek: Correct operator & -> && (bsc#1012628).
- scsi: mpt3sas: Do not use GFP_KERNEL in atomic context
(bsc#1012628).
- RISC-V: correct enum sbi_ext_rfence_fid (bsc#1012628).
- counter: stm32-timer-cnt: Report count function when
SLAVE_MODE_DISABLED (bsc#1012628).
- ASoC: codecs: lpass-va-macro: mute/unmute all active decimators
(bsc#1012628).
- ASoC: codecs: lpass-wsa-macro: fix RX MIX input controls
(bsc#1012628).
- powerpc/vdso32: Add missing _restgpr_31_x to fix build failure
(bsc#1012628).
- drm/ttm: Warn on pinning without holding a reference
(bsc#1012628).
- drm/ttm: make ttm_bo_unpin more defensive (bsc#1012628).
- gpiolib: Assign fwnode to parent's if no primary one provided
(bsc#1012628).
- nvme-rdma: fix possible hang when failing to set io queues
(bsc#1012628).
- powerpc: Force inlining of cpu_has_feature() to avoid build
failure (bsc#1012628).
- usb-storage: Add quirk to defeat Kindle's automatic unload
(bsc#1012628).
- usbip: Fix incorrect double assignment to udc->ud.tcp_rx
(bsc#1012628).
- usb: gadget: configfs: Fix KASAN use-after-free (bsc#1012628).
- usb: typec: Remove vdo[3] part of tps6598x_rx_identity_reg
struct (bsc#1012628).
- usb: typec: tcpm: Invoke power_supply_changed for
tcpm-source-psy- (bsc#1012628).
- usb: dwc3: gadget: Allow runtime suspend if UDC unbinded
(bsc#1012628).
- usb: dwc3: gadget: Prevent EP queuing while stopping transfers
(bsc#1012628).
- thunderbolt: Initialize HopID IDAs in tb_switch_alloc()
(bsc#1012628).
- thunderbolt: Increase runtime PM reference count on DP tunnel
discovery (bsc#1012628).
- iio:adc:stm32-adc: Add HAS_IOMEM dependency (bsc#1012628).
- iio:adc:qcom-spmi-vadc: add default scale to LR_MUX2_BAT_ID
channel (bsc#1012628).
- iio: adis16400: Fix an error code in adis16400_initial_setup()
(bsc#1012628).
- iio: gyro: mpu3050: Fix error handling in
mpu3050_trigger_handler (bsc#1012628).
- iio: adc: ab8500-gpadc: Fix off by 10 to 3 (bsc#1012628).
- iio: adc: ad7949: fix wrong ADC result due to incorrect bit mask
(bsc#1012628).
- iio: adc: adi-axi-adc: add proper Kconfig dependencies
(bsc#1012628).
- iio: hid-sensor-humidity: Fix alignment issue of timestamp
channel (bsc#1012628).
- iio: hid-sensor-prox: Fix scale not correct issue (bsc#1012628).
- iio: hid-sensor-temperature: Fix issues of timestamp channel
(bsc#1012628).
- counter: stm32-timer-cnt: fix ceiling write max value
(bsc#1012628).
- counter: stm32-timer-cnt: fix ceiling miss-alignment with
reload register (bsc#1012628).
- PCI: rpadlpar: Fix potential drc_name corruption in store
functions (bsc#1012628).
- perf/x86/intel: Fix a crash caused by zero PEBS status
(bsc#1012628).
- perf/x86/intel: Fix unchecked MSR access error caused by
VLBR_EVENT (bsc#1012628).
- x86/ioapic: Ignore IRQ2 again (bsc#1012628).
- kernel, fs: Introduce and use set_restart_fn() and
arch_set_restart_data() (bsc#1012628).
- x86: Move TS_COMPAT back to asm/thread_info.h (bsc#1012628).
- x86: Introduce TS_COMPAT_RESTART to fix get_nr_restart_syscall()
(bsc#1012628).
- efivars: respect EFI_UNSUPPORTED return from firmware
(bsc#1012628).
- ext4: fix error handling in ext4_end_enable_verity()
(bsc#1012628).
- ext4: find old entry again if failed to rename whiteout
(bsc#1012628).
- ext4: stop inode update before return (bsc#1012628).
- ext4: do not try to set xattr into ea_inode if value is empty
(bsc#1012628).
- ext4: fix potential error in ext4_do_update_inode (bsc#1012628).
- ext4: fix timer use-after-free on failed mount (bsc#1012628).
- ext4: fix rename whiteout with fast commit (bsc#1012628).
- MAINTAINERS: move some real subsystems off of the staging
mailing list (bsc#1012628).
- MAINTAINERS: move the staging subsystem to lists.linux.dev
(bsc#1012628).
- static_call: Fix static_call_update() sanity check
(bsc#1012628).
- efi: use 32-bit alignment for efi_guid_t literals (bsc#1012628).
- firmware/efi: Fix a use after bug in efi_mem_reserve_persistent
(bsc#1012628).
- genirq: Disable interrupts for force threaded handlers
(bsc#1012628).
- x86/apic/of: Fix CPU devicetree-node lookups (bsc#1012628).
- cifs: Fix preauth hash corruption (bsc#1012628).
- Update config files.
- commit 8be2d1c
-------------------------------------------------------------------
Sun Mar 21 23:42:18 CET 2021 - mkubecek@suse.cz
- Update to 5.12-rc4
- update configs
- VFIO=n (s390x/zfcpdump only)
- drop SND_SOC_SIRF_AUDIO_CODEC (removed)
- drop ADI_AXI_ADC (x86_64, depends on OF now)
- commit 094141b
-------------------------------------------------------------------
Sun Mar 21 23:31:58 CET 2021 - mkubecek@suse.cz
- config: disable obsolete crypto algorithms (bsc#1180928)
- CONFIG_CRYPTO_USER_API_ENABLE_OBSOLETE y->n
- drop CRYPTO_ANUBIS
- drop CRYPTO_ARC4
- drop CRYPTO_KHAZAD
- drop CRYPTO_SEED
- drop CRYPTO_TEA
- commit 1c5c406
-------------------------------------------------------------------
Sat Mar 20 19:00:06 CET 2021 - jslaby@suse.cz
- Linux 5.11.8 (bsc#1012628).
- io_uring: don't attempt IO reissue from the ring exit path
(bsc#1012628).
- KVM: x86/mmu: Expand on the comment in
kvm_vcpu_ad_need_write_protect() (bsc#1012628).
- KVM: x86/mmu: Set SPTE_AD_WRPROT_ONLY_MASK if and only if PML
is enabled (bsc#1012628).
- mptcp: send ack for every add_addr (bsc#1012628).
- mptcp: pm: add lockdep assertions (bsc#1012628).
- io_uring: refactor scheduling in io_cqring_wait (bsc#1012628).
- io_uring: refactor io_cqring_wait (bsc#1012628).
- io_uring: don't keep looping for more events if we can't flush
overflow (bsc#1012628).
- io_uring: simplify do_read return parsing (bsc#1012628).
- io_uring: clear IOCB_WAITQ for non -EIOCBQUEUED return
(bsc#1012628).
- regulator: pca9450: Add SD_VSEL GPIO for LDO5 (bsc#1012628).
- regulator: pca9450: Enable system reset on WDOG_B assertion
(bsc#1012628).
- regulator: pca9450: Clear PRESET_EN bit to fix BUCK1/2/3
voltage setting (bsc#1012628).
- gfs2: Add common helper for holding and releasing the freeze
glock (bsc#1012628).
- gfs2: move freeze glock outside the make_fs_rw and _ro functions
(bsc#1012628).
- gfs2: bypass signal_our_withdraw if no journal (bsc#1012628).
- bpf: Prohibit alu ops for pointer types not defining ptr_limit
(bsc#1012628).
- bpf: Fix off-by-one for area size in creating mask to left
(bsc#1012628).
- bpf: Simplify alu_limit masking for pointer arithmetic
(bsc#1012628).
- bpf: Add sanity check for upper ptr_limit (bsc#1012628).
- bpf, selftests: Fix up some test_verifier cases for unprivileged
(bsc#1012628).
- arm64: Unconditionally set virtual cpu id registers
(bsc#1012628).
- RDMA/srp: Fix support for unpopulated and unbalanced NUMA nodes
(bsc#1012628).
- fuse: fix live lock in fuse_iget() (bsc#1012628).
- Revert "nfsd4: remove check_conflicting_opens warning"
(bsc#1012628).
- Revert "nfsd4: a client's own opens needn't prevent delegations"
(bsc#1012628).
- net: dsa: b53: Support setting learning on port (bsc#1012628).
- crypto: x86/aes-ni-xts - use direct calls to and 4-way stride
(bsc#1012628).
- commit 995fe45
-------------------------------------------------------------------
Thu Mar 18 18:42:49 CET 2021 - dmueller@suse.com
- config.conf: add kernel-debug for arm64 (bsc#1183716)
- commit 68a1ba6
-------------------------------------------------------------------
Thu Mar 18 06:20:21 CET 2021 - jslaby@suse.cz
- kbuild: Fix <linux/version.h> for empty SUBLEVEL or PATCHLEVEL
again (git-fixes).
- mptcp: dispose initial struct socket when its subflow is closed
(git-fixes).
- kbuild: Fix <linux/version.h> for empty SUBLEVEL or PATCHLEVEL
again (git-fixes).
- mptcp: dispose initial struct socket when its subflow is closed
(git-fixes).
- commit a314558
-------------------------------------------------------------------
Wed Mar 17 18:55:42 CET 2021 - jslaby@suse.cz
- Linux 5.11.7 (bsc#1012628).
- uapi: nfnetlink_cthelper.h: fix userspace compilation error
(bsc#1012628).
- powerpc/603: Fix protection of user pages mapped with PROT_NONE
(bsc#1012628).
- powerpc/perf: Fix handling of privilege level checks in perf
interrupt context (bsc#1012628).
- powerpc/pseries: Don't enforce MSI affinity with kdump
(bsc#1012628).
- ethernet: alx: fix order of calls on resume (bsc#1012628).
- crypto: mips/poly1305 - enable for all MIPS processors
(bsc#1012628).
- mptcp: fix length of ADD_ADDR with port sub-option
(bsc#1012628).
- ath9k: fix transmitting to stations in dynamic SMPS mode
(bsc#1012628).
- net: Fix gro aggregation for udp encaps with zero csum
(bsc#1012628).
- net: check if protocol extracted by virtio_net_hdr_set_proto
is correct (bsc#1012628).
- net: avoid infinite loop in mpls_gso_segment when mpls_hlen ==
0 (bsc#1012628).
- ath11k: fix AP mode for QCA6390 (bsc#1012628).
- net: l2tp: reduce log level of messages in receive path,
add counter instead (bsc#1012628).
- gpiolib: acpi: Add ACPI_GPIO_QUIRK_ABSOLUTE_NUMBER quirk
(bsc#1012628).
- gpiolib: acpi: Allow to find GpioInt() resource by name and
index (bsc#1012628).
- can: skb: can_skb_set_owner(): fix ref counting if socket was
closed before setting skb ownership (bsc#1012628).
- gpio: pca953x: Set IRQ type when handle Intel Galileo Gen 2
(bsc#1012628).
- can: flexcan: assert FRZ bit in flexcan_chip_freeze()
(bsc#1012628).
- can: flexcan: enable RX FIFO after FRZ/HALT valid (bsc#1012628).
- can: flexcan: invoke flexcan_chip_freeze() to enter freeze mode
(bsc#1012628).
- can: tcan4x5x: tcan4x5x_init(): fix initialization - clear
MRAM before entering Normal Mode (bsc#1012628).
- tcp: Fix sign comparison bug in getsockopt(TCP_ZEROCOPY_RECEIVE)
(bsc#1012628).
- tcp: add sanity tests to TCP_QUEUE_SEQ (bsc#1012628).
- netfilter: nf_nat: undo erroneous tcp edemux lookup
(bsc#1012628).
- netfilter: x_tables: gpf inside xt_find_revision()
(bsc#1012628).
- net: always use icmp{,v6}_ndo_send from ndo_start_xmit
(bsc#1012628).
- net: phy: fix save wrong speed and duplex problem if autoneg
is on (bsc#1012628).
- selftests/bpf: Use the last page in test_snprintf_btf on s390
(bsc#1012628).
- selftests/bpf: No need to drop the packet when there is no
geneve opt (bsc#1012628).
- selftests/bpf: Mask bpf_csum_diff() return value to 16 bits
in test_verifier (bsc#1012628).
- samples, bpf: Add missing munmap in xdpsock (bsc#1012628).
- libbpf: Clear map_info before each bpf_obj_get_info_by_fd
(bsc#1012628).
- ibmvnic: Fix possibly uninitialized old_num_tx_queues variable
warning (bsc#1012628).
- ibmvnic: always store valid MAC address (bsc#1012628).
- ibmvnic: remove excessive irqsave (bsc#1012628).
- mt76: dma: do not report truncated frames to mac80211
(bsc#1012628).
- gpio: fix gpio-device list corruption (bsc#1012628).
- mount: fix mounting of detached mounts onto targets that reside
on shared mounts (bsc#1012628).
- cifs: fix credit accounting for extra channel (bsc#1012628).
- cifs: return proper error code in statfs(2) (bsc#1012628).
- Revert "mm, slub: consider rest of partial list if
acquire_slab() fails" (bsc#1012628).
- docs: networking: drop special stable handling (bsc#1012628).
- net: dsa: tag_rtl4_a: fix egress tags (bsc#1012628).
- sh_eth: fix TRSCER mask for SH771x (bsc#1012628).
- net: enetc: don't overwrite the RSS indirection table when
initializing (bsc#1012628).
- net: enetc: initialize RFS/RSS memories for unused ports too
(bsc#1012628).
- net: enetc: take the MDIO lock only once per NAPI poll cycle
(bsc#1012628).
- net: enetc: fix incorrect TPID when receiving 802.1ad tagged
packets (bsc#1012628).
- net: enetc: don't disable VLAN filtering in IFF_PROMISC mode
(bsc#1012628).
- net: enetc: force the RGMII speed and duplex instead of
operating in inband mode (bsc#1012628).
- net: enetc: remove bogus write to SIRXIDR from enetc_setup_rxbdr
(bsc#1012628).
- net: enetc: keep RX ring consumer index in sync with hardware
(bsc#1012628).
- net: dsa: tag_mtk: fix 802.1ad VLAN egress (bsc#1012628).
- net: ethernet: mtk-star-emac: fix wrong unmap in RX handling
(bsc#1012628).
- net/mlx4_en: update moderation when config reset (bsc#1012628).
- net: stmmac: fix incorrect DMA channel intr enable setting of
EQoS v4.10 (bsc#1012628).
- nexthop: Do not flush blackhole nexthops when loopback goes down
(bsc#1012628).
- net: sched: avoid duplicates in classes dump (bsc#1012628).
- net: mscc: ocelot: properly reject destination IP keys in VCAP
IS1 (bsc#1012628).
- net: dsa: sja1105: fix SGMII PCS being forced to SPEED_UNKNOWN
instead of SPEED_10 (bsc#1012628).
- net: usb: qmi_wwan: allow qmimux add/del with master up
(bsc#1012628).
- netdevsim: init u64 stats for 32bit hardware (bsc#1012628).
- cipso,calipso: resolve a number of problems with the DOI
refcounts (bsc#1012628).
- net: stmmac: Fix VLAN filter delete timeout issue in Intel
mGBE SGMII (bsc#1012628).
- stmmac: intel: Fixes clock registration error seen for multiple
interfaces (bsc#1012628).
- net: lapbether: Remove netif_start_queue / netif_stop_queue
(bsc#1012628).
- net: davicom: Fix regulator not turned off on failed probe
(bsc#1012628).
- net: davicom: Fix regulator not turned off on driver removal
(bsc#1012628).
- net: enetc: allow hardware timestamping on TX queues with
tc-etf enabled (bsc#1012628).
- net: qrtr: fix error return code of qrtr_sendmsg()
(bsc#1012628).
- s390/qeth: fix memory leak after failed TX Buffer allocation
(bsc#1012628).
- s390/qeth: improve completion of pending TX buffers
(bsc#1012628).
- s390/qeth: schedule TX NAPI on QAOB completion (bsc#1012628).
- s390/qeth: fix notification for pending buffers during teardown
(bsc#1012628).
- r8169: fix r8168fp_adjust_ocp_cmd function (bsc#1012628).
- ixgbe: fail to create xfrm offload of IPsec tunnel mode SA
(bsc#1012628).
- tools/resolve_btfids: Fix build error with older host toolchains
(bsc#1012628).
- perf build: Fix ccache usage in $(CC) when generating arch
errno table (bsc#1012628).
- net: stmmac: stop each tx channel independently (bsc#1012628).
- net: stmmac: fix watchdog timeout during suspend/resume stress
test (bsc#1012628).
- net: stmmac: fix wrongly set buffer2 valid when sph unsupport
(bsc#1012628).
- ethtool: fix the check logic of at least one channel for RX/TX
(bsc#1012628).
- net: phy: make mdio_bus_phy_suspend/resume as __maybe_unused
(bsc#1012628).
- selftests: forwarding: Fix race condition in mirror installation
(bsc#1012628).
- mlxsw: spectrum_ethtool: Add an external speed to PTYS register
(bsc#1012628).
- perf traceevent: Ensure read cmdlines are null terminated
(bsc#1012628).
- perf report: Fix -F for branch & mem modes (bsc#1012628).
- net: hns3: fix error mask definition of flow director
(bsc#1012628).
- net: hns3: fix query vlan mask value error for flow director
(bsc#1012628).
- net: hns3: fix bug when calculating the TCAM table info
(bsc#1012628).
- s390/cio: return -EFAULT if copy_to_user() fails again
(bsc#1012628).
- bnxt_en: reliably allocate IRQ table on reset to avoid crash
(bsc#1012628).
- drm/fb-helper: only unmap if buffer not null (bsc#1012628).
- drm/compat: Clear bounce structures (bsc#1012628).
- drm/radeon: also init GEM funcs in
radeon_gem_prime_import_sg_table (bsc#1012628).
- drm/amdgpu/display: use GFP_ATOMIC in
dcn21_validate_bandwidth_fp() (bsc#1012628).
- drm/amd/display: Fix nested FPU context in
dcn21_validate_bandwidth() (bsc#1012628).
- drm/amd/pm: correct the watermark settings for Polaris
(bsc#1012628).
- drm/amd/pm: bug fix for pcie dpm (bsc#1012628).
- drm/amdgpu/display: don't assert in set backlight function
(bsc#1012628 bsc#1180749).
- drm/shmem-helper: Check for purged buffers in fault handler
(bsc#1012628).
- drm/shmem-helper: Don't remove the offset in vm_area_struct
pgoff (bsc#1012628).
- drm: Use USB controller's DMA mask when importing dmabufs
(bsc#1012628).
- drm/amdgpu: fix S0ix handling when the CONFIG_AMD_PMC=m
(bsc#1012628).
- drm: meson_drv add shutdown function (bsc#1012628).
- drm/shmem-helpers: vunmap: Don't put pages for dma-buf
(bsc#1012628).
- drm/i915: Wedge the GPU if command parser setup fails
(bsc#1012628).
- s390/cio: return -EFAULT if copy_to_user() fails (bsc#1012628).
- s390/crypto: return -EFAULT if copy_to_user() fails
(bsc#1012628).
- qxl: Fix uninitialised struct field head.surface_id
(bsc#1012628).
- sh_eth: fix TRSCER mask for R7S9210 (bsc#1012628).
- media: usbtv: Fix deadlock on suspend (bsc#1012628).
- media: rkisp1: params: fix wrong bits settings (bsc#1012628).
- media: v4l: vsp1: Fix uif null pointer access (bsc#1012628).
- media: v4l: vsp1: Fix bru null pointer access (bsc#1012628).
- media: rc: compile rc-cec.c into rc-core (bsc#1012628).
- MIPS: kernel: Reserve exception base early to prevent corruption
(bsc#1012628).
- mptcp: always graft subflow socket to parent (bsc#1012628).
- mptcp: reset last_snd on subflow close (bsc#1012628).
- i2c: rcar: faster irq code to minimize HW race condition
(bsc#1012628).
- i2c: rcar: optimize cacheline to minimize HW race condition
(bsc#1012628).
- scsi: pm80xx: Fix missing tag_free in NVMD DATA req
(bsc#1012628).
- scsi: ufs: WB is only available on LUN #0 to #7 (bsc#1012628).
- scsi: ufs: Protect some contexts from unexpected clock scaling
(bsc#1012628).
- udf: fix silent AED tagLocation corruption (bsc#1012628).
- iommu/vt-d: Clear PRQ overflow only when PRQ is empty
(bsc#1012628).
- mmc: mxs-mmc: Fix a resource leak in an error handling path in
'mxs_mmc_probe()' (bsc#1012628).
- mmc: mediatek: fix race condition between msdc_request_timeout
and irq (bsc#1012628).
- mmc: sdhci-iproc: Add ACPI bindings for the RPi (bsc#1012628).
- platform/x86: amd-pmc: put device on error paths (bsc#1012628).
- Platform: OLPC: Fix probe error handling (bsc#1012628).
- powerpc/pci: Add ppc_md.discover_phbs() (bsc#1012628).
- spi: stm32: make spurious and overrun interrupts visible
(bsc#1012628).
- powerpc: improve handling of unrecoverable system reset
(bsc#1012628).
- powerpc/perf: Record counter overflow always if SAMPLE_IP is
unset (bsc#1012628).
- HID: logitech-dj: add support for the new lightspeed connection
iteration (bsc#1012628).
- powerpc/64: Fix stack trace not displaying final frame
(bsc#1012628).
- iommu/amd: Fix performance counter initialization (bsc#1012628).
- clk: qcom: gdsc: Implement NO_RET_PERIPH flag (bsc#1012628).
- sparc32: Limit memblock allocation to low memory (bsc#1012628).
- sparc64: Use arch_validate_flags() to validate ADI flag
(bsc#1012628).
- Input: applespi - don't wait for responses to commands
indefinitely (bsc#1012628).
- PCI: xgene-msi: Fix race in installing chained irq handler
(bsc#1012628).
- PCI: mediatek: Add missing of_node_put() to fix reference leak
(bsc#1012628).
- drivers/base: build kunit tests without structleak plugin
(bsc#1012628).
- PCI/LINK: Remove bandwidth notification (bsc#1012628).
- ext4: don't try to processed freed blocks until mballoc is
initialized (bsc#1012628).
- kbuild: clamp SUBLEVEL to 255 (bsc#1012628).
- PCI: Fix pci_register_io_range() memory leak (bsc#1012628).
- i40e: Fix memory leak in i40e_probe (bsc#1012628).
- PCI/ERR: Retain status from error notification (bsc#1012628).
- kasan: fix memory corruption in kasan_bitops_tags test
(bsc#1012628).
- s390/smp: __smp_rescan_cpus() - move cpumask away from stack
(bsc#1012628).
- drivers/base/memory: don't store phys_device in memory blocks
(bsc#1012628).
- sysctl.c: fix underflow value setting risk in vm_table
(bsc#1012628).
- scsi: libiscsi: Fix iscsi_prep_scsi_cmd_pdu() error handling
(bsc#1012628).
- scsi: target: core: Add cmd length set before cmd complete
(bsc#1012628).
- scsi: target: core: Prevent underflow for service actions
(bsc#1012628).
- clk: qcom: gpucc-msm8998: Add resets, cxc, fix flags on
gpu_gx_gdsc (bsc#1012628).
- ALSA: hda/conexant: Add quirk for mute LED control on HP ZBook
G5 (bsc#1012628).
- ALSA: hda/ca0132: Add Sound BlasterX AE-5 Plus support
(bsc#1012628).
- ALSA: hda: Drop the BATCH workaround for AMD controllers
(bsc#1012628).
- ALSA: usb-audio: Fix "cannot get freq eq" errors on Dell AE515
sound bar (bsc#1012628).
- ALSA: usb-audio: Disable USB autosuspend properly in
setup_disable_autosuspend() (bsc#1012628).
- ALSA: usb-audio: fix NULL ptr dereference in usb_audio_probe
(bsc#1012628).
- ALSA: usb-audio: fix use after free in usb_audio_disconnect
(bsc#1012628).
- opp: Don't drop extra references to OPPs accidentally
(bsc#1012628).
- Revert 95ebabde382c ("capabilities: Don't allow writing
ambiguous v3 file capabilities") (bsc#1012628).
- block: Discard page cache of zone reset target range
(bsc#1012628).
- block: Try to handle busy underlying device on discard
(bsc#1012628).
- arm64: kasan: fix page_alloc tagging with DEBUG_VIRTUAL
(bsc#1012628).
- arm64: mte: Map hotplugged memory as Normal Tagged
(bsc#1012628).
- arm64: perf: Fix 64-bit event counter read truncation
(bsc#1012628).
- s390/dasd: fix hanging DASD driver unbind (bsc#1012628).
- s390/dasd: fix hanging IO request during DASD driver unbind
(bsc#1012628).
- software node: Fix node registration (bsc#1012628).
- xen/events: reset affinity of 2-level event when tearing it down
(bsc#1012628).
- xen/events: don't unmask an event channel when an eoi is pending
(bsc#1012628).
- xen/events: avoid handling the same event on two cpus at the
same time (bsc#1012628).
- mmc: mmci: Add MMC_CAP_NEED_RSP_BUSY for the stm32 variants
(bsc#1012628).
- mmc: core: Fix partition switch time for eMMC (bsc#1012628).
- mmc: cqhci: Fix random crash when remove mmc module/card
(bsc#1012628).
- cifs: do not send close in compound create+close requests
(bsc#1012628).
- Goodix Fingerprint device is not a modem (bsc#1012628).
- USB: gadget: udc: s3c2410_udc: fix return value check in
s3c2410_udc_probe() (bsc#1012628).
- USB: gadget: u_ether: Fix a configfs return code (bsc#1012628).
- usb: gadget: f_uac2: always increase endpoint max_packet_size
by one audio slot (bsc#1012628).
- usb: gadget: f_uac1: stop playback on function disable
(bsc#1012628).
- usb: dwc3: qcom: Add missing DWC3 OF node refcount decrement
(bsc#1012628).
- usb: dwc3: qcom: add URS Host support for sdm845 ACPI boot
(bsc#1012628).
- usb: dwc3: qcom: add ACPI device id for sc8180x (bsc#1012628).
- usb: dwc3: qcom: Honor wakeup enabled/disabled state
(bsc#1012628).
- USB: usblp: fix a hang in poll() if disconnected (bsc#1012628).
- usb: renesas_usbhs: Clear PIPECFG for re-enabling pipe with
other EPNUM (bsc#1012628).
- usb: xhci: do not perform Soft Retry for some xHCI hosts
(bsc#1012628).
- xhci: Improve detection of device initiated wake signal
(bsc#1012628).
- usb: xhci: Fix ASMedia ASM1042A and ASM3242 DMA addressing
(bsc#1012628).
- xhci: Fix repeated xhci wake after suspend due to uncleared
internal wake state (bsc#1012628).
- USB: serial: io_edgeport: fix memory leak in edge_startup
(bsc#1012628).
- USB: serial: ch341: add new Product ID (bsc#1012628).
- USB: serial: cp210x: add ID for Acuity Brands nLight Air Adapter
(bsc#1012628).
- USB: serial: cp210x: add some more GE USB IDs (bsc#1012628).
- usbip: fix stub_dev to check for stream socket (bsc#1012628).
- usbip: fix vhci_hcd to check for stream socket (bsc#1012628).
- usbip: fix vudc to check for stream socket (bsc#1012628).
- usbip: fix stub_dev usbip_sockfd_store() races leading to gpf
(bsc#1012628).
- usbip: fix vhci_hcd attach_store() races leading to gpf
(bsc#1012628).
- usbip: fix vudc usbip_sockfd_store races leading to gpf
(bsc#1012628).
- Revert "serial: max310x: rework RX interrupt handling"
(bsc#1012628).
- misc/pvpanic: Export module FDT device table (bsc#1012628).
- misc: fastrpc: restrict user apps from sending kernel RPC
messages (bsc#1012628).
- staging: rtl8192u: fix ->ssid overflow in r8192_wx_set_scan()
(bsc#1012628).
- staging: rtl8188eu: prevent ->ssid overflow in rtw_wx_set_scan()
(bsc#1012628).
- staging: rtl8712: unterminated string leads to read overflow
(bsc#1012628).
- staging: rtl8188eu: fix potential memory corruption in
rtw_check_beacon_data() (bsc#1012628).
- staging: ks7010: prevent buffer overflow in ks_wlan_set_scan()
(bsc#1012628).
- staging: rtl8712: Fix possible buffer overflow in
r8712_sitesurvey_cmd (bsc#1012628).
- staging: rtl8192e: Fix possible buffer overflow in
_rtl92e_wx_set_scan (bsc#1012628).
- staging: comedi: addi_apci_1032: Fix endian problem for COS
sample (bsc#1012628).
- staging: comedi: addi_apci_1500: Fix endian problem for command
sample (bsc#1012628).
- staging: comedi: adv_pci1710: Fix endian problem for AI command
data (bsc#1012628).
- staging: comedi: das6402: Fix endian problem for AI command data
(bsc#1012628).
- staging: comedi: das800: Fix endian problem for AI command data
(bsc#1012628).
- staging: comedi: dmm32at: Fix endian problem for AI command data
(bsc#1012628).
- staging: comedi: me4000: Fix endian problem for AI command data
(bsc#1012628).
- staging: comedi: pcl711: Fix endian problem for AI command data
(bsc#1012628).
- staging: comedi: pcl818: Fix endian problem for AI command data
(bsc#1012628).
- mlxsw: spectrum_router: Ignore routes using a deleted nexthop
object (bsc#1012628).
- net: phy: ti: take into account all possible interrupt sources
(bsc#1012628).
- sh_eth: fix TRSCER mask for R7S72100 (bsc#1012628).
- powerpc/sstep: Fix VSX instruction emulation (bsc#1012628).
- net: macb: Add default usrio config to default gem config
(bsc#1012628).
- cpufreq: qcom-hw: fix dereferencing freed memory 'data'
(bsc#1012628).
- cpufreq: qcom-hw: Fix return value check in
qcom_cpufreq_hw_cpu_init() (bsc#1012628).
- arm64/mm: Fix pfn_valid() for ZONE_DEVICE based memory
(bsc#1012628).
- SUNRPC: Set memalloc_nofs_save() for sync tasks (bsc#1012628).
- NFS: Don't revalidate the directory permissions on a lookup
failure (bsc#1012628).
- NFS: Don't gratuitously clear the inode cache when lookup failed
(bsc#1012628).
- NFSv4.2: fix return value of _nfs4_get_security_label()
(bsc#1012628).
- block: rsxx: fix error return code of rsxx_pci_probe()
(bsc#1012628).
- drm/ttm: Fix TTM page pool accounting (bsc#1012628).
- nvme-fc: fix racing controller reset and create association
(bsc#1012628).
- configfs: fix a use-after-free in __configfs_open_file
(bsc#1012628).
- arm64: mm: use a 48-bit ID map when possible on 52-bit VA builds
(bsc#1012628).
- io_uring: perform IOPOLL reaping if canceler is thread itself
(bsc#1012628).
- drm/nouveau: fix dma syncing for loops (v2) (bsc#1012628).
- perf/arm_dmc620_pmu: Fix error return code in
dmc620_pmu_device_probe() (bsc#1012628).
- net: expand textsearch ts_state to fit skb_seq_state
(bsc#1012628).
- mptcp: put subflow sock on connect error (bsc#1012628).
- mptcp: fix memory accounting on allocation error (bsc#1012628).
- perf/core: Flush PMU internal buffers for per-CPU events
(bsc#1012628).
- perf/x86/intel: Set PERF_ATTACH_SCHED_CB for large PEBS and LBR
(bsc#1012628).
- hrtimer: Update softirq_expires_next correctly after
__hrtimer_get_next_event() (bsc#1012628).
- powerpc/64s/exception: Clean up a missed SRR specifier
(bsc#1012628).
- seqlock,lockdep: Fix seqcount_latch_init() (bsc#1012628).
- memblock: fix section mismatch warning (bsc#1012628).
- stop_machine: mark helpers __always_inline (bsc#1012628).
- include/linux/sched/mm.h: use rcu_dereference in in_vfork()
(bsc#1012628).
- zram: fix return value on writeback_store (bsc#1012628).
- zram: fix broken page writeback (bsc#1012628).
- linux/compiler-clang.h: define HAVE_BUILTIN_BSWAP*
(bsc#1012628).
- sched: Fix migration_cpu_stop() requeueing (bsc#1012628).
- sched/membarrier: fix missing local execution of
ipi_sync_rq_state() (bsc#1012628).
- sched: Collate affine_move_task() stoppers (bsc#1012628).
- sched: Simplify migration_cpu_stop() (bsc#1012628).
- sched: Optimize migration_cpu_stop() (bsc#1012628).
- sched: Fix affine_move_task() self-concurrency (bsc#1012628).
- sched: Simplify set_affinity_pending refcounts (bsc#1012628).
- efi: stub: omit SetVirtualAddressMap() if marked unsupported
in RT_PROP table (bsc#1012628).
- powerpc/64s: Fix instruction encoding for lis in
ppc_function_entry() (bsc#1012628).
- powerpc: Fix inverted SET_FULL_REGS bitop (bsc#1012628).
- powerpc: Fix missing declaration of [en/dis]able_kernel_vsx()
(bsc#1012628).
- binfmt_misc: fix possible deadlock in bm_register_write
(bsc#1012628).
- kasan, mm: fix crash with HW_TAGS and DEBUG_PAGEALLOC
(bsc#1012628).
- kasan: fix KASAN_STACK dependency for HW_TAGS (bsc#1012628).
- x86/unwind/orc: Disable KASAN checking in the ORC unwinder,
part 2 (bsc#1012628).
- x86/sev-es: Introduce ip_within_syscall_gap() helper
(bsc#1012628).
- x86/sev-es: Check regs->sp is trusted before adjusting #VC
IST stack (bsc#1012628).
- x86/sev-es: Correctly track IRQ states in runtime #VC handler
(bsc#1012628).
- x86/sev-es: Use __copy_from_user_inatomic() (bsc#1012628).
- x86/entry: Fix entry/exit mismatch on failed fast 32-bit
syscalls (bsc#1012628).
- KVM: x86: Ensure deadline timer has truly expired before
posting its IRQ (bsc#1012628).
- KVM: kvmclock: Fix vCPUs > 64 can't be online/hotpluged
(bsc#1012628).
- KVM: arm64: Ensure I-cache isolation between vcpus of a same VM
(bsc#1012628).
- KVM: arm64: Fix range alignment when walking page tables
(bsc#1012628).
- KVM: arm64: Avoid corrupting vCPU context register in guest exit
(bsc#1012628).
- KVM: arm64: nvhe: Save the SPE context early (bsc#1012628).
- KVM: arm64: Reject VM creation when the default IPA size is
unsupported (bsc#1012628).
- KVM: arm64: Fix exclusive limit for IPA size (bsc#1012628).
- mm/highmem.c: fix zero_user_segments() with start > end
(bsc#1012628).
- mm/userfaultfd: fix memory corruption due to writeprotect
(bsc#1012628).
- mm/madvise: replace ptrace attach requirement for
process_madvise (bsc#1012628).
- mm/memcg: set memcg when splitting page (bsc#1012628).
- mm/memcg: rename mem_cgroup_split_huge_fixup to split_page_memcg
and add nr_pages argument (bsc#1012628).
- mm/page_alloc.c: refactor initialization of struct page for
holes in memory layout (bsc#1012628).
- KVM: arm64: Fix nVHE hyp panic host context restore
(bsc#1012628).
- Delete
patches.suse/drm-amdgpu-display-don-t-assert-in-set-backlight-fun.patch.
- Update config files.
- Linux 5.11.7 (bsc#1012628).
- uapi: nfnetlink_cthelper.h: fix userspace compilation error
(bsc#1012628).
- powerpc/603: Fix protection of user pages mapped with PROT_NONE
(bsc#1012628).
- powerpc/perf: Fix handling of privilege level checks in perf
interrupt context (bsc#1012628).
- powerpc/pseries: Don't enforce MSI affinity with kdump
(bsc#1012628).
- ethernet: alx: fix order of calls on resume (bsc#1012628).
- crypto: mips/poly1305 - enable for all MIPS processors
(bsc#1012628).
- mptcp: fix length of ADD_ADDR with port sub-option
(bsc#1012628).
- ath9k: fix transmitting to stations in dynamic SMPS mode
(bsc#1012628).
- net: Fix gro aggregation for udp encaps with zero csum
(bsc#1012628).
- net: check if protocol extracted by virtio_net_hdr_set_proto
is correct (bsc#1012628).
- net: avoid infinite loop in mpls_gso_segment when mpls_hlen ==
0 (bsc#1012628).
- ath11k: fix AP mode for QCA6390 (bsc#1012628).
- net: l2tp: reduce log level of messages in receive path,
add counter instead (bsc#1012628).
- gpiolib: acpi: Add ACPI_GPIO_QUIRK_ABSOLUTE_NUMBER quirk
(bsc#1012628).
- gpiolib: acpi: Allow to find GpioInt() resource by name and
index (bsc#1012628).
- can: skb: can_skb_set_owner(): fix ref counting if socket was
closed before setting skb ownership (bsc#1012628).
- gpio: pca953x: Set IRQ type when handle Intel Galileo Gen 2
(bsc#1012628).
- can: flexcan: assert FRZ bit in flexcan_chip_freeze()
(bsc#1012628).
- can: flexcan: enable RX FIFO after FRZ/HALT valid (bsc#1012628).
- can: flexcan: invoke flexcan_chip_freeze() to enter freeze mode
(bsc#1012628).
- can: tcan4x5x: tcan4x5x_init(): fix initialization - clear
MRAM before entering Normal Mode (bsc#1012628).
- tcp: Fix sign comparison bug in getsockopt(TCP_ZEROCOPY_RECEIVE)
(bsc#1012628).
- tcp: add sanity tests to TCP_QUEUE_SEQ (bsc#1012628).
- netfilter: nf_nat: undo erroneous tcp edemux lookup
(bsc#1012628).
- netfilter: x_tables: gpf inside xt_find_revision()
(bsc#1012628).
- net: always use icmp{,v6}_ndo_send from ndo_start_xmit
(bsc#1012628).
- net: phy: fix save wrong speed and duplex problem if autoneg
is on (bsc#1012628).
- selftests/bpf: Use the last page in test_snprintf_btf on s390
(bsc#1012628).
- selftests/bpf: No need to drop the packet when there is no
geneve opt (bsc#1012628).
- selftests/bpf: Mask bpf_csum_diff() return value to 16 bits
in test_verifier (bsc#1012628).
- samples, bpf: Add missing munmap in xdpsock (bsc#1012628).
- libbpf: Clear map_info before each bpf_obj_get_info_by_fd
(bsc#1012628).
- ibmvnic: Fix possibly uninitialized old_num_tx_queues variable
warning (bsc#1012628).
- ibmvnic: always store valid MAC address (bsc#1012628).
- ibmvnic: remove excessive irqsave (bsc#1012628).
- mt76: dma: do not report truncated frames to mac80211
(bsc#1012628).
- gpio: fix gpio-device list corruption (bsc#1012628).
- mount: fix mounting of detached mounts onto targets that reside
on shared mounts (bsc#1012628).
- cifs: fix credit accounting for extra channel (bsc#1012628).
- cifs: return proper error code in statfs(2) (bsc#1012628).
- Revert "mm, slub: consider rest of partial list if
acquire_slab() fails" (bsc#1012628).
- docs: networking: drop special stable handling (bsc#1012628).
- net: dsa: tag_rtl4_a: fix egress tags (bsc#1012628).
- sh_eth: fix TRSCER mask for SH771x (bsc#1012628).
- net: enetc: don't overwrite the RSS indirection table when
initializing (bsc#1012628).
- net: enetc: initialize RFS/RSS memories for unused ports too
(bsc#1012628).
- net: enetc: take the MDIO lock only once per NAPI poll cycle
(bsc#1012628).
- net: enetc: fix incorrect TPID when receiving 802.1ad tagged
packets (bsc#1012628).
- net: enetc: don't disable VLAN filtering in IFF_PROMISC mode
(bsc#1012628).
- net: enetc: force the RGMII speed and duplex instead of
operating in inband mode (bsc#1012628).
- net: enetc: remove bogus write to SIRXIDR from enetc_setup_rxbdr
(bsc#1012628).
- net: enetc: keep RX ring consumer index in sync with hardware
(bsc#1012628).
- net: dsa: tag_mtk: fix 802.1ad VLAN egress (bsc#1012628).
- net: ethernet: mtk-star-emac: fix wrong unmap in RX handling
(bsc#1012628).
- net/mlx4_en: update moderation when config reset (bsc#1012628).
- net: stmmac: fix incorrect DMA channel intr enable setting of
EQoS v4.10 (bsc#1012628).
- nexthop: Do not flush blackhole nexthops when loopback goes down
(bsc#1012628).
- net: sched: avoid duplicates in classes dump (bsc#1012628).
- net: mscc: ocelot: properly reject destination IP keys in VCAP
IS1 (bsc#1012628).
- net: dsa: sja1105: fix SGMII PCS being forced to SPEED_UNKNOWN
instead of SPEED_10 (bsc#1012628).
- net: usb: qmi_wwan: allow qmimux add/del with master up
(bsc#1012628).
- netdevsim: init u64 stats for 32bit hardware (bsc#1012628).
- cipso,calipso: resolve a number of problems with the DOI
refcounts (bsc#1012628).
- net: stmmac: Fix VLAN filter delete timeout issue in Intel
mGBE SGMII (bsc#1012628).
- stmmac: intel: Fixes clock registration error seen for multiple
interfaces (bsc#1012628).
- net: lapbether: Remove netif_start_queue / netif_stop_queue
(bsc#1012628).
- net: davicom: Fix regulator not turned off on failed probe
(bsc#1012628).
- net: davicom: Fix regulator not turned off on driver removal
(bsc#1012628).
- net: enetc: allow hardware timestamping on TX queues with
tc-etf enabled (bsc#1012628).
- net: qrtr: fix error return code of qrtr_sendmsg()
(bsc#1012628).
- s390/qeth: fix memory leak after failed TX Buffer allocation
(bsc#1012628).
- s390/qeth: improve completion of pending TX buffers
(bsc#1012628).
- s390/qeth: schedule TX NAPI on QAOB completion (bsc#1012628).
- s390/qeth: fix notification for pending buffers during teardown
(bsc#1012628).
- r8169: fix r8168fp_adjust_ocp_cmd function (bsc#1012628).
- ixgbe: fail to create xfrm offload of IPsec tunnel mode SA
(bsc#1012628).
- tools/resolve_btfids: Fix build error with older host toolchains
(bsc#1012628).
- perf build: Fix ccache usage in $(CC) when generating arch
errno table (bsc#1012628).
- net: stmmac: stop each tx channel independently (bsc#1012628).
- net: stmmac: fix watchdog timeout during suspend/resume stress
test (bsc#1012628).
- net: stmmac: fix wrongly set buffer2 valid when sph unsupport
(bsc#1012628).
- ethtool: fix the check logic of at least one channel for RX/TX
(bsc#1012628).
- net: phy: make mdio_bus_phy_suspend/resume as __maybe_unused
(bsc#1012628).
- selftests: forwarding: Fix race condition in mirror installation
(bsc#1012628).
- mlxsw: spectrum_ethtool: Add an external speed to PTYS register
(bsc#1012628).
- perf traceevent: Ensure read cmdlines are null terminated
(bsc#1012628).
- perf report: Fix -F for branch & mem modes (bsc#1012628).
- net: hns3: fix error mask definition of flow director
(bsc#1012628).
- net: hns3: fix query vlan mask value error for flow director
(bsc#1012628).
- net: hns3: fix bug when calculating the TCAM table info
(bsc#1012628).
- s390/cio: return -EFAULT if copy_to_user() fails again
(bsc#1012628).
- bnxt_en: reliably allocate IRQ table on reset to avoid crash
(bsc#1012628).
- drm/fb-helper: only unmap if buffer not null (bsc#1012628).
- drm/compat: Clear bounce structures (bsc#1012628).
- drm/radeon: also init GEM funcs in
radeon_gem_prime_import_sg_table (bsc#1012628).
- drm/amdgpu/display: use GFP_ATOMIC in
dcn21_validate_bandwidth_fp() (bsc#1012628).
- drm/amd/display: Fix nested FPU context in
dcn21_validate_bandwidth() (bsc#1012628).
- drm/amd/pm: correct the watermark settings for Polaris
(bsc#1012628).
- drm/amd/pm: bug fix for pcie dpm (bsc#1012628).
- drm/amdgpu/display: don't assert in set backlight function
(bsc#1012628 bsc#1180749).
- drm/shmem-helper: Check for purged buffers in fault handler
(bsc#1012628).
- drm/shmem-helper: Don't remove the offset in vm_area_struct
pgoff (bsc#1012628).
- drm: Use USB controller's DMA mask when importing dmabufs
(bsc#1012628).
- drm/amdgpu: fix S0ix handling when the CONFIG_AMD_PMC=m
(bsc#1012628).
- drm: meson_drv add shutdown function (bsc#1012628).
- drm/shmem-helpers: vunmap: Don't put pages for dma-buf
(bsc#1012628).
- drm/i915: Wedge the GPU if command parser setup fails
(bsc#1012628).
- s390/cio: return -EFAULT if copy_to_user() fails (bsc#1012628).
- s390/crypto: return -EFAULT if copy_to_user() fails
(bsc#1012628).
- qxl: Fix uninitialised struct field head.surface_id
(bsc#1012628).
- sh_eth: fix TRSCER mask for R7S9210 (bsc#1012628).
- media: usbtv: Fix deadlock on suspend (bsc#1012628).
- media: rkisp1: params: fix wrong bits settings (bsc#1012628).
- media: v4l: vsp1: Fix uif null pointer access (bsc#1012628).
- media: v4l: vsp1: Fix bru null pointer access (bsc#1012628).
- media: rc: compile rc-cec.c into rc-core (bsc#1012628).
- MIPS: kernel: Reserve exception base early to prevent corruption
(bsc#1012628).
- mptcp: always graft subflow socket to parent (bsc#1012628).
- mptcp: reset last_snd on subflow close (bsc#1012628).
- i2c: rcar: faster irq code to minimize HW race condition
(bsc#1012628).
- i2c: rcar: optimize cacheline to minimize HW race condition
(bsc#1012628).
- scsi: pm80xx: Fix missing tag_free in NVMD DATA req
(bsc#1012628).
- scsi: ufs: WB is only available on LUN #0 to #7 (bsc#1012628).
- scsi: ufs: Protect some contexts from unexpected clock scaling
(bsc#1012628).
- udf: fix silent AED tagLocation corruption (bsc#1012628).
- iommu/vt-d: Clear PRQ overflow only when PRQ is empty
(bsc#1012628).
- mmc: mxs-mmc: Fix a resource leak in an error handling path in
'mxs_mmc_probe()' (bsc#1012628).
- mmc: mediatek: fix race condition between msdc_request_timeout
and irq (bsc#1012628).
- mmc: sdhci-iproc: Add ACPI bindings for the RPi (bsc#1012628).
- platform/x86: amd-pmc: put device on error paths (bsc#1012628).
- Platform: OLPC: Fix probe error handling (bsc#1012628).
- powerpc/pci: Add ppc_md.discover_phbs() (bsc#1012628).
- spi: stm32: make spurious and overrun interrupts visible
(bsc#1012628).
- powerpc: improve handling of unrecoverable system reset
(bsc#1012628).
- powerpc/perf: Record counter overflow always if SAMPLE_IP is
unset (bsc#1012628).
- HID: logitech-dj: add support for the new lightspeed connection
iteration (bsc#1012628).
- powerpc/64: Fix stack trace not displaying final frame
(bsc#1012628).
- iommu/amd: Fix performance counter initialization (bsc#1012628).
- clk: qcom: gdsc: Implement NO_RET_PERIPH flag (bsc#1012628).
- sparc32: Limit memblock allocation to low memory (bsc#1012628).
- sparc64: Use arch_validate_flags() to validate ADI flag
(bsc#1012628).
- Input: applespi - don't wait for responses to commands
indefinitely (bsc#1012628).
- PCI: xgene-msi: Fix race in installing chained irq handler
(bsc#1012628).
- PCI: mediatek: Add missing of_node_put() to fix reference leak
(bsc#1012628).
- drivers/base: build kunit tests without structleak plugin
(bsc#1012628).
- PCI/LINK: Remove bandwidth notification (bsc#1012628).
- ext4: don't try to processed freed blocks until mballoc is
initialized (bsc#1012628).
- kbuild: clamp SUBLEVEL to 255 (bsc#1012628).
- PCI: Fix pci_register_io_range() memory leak (bsc#1012628).
- i40e: Fix memory leak in i40e_probe (bsc#1012628).
- PCI/ERR: Retain status from error notification (bsc#1012628).
- kasan: fix memory corruption in kasan_bitops_tags test
(bsc#1012628).
- s390/smp: __smp_rescan_cpus() - move cpumask away from stack
(bsc#1012628).
- drivers/base/memory: don't store phys_device in memory blocks
(bsc#1012628).
- sysctl.c: fix underflow value setting risk in vm_table
(bsc#1012628).
- scsi: libiscsi: Fix iscsi_prep_scsi_cmd_pdu() error handling
(bsc#1012628).
- scsi: target: core: Add cmd length set before cmd complete
(bsc#1012628).
- scsi: target: core: Prevent underflow for service actions
(bsc#1012628).
- clk: qcom: gpucc-msm8998: Add resets, cxc, fix flags on
gpu_gx_gdsc (bsc#1012628).
- ALSA: hda/conexant: Add quirk for mute LED control on HP ZBook
G5 (bsc#1012628).
- ALSA: hda/ca0132: Add Sound BlasterX AE-5 Plus support
(bsc#1012628).
- ALSA: hda: Drop the BATCH workaround for AMD controllers
(bsc#1012628).
- ALSA: usb-audio: Fix "cannot get freq eq" errors on Dell AE515
sound bar (bsc#1012628).
- ALSA: usb-audio: Disable USB autosuspend properly in
setup_disable_autosuspend() (bsc#1012628).
- ALSA: usb-audio: fix NULL ptr dereference in usb_audio_probe
(bsc#1012628).
- ALSA: usb-audio: fix use after free in usb_audio_disconnect
(bsc#1012628).
- opp: Don't drop extra references to OPPs accidentally
(bsc#1012628).
- Revert 95ebabde382c ("capabilities: Don't allow writing
ambiguous v3 file capabilities") (bsc#1012628).
- block: Discard page cache of zone reset target range
(bsc#1012628).
- block: Try to handle busy underlying device on discard
(bsc#1012628).
- arm64: kasan: fix page_alloc tagging with DEBUG_VIRTUAL
(bsc#1012628).
- arm64: mte: Map hotplugged memory as Normal Tagged
(bsc#1012628).
- arm64: perf: Fix 64-bit event counter read truncation
(bsc#1012628).
- s390/dasd: fix hanging DASD driver unbind (bsc#1012628).
- s390/dasd: fix hanging IO request during DASD driver unbind
(bsc#1012628).
- software node: Fix node registration (bsc#1012628).
- xen/events: reset affinity of 2-level event when tearing it down
(bsc#1012628).
- xen/events: don't unmask an event channel when an eoi is pending
(bsc#1012628).
- xen/events: avoid handling the same event on two cpus at the
same time (bsc#1012628).
- mmc: mmci: Add MMC_CAP_NEED_RSP_BUSY for the stm32 variants
(bsc#1012628).
- mmc: core: Fix partition switch time for eMMC (bsc#1012628).
- mmc: cqhci: Fix random crash when remove mmc module/card
(bsc#1012628).
- cifs: do not send close in compound create+close requests
(bsc#1012628).
- Goodix Fingerprint device is not a modem (bsc#1012628).
- USB: gadget: udc: s3c2410_udc: fix return value check in
s3c2410_udc_probe() (bsc#1012628).
- USB: gadget: u_ether: Fix a configfs return code (bsc#1012628).
- usb: gadget: f_uac2: always increase endpoint max_packet_size
by one audio slot (bsc#1012628).
- usb: gadget: f_uac1: stop playback on function disable
(bsc#1012628).
- usb: dwc3: qcom: Add missing DWC3 OF node refcount decrement
(bsc#1012628).
- usb: dwc3: qcom: add URS Host support for sdm845 ACPI boot
(bsc#1012628).
- usb: dwc3: qcom: add ACPI device id for sc8180x (bsc#1012628).
- usb: dwc3: qcom: Honor wakeup enabled/disabled state
(bsc#1012628).
- USB: usblp: fix a hang in poll() if disconnected (bsc#1012628).
- usb: renesas_usbhs: Clear PIPECFG for re-enabling pipe with
other EPNUM (bsc#1012628).
- usb: xhci: do not perform Soft Retry for some xHCI hosts
(bsc#1012628).
- xhci: Improve detection of device initiated wake signal
(bsc#1012628).
- usb: xhci: Fix ASMedia ASM1042A and ASM3242 DMA addressing
(bsc#1012628).
- xhci: Fix repeated xhci wake after suspend due to uncleared
internal wake state (bsc#1012628).
- USB: serial: io_edgeport: fix memory leak in edge_startup
(bsc#1012628).
- USB: serial: ch341: add new Product ID (bsc#1012628).
- USB: serial: cp210x: add ID for Acuity Brands nLight Air Adapter
(bsc#1012628).
- USB: serial: cp210x: add some more GE USB IDs (bsc#1012628).
- usbip: fix stub_dev to check for stream socket (bsc#1012628).
- usbip: fix vhci_hcd to check for stream socket (bsc#1012628).
- usbip: fix vudc to check for stream socket (bsc#1012628).
- usbip: fix stub_dev usbip_sockfd_store() races leading to gpf
(bsc#1012628).
- usbip: fix vhci_hcd attach_store() races leading to gpf
(bsc#1012628).
- usbip: fix vudc usbip_sockfd_store races leading to gpf
(bsc#1012628).
- Revert "serial: max310x: rework RX interrupt handling"
(bsc#1012628).
- misc/pvpanic: Export module FDT device table (bsc#1012628).
- misc: fastrpc: restrict user apps from sending kernel RPC
messages (bsc#1012628).
- staging: rtl8192u: fix ->ssid overflow in r8192_wx_set_scan()
(bsc#1012628).
- staging: rtl8188eu: prevent ->ssid overflow in rtw_wx_set_scan()
(bsc#1012628).
- staging: rtl8712: unterminated string leads to read overflow
(bsc#1012628).
- staging: rtl8188eu: fix potential memory corruption in
rtw_check_beacon_data() (bsc#1012628).
- staging: ks7010: prevent buffer overflow in ks_wlan_set_scan()
(bsc#1012628).
- staging: rtl8712: Fix possible buffer overflow in
r8712_sitesurvey_cmd (bsc#1012628).
- staging: rtl8192e: Fix possible buffer overflow in
_rtl92e_wx_set_scan (bsc#1012628).
- staging: comedi: addi_apci_1032: Fix endian problem for COS
sample (bsc#1012628).
- staging: comedi: addi_apci_1500: Fix endian problem for command
sample (bsc#1012628).
- staging: comedi: adv_pci1710: Fix endian problem for AI command
data (bsc#1012628).
- staging: comedi: das6402: Fix endian problem for AI command data
(bsc#1012628).
- staging: comedi: das800: Fix endian problem for AI command data
(bsc#1012628).
- staging: comedi: dmm32at: Fix endian problem for AI command data
(bsc#1012628).
- staging: comedi: me4000: Fix endian problem for AI command data
(bsc#1012628).
- staging: comedi: pcl711: Fix endian problem for AI command data
(bsc#1012628).
- staging: comedi: pcl818: Fix endian problem for AI command data
(bsc#1012628).
- mlxsw: spectrum_router: Ignore routes using a deleted nexthop
object (bsc#1012628).
- net: phy: ti: take into account all possible interrupt sources
(bsc#1012628).
- sh_eth: fix TRSCER mask for R7S72100 (bsc#1012628).
- powerpc/sstep: Fix VSX instruction emulation (bsc#1012628).
- net: macb: Add default usrio config to default gem config
(bsc#1012628).
- cpufreq: qcom-hw: fix dereferencing freed memory 'data'
(bsc#1012628).
- cpufreq: qcom-hw: Fix return value check in
qcom_cpufreq_hw_cpu_init() (bsc#1012628).
- arm64/mm: Fix pfn_valid() for ZONE_DEVICE based memory
(bsc#1012628).
- SUNRPC: Set memalloc_nofs_save() for sync tasks (bsc#1012628).
- NFS: Don't revalidate the directory permissions on a lookup
failure (bsc#1012628).
- NFS: Don't gratuitously clear the inode cache when lookup failed
(bsc#1012628).
- NFSv4.2: fix return value of _nfs4_get_security_label()
(bsc#1012628).
- block: rsxx: fix error return code of rsxx_pci_probe()
(bsc#1012628).
- drm/ttm: Fix TTM page pool accounting (bsc#1012628).
- nvme-fc: fix racing controller reset and create association
(bsc#1012628).
- configfs: fix a use-after-free in __configfs_open_file
(bsc#1012628).
- arm64: mm: use a 48-bit ID map when possible on 52-bit VA builds
(bsc#1012628).
- io_uring: perform IOPOLL reaping if canceler is thread itself
(bsc#1012628).
- drm/nouveau: fix dma syncing for loops (v2) (bsc#1012628).
- perf/arm_dmc620_pmu: Fix error return code in
dmc620_pmu_device_probe() (bsc#1012628).
- net: expand textsearch ts_state to fit skb_seq_state
(bsc#1012628).
- mptcp: put subflow sock on connect error (bsc#1012628).
- mptcp: fix memory accounting on allocation error (bsc#1012628).
- perf/core: Flush PMU internal buffers for per-CPU events
(bsc#1012628).
- perf/x86/intel: Set PERF_ATTACH_SCHED_CB for large PEBS and LBR
(bsc#1012628).
- hrtimer: Update softirq_expires_next correctly after
__hrtimer_get_next_event() (bsc#1012628).
- powerpc/64s/exception: Clean up a missed SRR specifier
(bsc#1012628).
- seqlock,lockdep: Fix seqcount_latch_init() (bsc#1012628).
- memblock: fix section mismatch warning (bsc#1012628).
- stop_machine: mark helpers __always_inline (bsc#1012628).
- include/linux/sched/mm.h: use rcu_dereference in in_vfork()
(bsc#1012628).
- zram: fix return value on writeback_store (bsc#1012628).
- zram: fix broken page writeback (bsc#1012628).
- linux/compiler-clang.h: define HAVE_BUILTIN_BSWAP*
(bsc#1012628).
- sched: Fix migration_cpu_stop() requeueing (bsc#1012628).
- sched/membarrier: fix missing local execution of
ipi_sync_rq_state() (bsc#1012628).
- sched: Collate affine_move_task() stoppers (bsc#1012628).
- sched: Simplify migration_cpu_stop() (bsc#1012628).
- sched: Optimize migration_cpu_stop() (bsc#1012628).
- sched: Fix affine_move_task() self-concurrency (bsc#1012628).
- sched: Simplify set_affinity_pending refcounts (bsc#1012628).
- efi: stub: omit SetVirtualAddressMap() if marked unsupported
in RT_PROP table (bsc#1012628).
- powerpc/64s: Fix instruction encoding for lis in
ppc_function_entry() (bsc#1012628).
- powerpc: Fix inverted SET_FULL_REGS bitop (bsc#1012628).
- powerpc: Fix missing declaration of [en/dis]able_kernel_vsx()
(bsc#1012628).
- binfmt_misc: fix possible deadlock in bm_register_write
(bsc#1012628).
- kasan, mm: fix crash with HW_TAGS and DEBUG_PAGEALLOC
(bsc#1012628).
- kasan: fix KASAN_STACK dependency for HW_TAGS (bsc#1012628).
- x86/unwind/orc: Disable KASAN checking in the ORC unwinder,
part 2 (bsc#1012628).
- x86/sev-es: Introduce ip_within_syscall_gap() helper
(bsc#1012628).
- x86/sev-es: Check regs->sp is trusted before adjusting #VC
IST stack (bsc#1012628).
- x86/sev-es: Correctly track IRQ states in runtime #VC handler
(bsc#1012628).
- x86/sev-es: Use __copy_from_user_inatomic() (bsc#1012628).
- x86/entry: Fix entry/exit mismatch on failed fast 32-bit
syscalls (bsc#1012628).
- KVM: x86: Ensure deadline timer has truly expired before
posting its IRQ (bsc#1012628).
- KVM: kvmclock: Fix vCPUs > 64 can't be online/hotpluged
(bsc#1012628).
- KVM: arm64: Ensure I-cache isolation between vcpus of a same VM
(bsc#1012628).
- KVM: arm64: Fix range alignment when walking page tables
(bsc#1012628).
- KVM: arm64: Avoid corrupting vCPU context register in guest exit
(bsc#1012628).
- KVM: arm64: nvhe: Save the SPE context early (bsc#1012628).
- KVM: arm64: Reject VM creation when the default IPA size is
unsupported (bsc#1012628).
- KVM: arm64: Fix exclusive limit for IPA size (bsc#1012628).
- mm/highmem.c: fix zero_user_segments() with start > end
(bsc#1012628).
- mm/userfaultfd: fix memory corruption due to writeprotect
(bsc#1012628).
- mm/madvise: replace ptrace attach requirement for
process_madvise (bsc#1012628).
- mm/memcg: set memcg when splitting page (bsc#1012628).
- mm/memcg: rename mem_cgroup_split_huge_fixup to split_page_memcg
and add nr_pages argument (bsc#1012628).
- mm/page_alloc.c: refactor initialization of struct page for
holes in memory layout (bsc#1012628).
- KVM: arm64: Fix nVHE hyp panic host context restore
(bsc#1012628).
- Delete
patches.suse/drm-amdgpu-display-don-t-assert-in-set-backlight-fun.patch.
- commit 01db39a
-------------------------------------------------------------------
Tue Mar 16 18:07:59 CET 2021 - tiwai@suse.de
- drm/i915/ilk-glk: Fix link training on links with LTTPRs
(bsc#1183294).
- commit 424e43f
-------------------------------------------------------------------
Tue Mar 16 10:05:15 CET 2021 - tiwai@suse.de
- Update config files: enable CONFIG_BMP280=m for x86 (bsc#1183198)
- commit e29c84f
-------------------------------------------------------------------
Mon Mar 15 06:00:42 CET 2021 - jslaby@suse.cz
- Refresh
patches.rpmify/kbuild-dummy-tools-fix-inverted-tests-for-gcc.patch.
- Refresh
patches.rpmify/kbuild-dummy-tools-support-MPROFILE_KERNEL-checks-fo.patch.
- Refresh
patches.suse/ALSA-hda-Avoid-spurious-unsol-event-handling-during-.patch.
- Refresh
patches.suse/ALSA-hda-Flush-pending-unsolicited-events-before-sus.patch.
- Refresh
patches.suse/ALSA-hda-hdmi-Cancel-pending-works-before-suspend.patch.
- Refresh
patches.suse/ALSA-usb-Add-Plantronics-C320-M-USB-ctrl-msg-delay-q.patch.
- Refresh
patches.suse/ALSA-usb-audio-Apply-the-control-quirk-to-Plantronic.patch.
Update upstream status.
- commit 3222af6
-------------------------------------------------------------------
Sun Mar 14 23:07:40 CET 2021 - mkubecek@suse.cz
- Update to 5.12-rc3
- eliminated 3 patches
- patches.rpmify/kbuild-dummy-tools-adjust-to-scripts-cc-version.sh.patch
- patches.rpmify/kbuild-dummy-tools-fix-inverted-tests-for-gcc.patch
- patches.rpmify/kbuild-dummy-tools-support-MPROFILE_KERNEL-checks-fo.patch
- update configs
- COMPILE_TEST=n (s390x)
- TMPFS_INODE64=y (s390x)
- commit 89b1f10
-------------------------------------------------------------------
Sun Mar 14 21:08:17 CET 2021 - mkubecek@suse.cz
- config: update with dummy toolchain
- new config options:
- GCC_PLUGINS=y
- GCC_PLUGIN_CYC_COMPLEXITY is not set
- GCC_PLUGIN_LATENT_ENTROPY is not set
- GCC_PLUGIN_RANDSTRUCT is not set
- GCC_PLUGIN_STRUCTLEAK_USER is not set
- GCC_PLUGIN_STRUCTLEAK_BYREF is not set
- GCC_PLUGIN_STRUCTLEAK_BYREF_ALL is not set
- commit 6e44961
-------------------------------------------------------------------
Sun Mar 14 10:04:54 CET 2021 - tiwai@suse.de
- drm/amd/display: Revert dram_clock_change_latency for DCN2.1
(bsc#1183424).
- drm/amd/display: Copy over soc values before bounding box
creation (bsc#1183424).
- commit bd60fa9
-------------------------------------------------------------------
Fri Mar 12 17:15:31 CET 2021 - tiwai@suse.de
- Refresh media fixes to the upstreamed version (bsc#1181104)
Refreshed:
patches.suse/media-dvb-usb-Fix-memory-leak-at-error-in-dvb_usb_de.patch
patches.suse/media-dvb-usb-Fix-use-after-free-access.patch
- commit 101728a
-------------------------------------------------------------------
Thu Mar 11 17:11:21 CET 2021 - jslaby@suse.cz
- Linux 5.11.6 (bsc#1012628).
- nvme-pci: add quirks for Lexar 256GB SSD (bsc#1012628).
- nvme-pci: mark Seagate Nytro XM1440 as QUIRK_NO_NS_DESC_LIST
(bsc#1012628).
- KVM: SVM: Clear the CR4 register on reset (bsc#1012628).
- scsi: ufs: Fix a duplicate dev quirk number (bsc#1012628).
- ASoC: Intel: sof_sdw: add quirk for HP Spectre x360 convertible
(bsc#1012628).
- ASoC: Intel: sof_sdw: reorganize quirks by generation
(bsc#1012628).
- PCI: cadence: Retrain Link to work around Gen2 training defect
(bsc#1012628).
- HID: ite: Enable QUIRK_TOUCHPAD_ON_OFF_REPORT on Acer Aspire
Switch 10E (bsc#1012628).
- ALSA: usb-audio: add mixer quirks for Pioneer DJM-900NXS2
(bsc#1012628).
- ALSA: usb-audio: Add DJM750 to Pioneer mixer quirk
(bsc#1012628).
- HID: i2c-hid: Add I2C_HID_QUIRK_NO_IRQ_AFTER_RESET for ITE8568
EC on Voyo Winpad A15 (bsc#1012628).
- mmc: sdhci-of-dwcmshc: set SDHCI_QUIRK2_PRESET_VALUE_BROKEN
(bsc#1012628).
- drm/msm/a5xx: Remove overwriting A5XX_PC_DBG_ECO_CNTL register
(bsc#1012628).
- scsi: ufs: ufs-exynos: Use UFSHCD_QUIRK_ALIGN_SG_WITH_PAGE_SIZE
(bsc#1012628).
- scsi: ufs: ufs-exynos: Apply vendor-specific values for three
timeouts (bsc#1012628).
- scsi: ufs: Introduce a quirk to allow only page-aligned sg
entries (bsc#1012628).
- misc: eeprom_93xx46: Add quirk to support Microchip 93LC46B
eeprom (bsc#1012628).
- scsi: ufs: Add a quirk to permit overriding UniPro defaults
(bsc#1012628).
- scsi: ufs-mediatek: Enable
UFSHCI_QUIRK_SKIP_MANUAL_WB_FLUSH_CTRL (bsc#1012628).
- iommu/amd: Fix sleeping in atomic in increase_address_space()
(bsc#1012628).
- btrfs: don't flush from btrfs_delayed_inode_reserve_metadata
(bsc#1012628).
- btrfs: export and rename qgroup_reserve_meta (bsc#1012628).
- arm64: Make CPU_BIG_ENDIAN depend on ld.bfd or ld.lld 13.0.0+
(bsc#1012628).
- parisc: Enable -mlong-calls gcc option with CONFIG_COMPILE_TEST
(bsc#1012628).
- nvme-pci: mark Kingston SKC2000 as not supporting the deepest
power state (bsc#1012628).
- media: cedrus: Remove checking for required controls
(bsc#1012628).
- io_uring: don't take uring_lock during iowq cancel
(bsc#1012628).
- io_uring/io-wq: return 2-step work swap scheme (bsc#1012628).
- io_uring/io-wq: kill off now unused IO_WQ_WORK_NO_CANCEL
(bsc#1012628).
- io_uring: get rid of intermediate IORING_OP_CLOSE stage
(bsc#1012628).
- fs: provide locked helper variant of close_fd_get_file()
(bsc#1012628).
- io_uring: deduplicate failing task_work_add (bsc#1012628).
- io_uring: unpark SQPOLL thread for cancelation (bsc#1012628).
- io_uring: deduplicate core cancellations sequence (bsc#1012628).
- io_uring: fix inconsistent lock state (bsc#1012628).
- commit 7358b30
-------------------------------------------------------------------
Wed Mar 10 15:00:21 CET 2021 - tiwai@suse.de
- ALSA: hda/hdmi: Cancel pending works before suspend
(bsc#1182377).
- ALSA: hda: Avoid spurious unsol event handling during S3/S4
(bsc#1182377).
- ALSA: hda: Flush pending unsolicited events before suspend
(bsc#1182377).
- commit 85f6d4b
-------------------------------------------------------------------
Wed Mar 10 07:42:59 CET 2021 - jslaby@suse.cz
- rpm/check-for-config-changes: comment on the list
To explain what it actually is.
- commit e94bacf
-------------------------------------------------------------------
Wed Mar 10 07:36:21 CET 2021 - jslaby@suse.cz
- ACPICA: Fix race in generic_serial_bus (I2C) and GPIO op_region
parameter handling (bsc#1012628).
- rpm/check-for-config-changes: define ignores more strictly
* search for whole words, so make wildcards explicit
* use ' for quoting
* prepend CONFIG_ dynamically, so it need not be in the list
- commit 7b06a8c
-------------------------------------------------------------------
Wed Mar 10 07:13:35 CET 2021 - jslaby@suse.cz
- rpm/check-for-config-changes: sort the ignores
They are growing so to make them searchable by humans.
- commit 67c6b55
-------------------------------------------------------------------
Wed Mar 10 07:10:06 CET 2021 - jslaby@suse.cz
- rpm/check-for-config-changes: add -mrecord-mcount ignore
Added by 3b15cdc15956 (tracing: move function tracer options to Kconfig)
upstream.
- commit 018b013
-------------------------------------------------------------------
Wed Mar 10 05:45:02 CET 2021 - jslaby@suse.cz
- Refresh
patches.rpmify/kbuild-dummy-tools-fix-inverted-tests-for-gcc.patch.
- Refresh
patches.rpmify/kbuild-dummy-tools-support-MPROFILE_KERNEL-checks-fo.patch.
Update upstream status.
- commit c867c19
-------------------------------------------------------------------
Wed Mar 10 05:43:53 CET 2021 - jslaby@suse.cz
- kbuild: dummy-tools: adjust to scripts/cc-version.sh
(bsc#1181862).
- commit cfbfafc
-------------------------------------------------------------------
Tue Mar 9 18:04:01 CET 2021 - msuchanek@suse.de
- Delete patches.rpmify/Kconfig-make-CONFIG_CC_CAN_LINK-always-true.patch.
Should not be needed anymore with dummy-tools.
- commit 41fc82c
-------------------------------------------------------------------
Tue Mar 9 12:08:27 CET 2021 - jslaby@suse.cz
- Linux 5.11.5 (bsc#1012628).
- ALSA: hda/realtek: Enable headset mic of Acer SWIFT with ALC256
(bsc#1012628).
- ALSA: usb-audio: use Corsair Virtuoso mapping for Corsair
Virtuoso SE (bsc#1012628).
- ALSA: usb-audio: Don't abort even if the clock rate differs
(bsc#1012628).
- ALSA: usb-audio: Drop bogus dB range in too low level
(bsc#1012628).
- ALSA: usb-audio: Allow modifying parameters with succeeding
hw_params calls (bsc#1012628).
- tpm, tpm_tis: Decorate tpm_tis_gen_interrupt() with
request_locality() (bsc#1012628).
- tpm, tpm_tis: Decorate tpm_get_timeouts() with
request_locality() (bsc#1012628).
- btrfs: avoid double put of block group when emptying cluster
(bsc#1012628).
- btrfs: fix raid6 qstripe kmap (bsc#1012628).
- btrfs: fix race between writes to swap files and scrub
(bsc#1012628).
- btrfs: fix race between swap file activation and snapshot
creation (bsc#1012628).
- btrfs: fix stale data exposure after cloning a hole with
NO_HOLES enabled (bsc#1012628).
- btrfs: tree-checker: do not error out if extent ref hash
doesn't match (bsc#1012628).
- btrfs: fix race between extent freeing/allocation when using
bitmaps (bsc#1012628).
- btrfs: validate qgroup inherit for SNAP_CREATE_V2 ioctl
(bsc#1012628).
- btrfs: free correct amount of space in
btrfs_delayed_inode_reserve_metadata (bsc#1012628).
- btrfs: fix spurious free_space_tree remount warning
(bsc#1012628).
- btrfs: unlock extents in btrfs_zero_range in case of quota
reservation errors (bsc#1012628).
- btrfs: fix warning when creating a directory with smack enabled
(bsc#1012628).
- PM: runtime: Update device status before letting suppliers
suspend (bsc#1012628).
- ring-buffer: Force before_stamp and write_stamp to be different
on discard (bsc#1012628).
- io_uring: ignore double poll add on the same waitqueue head
(bsc#1012628).
- dm bufio: subtract the number of initial sectors in
dm_bufio_get_device_size (bsc#1012628).
- dm verity: fix FEC for RS roots unaligned to block size
(bsc#1012628).
- drm/amd/pm: correct Arcturus mmTHM_BACO_CNTL register address
(bsc#1012628).
- drm/amdgpu:disable VCN for Navi12 SKU (bsc#1012628).
- drm/amdgpu: Only check for S0ix if AMD_PMC is configured
(bsc#1012628).
- drm/amdgpu: fix parameter error of RREG32_PCIE() in
amdgpu_regs_pcie (bsc#1012628).
- crypto - shash: reduce minimum alignment of shash_desc structure
(bsc#1012628).
- ALSA: ctxfi: cthw20k2: fix mask on conf to allow 4 bits
(bsc#1012628).
- RDMA/cm: Fix IRQ restore in ib_send_cm_sidr_rep (bsc#1012628).
- RDMA/rxe: Fix missing kconfig dependency on CRYPTO
(bsc#1012628).
- IB/mlx5: Add missing error code (bsc#1012628).
- ALSA: hda: intel-nhlt: verify config type (bsc#1012628).
- ftrace: Have recordmcount use w8 to read relp->r_info in
arm64_is_fake_mcount (bsc#1012628).
- ia64: don't call handle_signal() unless there's actually a
signal queued (bsc#1012628).
- rsxx: Return -EFAULT if copy_to_user() fails (bsc#1012628).
- iommu/tegra-smmu: Fix mc errors on tegra124-nyan (bsc#1012628).
- iommu: Don't use lazy flush for untrusted device (bsc#1012628).
- iommu/vt-d: Fix status code for Allocate/Free PASID command
(bsc#1012628).
- btrfs: zoned: use sector_t for zone sectors (bsc#1012628).
- tomoyo: recognize kernel threads correctly (bsc#1012628).
- r8169: fix resuming from suspend on RTL8105e if machine runs
on battery (bsc#1012628).
- commit d09469d
-------------------------------------------------------------------
Tue Mar 9 07:53:13 CET 2021 - tiwai@suse.de
- ALSA: usb-audio: Apply the control quirk to Plantronics headsets
(bsc#1182552).
- ALSA: usb: Add Plantronics C320-M USB ctrl msg delay quirk
(bsc#1182552).
- commit f99c94c
-------------------------------------------------------------------
Mon Mar 8 07:19:58 CET 2021 - jslaby@suse.cz
- kbuild: dummy-tools, support MPROFILE_KERNEL checks for ppc
(bsc#1181862).
- commit c4424c2
-------------------------------------------------------------------
Mon Mar 8 07:07:04 CET 2021 - jslaby@suse.cz
- Delete
patches.rpmify/Kconfig-make-CONFIG_CC_CAN_LINK-always-true.patch.
With dummy-tools, this is no longer needed.
- commit 84a32d6
-------------------------------------------------------------------
Mon Mar 8 07:05:24 CET 2021 - jslaby@suse.cz
- Update config files.
Just oldconfig.
- commit e687fe8
-------------------------------------------------------------------
Mon Mar 8 06:16:55 CET 2021 - jslaby@suse.cz
- ALSA: usb-audio: Fix Pioneer DJM devices URB_CONTROL request
direction to set samplerate (git-fixes).
- commit be77cd2
-------------------------------------------------------------------
Sun Mar 7 16:47:13 CET 2021 - jslaby@suse.cz
- blacklist.conf: add a typo fix
- commit 2ae3683
-------------------------------------------------------------------
Sun Mar 7 16:44:29 CET 2021 - jslaby@suse.cz
- Linux 5.11.4 (bsc#1012628).
- ALSA: hda/realtek: Apply dual codec quirks for MSI Godlike
X570 board (bsc#1012628).
- ALSA: hda/realtek: Add quirk for Intel NUC 10 (bsc#1012628).
- ALSA: hda/realtek: Add quirk for Clevo NH55RZQ (bsc#1012628).
- phy: mediatek: Add missing MODULE_DEVICE_TABLE() (bsc#1012628).
- tty: teach the n_tty ICANON case about the new "cookie
continuations" too (bsc#1012628).
- tty: teach n_tty line discipline about the new "cookie
continuations" (bsc#1012628).
- tty: clean up legacy leftovers from n_tty line discipline
(bsc#1012628).
- tty: fix up hung_up_tty_read() conversion (bsc#1012628).
- tty: fix up iterate_tty_read() EOVERFLOW handling (bsc#1012628).
- swap: fix swapfile read/write offset (bsc#1012628).
- xen: fix p2m size in dom0 for disabled memory hotplug case
(bsc#1012628).
- xen-netback: respect gnttab_map_refs()'s return value
(bsc#1012628).
- Xen/gnttab: handle p2m update errors on a per-slot basis
(bsc#1012628).
- scsi: iscsi: Verify lengths on passthrough PDUs (bsc#1012628).
- scsi: iscsi: Ensure sysfs attributes are limited to PAGE_SIZE
(bsc#1012628).
- scsi: iscsi: Restrict sessions and handles to admin capabilities
(bsc#1012628).
- ASoC: Intel: bytcr_rt5640: Add quirk for the Acer One S1002
tablet (bsc#1012628).
- ASoC: Intel: bytcr_rt5651: Add quirk for the Jumper EZpad 7
tablet (bsc#1012628).
- ASoC: Intel: bytcr_rt5640: Add quirk for the Voyo Winpad A15
tablet (bsc#1012628).
- ASoC: Intel: bytcr_rt5640: Add quirk for the Estar Beauty HD
MID 7316R tablet (bsc#1012628).
- sched/features: Fix hrtick reprogramming (bsc#1012628).
- parisc: Bump 64-bit IRQ stack size to 64 KB (bsc#1012628).
- ASoC: Intel: sof_sdw: detect DMIC number based on mach params
(bsc#1012628).
- ASoC: Intel: sof-sdw: indent and add quirks consistently
(bsc#1012628).
- perf/x86/kvm: Add Cascade Lake Xeon steppings to
isolation_ucodes[] (bsc#1012628).
- btrfs: fix error handling in commit_fs_roots (bsc#1012628).
- ASoC: Intel: Add DMI quirk table to soc_intel_is_byt_cr()
(bsc#1012628).
- ALSA: usb-audio: Add DJM-450 to the quirks table (bsc#1012628).
- ALSA: usb-audio: Add DJM450 to Pioneer format quirk
(bsc#1012628).
- nvme-tcp: add clean action for failed reconnection
(bsc#1012628).
- nvme-rdma: add clean action for failed reconnection
(bsc#1012628).
- nvme-core: add cancel tagset helpers (bsc#1012628).
- f2fs: fix to set/clear I_LINKABLE under i_lock (bsc#1012628).
- f2fs: handle unallocated section and zone on pinned/atgc
(bsc#1012628).
- media: uvcvideo: Allow entities with no pads (bsc#1012628).
- drm/amd/amdgpu: add error handling to
amdgpu_virt_read_pf2vf_data (bsc#1012628).
- drm/amd/display: Guard against NULL pointer deref when
get_i2c_info fails (bsc#1012628).
- ALSA: usb-audio: Add support for Pioneer DJM-750 (bsc#1012628).
- ASoC: Intel: bytcr_rt5640: Add new BYT_RT5640_NO_SPEAKERS
quirk-flag (bsc#1012628).
- PCI: Add a REBAR size quirk for Sapphire RX 5600 XT Pulse
(bsc#1012628).
- drm/amdgpu: Add check to prevent IH overflow (bsc#1012628).
- fs: make unlazy_walk() error handling consistent (bsc#1012628).
- crypto: tcrypt - avoid signed overflow in byte count
(bsc#1012628).
- drm/hisilicon: Fix use-after-free (bsc#1012628).
- wilc1000: Fix use of void pointer as a wrong struct type
(bsc#1012628).
- brcmfmac: Add DMI nvram filename quirk for Voyo winpad A15
tablet (bsc#1012628).
- brcmfmac: Add DMI nvram filename quirk for Predia Basic tablet
(bsc#1012628).
- net: ipa: avoid field overflow (bsc#1012628).
- staging: bcm2835-audio: Replace unsafe strcpy() with strscpy()
(bsc#1012628).
- staging: most: sound: add sanity check for function argument
(bsc#1012628).
- Bluetooth: Fix null pointer dereference in
amp_read_loc_assoc_final_data (bsc#1012628).
- Bluetooth: Add new HCI_QUIRK_NO_SUSPEND_NOTIFIER quirk
(bsc#1012628).
- net: sfp: add mode quirk for GPON module Ubiquiti U-Fiber
Instant (bsc#1012628).
- ath10k: fix wmi mgmt tx queue full due to race condition
(bsc#1012628).
- pktgen: fix misuse of BUG_ON() in pktgen_thread_worker()
(bsc#1012628).
- mt76: mt7615: reset token when mac_reset happens (bsc#1012628).
- mt76: mt7915: reset token when mac_reset happens (bsc#1012628).
- selftests/bpf: Remove memory leak (bsc#1012628).
- Bluetooth: btusb: fix memory leak on suspend and resume
(bsc#1012628).
- Bluetooth: hci_h5: Set HCI_QUIRK_SIMULTANEOUS_DISCOVERY for
btrtl (bsc#1012628).
- wlcore: Fix command execute failure 19 for wl12xx (bsc#1012628).
- vt/consolemap: do font sum unsigned (bsc#1012628).
- can: flexcan: add CAN wakeup function for i.MX8QM (bsc#1012628).
- x86/reboot: Add Zotac ZBOX CI327 nano PCI reboot quirk
(bsc#1012628).
- staging: fwserial: Fix error handling in fwserial_create
(bsc#1012628).
- EDAC/amd64: Do not load on family 0x15, model 0x13
(bsc#1012628).
- ath10k: prevent deinitializing NAPI twice (bsc#1012628).
- ASoC: qcom: Remove useless debug print (bsc#1012628).
- dt-bindings: net: btusb: DT fix
s/interrupt-name/interrupt-names/ (bsc#1012628).
- dt-bindings: ethernet-controller: fix fixed-link specification
(bsc#1012628).
- net: fix dev_ifsioc_locked() race condition (bsc#1012628).
- net: psample: Fix netlink skb length with tunnel info
(bsc#1012628).
- net: hsr: add support for EntryForgetTime (bsc#1012628).
- net: ag71xx: remove unnecessary MTU reservation (bsc#1012628).
- net: dsa: tag_rtl4_a: Support also egress tags (bsc#1012628).
- net/sched: cls_flower: Reject invalid ct_state flags rules
(bsc#1012628).
- net: bridge: use switchdev for port flags set through sysfs too
(bsc#1012628).
- mptcp: fix DATA_FIN generation on early shutdown (bsc#1012628).
- mptcp: do not wakeup listener for MPJ subflows (bsc#1012628).
- tcp: fix tcp_rmem documentation (bsc#1012628).
- RDMA/rtrs-srv: Do not signal REG_MR (bsc#1012628).
- RDMA/rtrs-clt: Use bitmask to check sess->flags (bsc#1012628).
- RDMA/rtrs: Do not signal for heatbeat (bsc#1012628).
- vfio/type1: Use follow_pte() (bsc#1012628).
- mm/hugetlb.c: fix unnecessary address expansion of pmd sharing
(bsc#1012628).
- nbd: handle device refs for DESTROY_ON_DISCONNECT properly
(bsc#1012628).
- riscv: Get rid of MAX_EARLY_MAPPING_SIZE (bsc#1012628).
- mptcp: fix spurious retransmissions (bsc#1012628).
- net: fix up truesize of cloned skb in skb_prepare_for_shift()
(bsc#1012628).
- tomoyo: ignore data race while checking quota (bsc#1012628).
- smackfs: restrict bytes count in smackfs write functions
(bsc#1012628).
- net/af_iucv: remove WARN_ONCE on malformed RX packets
(bsc#1012628).
- xfs: Fix assert failure in xfs_setattr_size() (bsc#1012628).
- media: zr364xx: fix memory leaks in probe() (bsc#1012628).
- media: v4l2-ctrls.c: fix shift-out-of-bounds in std_validate
(bsc#1012628).
- erofs: fix shift-out-of-bounds of blkszbits (bsc#1012628).
- media: mceusb: sanity check for prescaler value (bsc#1012628).
- udlfb: Fix memory leak in dlfb_usb_probe (bsc#1012628).
- sched/core: Allow try_invoke_on_locked_down_task() with irqs
disabled (bsc#1012628).
- JFS: more checks for invalid superblock (bsc#1012628).
- x86/build: Treat R_386_PLT32 relocation as R_386_PC32
(bsc#1012628).
- iwlwifi: add new cards for So and Qu family (bsc#1012628).
- net: usb: qmi_wwan: support ZTE P685M modem (bsc#1012628).
- commit 43a5c33
-------------------------------------------------------------------
Sun Mar 7 10:22:49 CET 2021 - mkubecek@suse.cz
- Update to 5.12-rc2
- eliminated 1 patch
- patches.suse/swap-fix-swapfile-read-write-offset.patch
- update configs
- KVM_XEN=n (x86)
- SND_SOC_SOF_MERRIFIELD=m (i386)
- commit d9388fc
-------------------------------------------------------------------
Sat Mar 6 17:44:51 CET 2021 - tiwai@suse.de
- drm/amd/display: Add a backlight module option (bsc#1180749).
- drm/amdgpu/display: handle aux backlight in
backlight_get_brightness (bsc#1180749).
- drm/amdgpu/display: don't assert in set backlight function
(bsc#1180749).
- drm/amdgpu/display: simplify backlight setting (bsc#1180749).
- commit 24ee23f
-------------------------------------------------------------------
Fri Mar 5 16:13:26 CET 2021 - dmueller@suse.com
- ARMv6/v7: Update config files. (bsc#1183009)
enable CONFIG_ARM_MODULE_PLTS to fix module loading issues
- commit 501199e
-------------------------------------------------------------------
Fri Mar 5 10:55:45 CET 2021 - jslaby@suse.cz
- rpm/check-for-config-changes: declare sed args as an array
So that we can reuse it in both seds.
This also introduces IGNORED_CONFIGS_RE array which can be easily
extended.
- commit a1976d2
-------------------------------------------------------------------
Thu Mar 4 13:01:10 CET 2021 - jslaby@suse.cz
- blacklist.conf: add a /proc revert
- commit 87aa54e
-------------------------------------------------------------------
Thu Mar 4 12:56:54 CET 2021 - jslaby@suse.cz
- Linux 5.11.3 (bsc#1012628).
- vmlinux.lds.h: add DWARF v5 sections (bsc#1012628).
- vdpa/mlx5: fix param validation in mlx5_vdpa_get_config()
(bsc#1012628).
- debugfs: be more robust at handling improper input in
debugfs_lookup() (bsc#1012628).
- debugfs: do not attempt to create a new file before the
filesystem is initalized (bsc#1012628).
- driver core: auxiliary bus: Fix calling stage for auxiliary
bus init (bsc#1012628).
- scsi: libsas: docs: Remove notify_ha_event() (bsc#1012628).
- scsi: qla2xxx: Fix mailbox Ch erroneous error (bsc#1012628).
- kdb: Make memory allocations more robust (bsc#1012628).
- w1: w1_therm: Fix conversion result for negative temperatures
(bsc#1012628).
- PCI: qcom: Use PHY_REFCLK_USE_PAD only for ipq8064
(bsc#1012628).
- PCI: Decline to resize resources if boot config must be
preserved (bsc#1012628).
- virt: vbox: Do not use wait_event_interruptible when called
from kernel context (bsc#1012628).
- bfq: Avoid false bfq queue merging (bsc#1012628).
- ALSA: usb-audio: Fix PCM buffer allocation in non-vmalloc mode
(bsc#1012628).
- zsmalloc: account the number of compacted pages correctly
(bsc#1012628).
- MIPS: vmlinux.lds.S: add missing PAGE_ALIGNED_DATA() section
(bsc#1012628).
- vmlinux.lds.h: Define SANTIZER_DISCARDS with
CONFIG_GCOV_KERNEL=y (bsc#1012628).
- random: fix the RNDRESEEDCRNG ioctl (bsc#1012628).
- ALSA: pcm: Call sync_stop at disconnection (bsc#1012628).
- ALSA: pcm: Assure sync with the pending stop operation at
suspend (bsc#1012628).
- ALSA: pcm: Don't call sync_stop if it hasn't been stopped
(bsc#1012628).
- drm/i915/gt: One more flush for Baytrail clear residuals
(bsc#1012628).
- ath10k: Fix error handling in case of CE pipe init failure
(bsc#1012628).
- Bluetooth: btqcomsmd: Fix a resource leak in error handling
paths in the probe function (bsc#1012628).
- Bluetooth: hci_uart: Fix a race for write_work scheduling
(bsc#1012628).
- Bluetooth: Fix initializing response id after clearing struct
(bsc#1012628).
- arm64: dts: renesas: beacon kit: Fix choppy Bluetooth Audio
(bsc#1012628).
- arm64: dts: renesas: beacon: Fix audio-1.8V pin enable
(bsc#1012628).
- ARM: dts: exynos: correct PMIC interrupt trigger level on
Artik 5 (bsc#1012628).
- ARM: dts: exynos: correct PMIC interrupt trigger level on Monk
(bsc#1012628).
- ARM: dts: exynos: correct PMIC interrupt trigger level on Rinato
(bsc#1012628).
- ARM: dts: exynos: correct PMIC interrupt trigger level on Spring
(bsc#1012628).
- ARM: dts: exynos: correct PMIC interrupt trigger level on
Arndale Octa (bsc#1012628).
- ARM: dts: exynos: correct PMIC interrupt trigger level on
Odroid XU3 family (bsc#1012628).
- arm64: dts: exynos: correct PMIC interrupt trigger level on TM2
(bsc#1012628).
- arm64: dts: exynos: correct PMIC interrupt trigger level on
Espresso (bsc#1012628).
- memory: mtk-smi: Fix PM usage counter unbalance in mtk_smi ops
(bsc#1012628).
- Bluetooth: hci_qca: Fix memleak in qca_controller_memdump
(bsc#1012628).
- staging: vchiq: Fix bulk userdata handling (bsc#1012628).
- staging: vchiq: Fix bulk transfers on 64-bit builds
(bsc#1012628).
- arm64: dts: qcom: msm8916-samsung-a5u: Fix iris compatible
(bsc#1012628).
- net: stmmac: dwmac-meson8b: fix enabling the timing-adjustment
clock (bsc#1012628).
- bpf: Add bpf_patch_call_args prototype to include/linux/bpf.h
(bsc#1012628).
- bpf: Avoid warning when re-casting __bpf_call_base into
__bpf_call_base_args (bsc#1012628).
- firmware: arm_scmi: Fix call site of scmi_notification_exit
(bsc#1012628).
- arm64: dts: allwinner: A64: properly connect USB PHY to port 0
(bsc#1012628).
- arm64: dts: allwinner: H6: properly connect USB PHY to port 0
(bsc#1012628).
- arm64: dts: allwinner: Drop non-removable from SoPine/LTS SD
card (bsc#1012628).
- arm64: dts: allwinner: H6: Allow up to 150 MHz MMC bus frequency
(bsc#1012628).
- arm64: dts: allwinner: A64: Limit MMC2 bus frequency to 150 MHz
(bsc#1012628).
- arm64: dts: qcom: msm8916-samsung-a2015: Fix sensors
(bsc#1012628).
- cpufreq: brcmstb-avs-cpufreq: Free resources in error path
(bsc#1012628).
- cpufreq: brcmstb-avs-cpufreq: Fix resource leaks in ->remove()
(bsc#1012628).
- arm64: dts: rockchip: rk3328: Add clock_in_out property to
gmac2phy node (bsc#1012628).
- ACPICA: Fix exception code class checks (bsc#1012628).
- usb: gadget: u_audio: Free requests only after callback
(bsc#1012628).
- arm64: dts: qcom: sdm845-db845c: Fix reset-pin of ov8856 node
(bsc#1012628).
- soc: qcom: socinfo: Fix an off by one in qcom_show_pmic_model()
(bsc#1012628).
- soc: ti: pm33xx: Fix some resource leak in the error handling
paths of the probe function (bsc#1012628).
- staging: media: atomisp: Fix size_t format specifier in
hmm_alloc() debug statemenet (bsc#1012628).
- Bluetooth: drop HCI device reference before return
(bsc#1012628).
- Bluetooth: Put HCI device if inquiry procedure interrupts
(bsc#1012628).
- memory: ti-aemif: Drop child node when jumping out loop
(bsc#1012628).
- ARM: dts: Configure missing thermal interrupt for 4430
(bsc#1012628).
- usb: dwc2: Do not update data length if it is 0 on inbound
transfers (bsc#1012628).
- usb: dwc2: Abort transaction after errors with unknown reason
(bsc#1012628).
- usb: dwc2: Make "trimming xfer length" a debug message
(bsc#1012628).
- staging: rtl8723bs: wifi_regd.c: Fix incorrect number of
regulatory rules (bsc#1012628).
- x86/MSR: Filter MSR writes through X86_IOC_WRMSR_REGS ioctl too
(bsc#1012628).
- arm64: dts: renesas: beacon: Fix EEPROM compatible value
(bsc#1012628).
- can: mcp251xfd: mcp251xfd_probe(): fix errata reference
(bsc#1012628).
- ARM: dts: armada388-helios4: assign pinctrl to LEDs
(bsc#1012628).
- ARM: dts: armada388-helios4: assign pinctrl to each fan
(bsc#1012628).
- arm64: dts: armada-3720-turris-mox: rename u-boot mtd partition
to a53-firmware (bsc#1012628).
- opp: Correct debug message in _opp_add_static_v2()
(bsc#1012628).
- Bluetooth: btusb: Fix memory leak in btusb_mtk_wmt_recv
(bsc#1012628).
- soc: qcom: ocmem: don't return NULL in of_get_ocmem
(bsc#1012628).
- arm64: dts: msm8916: Fix reserved and rfsa nodes unit address
(bsc#1012628).
- arm64: dts: meson: fix broken wifi node for Khadas VIM3L
(bsc#1012628).
- iwlwifi: mvm: set enabled in the PPAG command properly
(bsc#1012628).
- ARM: s3c: fix fiq for clang IAS (bsc#1012628).
- optee: simplify i2c access (bsc#1012628).
- staging: wfx: fix possible panic with re-queued frames
(bsc#1012628).
- ARM: at91: use proper asm syntax in pm_suspend (bsc#1012628).
- ath10k: Fix suspicious RCU usage warning in
ath10k_wmi_tlv_parse_peer_stats_info() (bsc#1012628).
- ath10k: Fix lockdep assertion warning in ath10k_sta_statistics
(bsc#1012628).
- ath11k: fix a locking bug in ath11k_mac_op_start()
(bsc#1012628).
- soc: aspeed: snoop: Add clock control logic (bsc#1012628).
- iwlwifi: mvm: fix the type we use in the PPAG table validity
checks (bsc#1012628).
- iwlwifi: mvm: store PPAG enabled/disabled flag properly
(bsc#1012628).
- iwlwifi: mvm: send stored PPAG command instead of local
(bsc#1012628).
- iwlwifi: mvm: assign SAR table revision to the command later
(bsc#1012628).
- iwlwifi: mvm: don't check if CSA event is running before
removing (bsc#1012628).
- bpf_lru_list: Read double-checked variable once without lock
(bsc#1012628).
- iwlwifi: pnvm: set the PNVM again if it was already loaded
(bsc#1012628).
- iwlwifi: pnvm: increment the pointer before checking the TLV
(bsc#1012628).
- ath9k: fix data bus crash when setting nf_override via debugfs
(bsc#1012628).
- selftests/bpf: Convert test_xdp_redirect.sh to bash
(bsc#1012628).
- ibmvnic: Set to CLOSED state even on error (bsc#1012628).
- bnxt_en: reverse order of TX disable and carrier off
(bsc#1012628).
- bnxt_en: Fix devlink info's stored fw.psid version format
(bsc#1012628).
- xen/netback: fix spurious event detection for common event case
(bsc#1012628).
- dpaa2-eth: fix memory leak in XDP_REDIRECT (bsc#1012628).
- net: phy: consider that suspend2ram may cut off PHY power
(bsc#1012628).
- net/mlx5e: Enable XDP for Connect-X IPsec capable devices
(bsc#1012628).
- net/mlx5e: Don't change interrupt moderation params when DIM
is enabled (bsc#1012628).
- net/mlx5e: Change interrupt moderation channel params also
when channels are closed (bsc#1012628).
- net/mlx5: Fix health error state handling (bsc#1012628).
- net/mlx5e: Replace synchronize_rcu with synchronize_net
(bsc#1012628).
- net/mlx5e: kTLS, Use refcounts to free kTLS RX priv context
(bsc#1012628).
- net/mlx5: Disable devlink reload for multi port slave device
(bsc#1012628).
- net/mlx5: Disallow RoCE on multi port slave device
(bsc#1012628).
- net/mlx5: Disallow RoCE on lag device (bsc#1012628).
- net/mlx5: Disable devlink reload for lag devices (bsc#1012628).
- net/mlx5e: CT: manage the lifetime of the ct entry object
(bsc#1012628).
- net/mlx5e: Check tunnel offload is required before setting SWP
(bsc#1012628).
- mac80211: fix potential overflow when multiplying to u32
integers (bsc#1012628).
- libbpf: Ignore non function pointer member in struct_ops
(bsc#1012628).
- bpf: Fix an unitialized value in bpf_iter (bsc#1012628).
- bpf, devmap: Use GFP_KERNEL for xdp bulk queue allocation
(bsc#1012628).
- bpf: Fix bpf_fib_lookup helper MTU check for SKB ctx
(bsc#1012628).
- selftests: mptcp: fix ACKRX debug message (bsc#1012628).
- tcp: fix SO_RCVLOWAT related hangs under mem pressure
(bsc#1012628).
- net: axienet: Handle deferred probe on clock properly
(bsc#1012628).
- cxgb4/chtls/cxgbit: Keeping the max ofld immediate data size
same in cxgb4 and ulds (bsc#1012628).
- b43: N-PHY: Fix the update of coef for the PHY revision >=
3case (bsc#1012628).
- bpf: Clear subreg_def for global function return values
(bsc#1012628).
- ibmvnic: add memory barrier to protect long term buffer
(bsc#1012628).
- ibmvnic: skip send_request_unmap for timeout reset
(bsc#1012628).
- ibmvnic: serialize access to work queue on remove (bsc#1012628).
- net: dsa: felix: perform teardown in reverse order of setup
(bsc#1012628).
- net: dsa: felix: don't deinitialize unused ports (bsc#1012628).
- net: phy: mscc: adding LCPLL reset to VSC8514 (bsc#1012628).
- net: amd-xgbe: Reset the PHY rx data path when mailbox command
timeout (bsc#1012628).
- net: amd-xgbe: Fix NETDEV WATCHDOG transmit queue timeout
warning (bsc#1012628).
- net: amd-xgbe: Reset link when the link never comes back
(bsc#1012628).
- net: amd-xgbe: Fix network fluctuations when using 1G BELFUSE
SFP (bsc#1012628).
- net: mvneta: Remove per-cpu queue mapping for Armada 3700
(bsc#1012628).
- net: enetc: fix destroyed phylink dereference during unbind
(bsc#1012628).
- Bluetooth: Remove hci_req_le_suspend_config (bsc#1012628).
- arm64: dts: broadcom: bcm4908: use proper NAND binding
(bsc#1012628).
- Bluetooth: hci_qca: Wait for SSR completion during suspend
(bsc#1012628).
- serial: stm32: fix DMA initialization error handling
(bsc#1012628).
- bpf: Declare __bpf_free_used_maps() unconditionally
(bsc#1012628).
- selftests/bpf: Sync RCU before unloading bpf_testmod
(bsc#1012628).
- arm64: dts: qcom: sm8250: correct sdhc_2 xo clk (bsc#1012628).
- arm64: dts: qcom: qrb5165-rb5: fix uSD pins drive strength
(bsc#1012628).
- tty: convert tty_ldisc_ops 'read()' function to take a kernel
pointer (bsc#1012628).
- tty: implement read_iter (bsc#1012628).
- x86/sgx: Fix the return type of sgx_init() (bsc#1012628).
- selftests/bpf: Don't exit on failed bpf_testmod unload
(bsc#1012628).
- arm64: dts: mt8183: rename rdma fifo size (bsc#1012628).
- arm64: dts: mt8183: refine gamma compatible name (bsc#1012628).
- arm64: dts: mt8183: Add missing power-domain for pwm0 node
(bsc#1012628).
- net: sfp: add workaround for Realtek RTL8672 and RTL9601C chips
(bsc#1012628).
- ARM: tegra: ouya: Fix eMMC on specific bootloaders
(bsc#1012628).
- arm64: dts: mt8183: Fix GCE include path (bsc#1012628).
- Bluetooth: hci_qca: check for SSR triggered flag while suspend
(bsc#1012628).
- Bluetooth: hci_qca: Fixed issue during suspend (bsc#1012628).
- soc: aspeed: socinfo: Add new systems (bsc#1012628).
- net/mlx5e: E-switch, Fix rate calculation for overflow
(bsc#1012628).
- net/mlx5e: Enable striding RQ for Connect-X IPsec capable
devices (bsc#1012628).
- net/mlx5e: Fix CQ params of ICOSQ and async ICOSQ (bsc#1012628).
- ibmvnic: change IBMVNIC_MAX_IND_DESCS to 16 (bsc#1012628).
- net: ipa: initialize all resources (bsc#1012628).
- net: phy: mscc: improved serdes calibration applied to VSC8514
(bsc#1012628).
- net: phy: mscc: coma mode disabled for VSC8514 (bsc#1012628).
- fbdev: aty: SPARC64 requires FB_ATY_CT (bsc#1012628).
- drm/gma500: Fix error return code in psb_driver_load()
(bsc#1012628).
- drm: document that user-space should force-probe connectors
(bsc#1012628).
- gma500: clean up error handling in init (bsc#1012628).
- drm/fb-helper: Add missed unlocks in setcmap_legacy()
(bsc#1012628).
- drm/panel: s6e63m0: Fix init sequence again (bsc#1012628).
- drm/panel: mantix: Tweak init sequence (bsc#1012628).
- drm/vc4: hdmi: Take into account the clock doubling flag in
atomic_check (bsc#1012628).
- drm/panel: s6e63m0: Support max-brightness (bsc#1012628).
- crypto: sun4i-ss - linearize buffers content must be kept
(bsc#1012628).
- crypto: sun4i-ss - fix kmap usage (bsc#1012628).
- crypto: arm64/aes-ce - really hide slower algos when faster
ones are enabled (bsc#1012628).
- hwrng: ingenic - Fix a resource leak in an error handling path
(bsc#1012628).
- media: allegro: Fix use after free on error (bsc#1012628).
- ASoC: fsl_aud2htx: select SND_SOC_IMX_PCM_DMA (bsc#1012628).
- kcsan: Rewrite kcsan_prandom_u32_max() without
prandom_u32_state() (bsc#1012628).
- drm: rcar-du: Fix PM reference leak in rcar_cmm_enable()
(bsc#1012628).
- drm: rcar-du: Fix crash when using LVDS1 clock for CRTC
(bsc#1012628).
- drm: rcar-du: Fix the return check of of_parse_phandle and
of_find_device_by_node (bsc#1012628).
- drm/amdgpu: Fix macro name _AMDGPU_TRACE_H_ in preprocessor
if condition (bsc#1012628).
- MIPS: c-r4k: Fix section mismatch for loongson2_sc_init
(bsc#1012628).
- MIPS: lantiq: Explicitly compare LTQ_EBU_PCC_ISTAT against 0
(bsc#1012628).
- drm/virtio: make sure context is created in gem open
(bsc#1012628).
- drm/fourcc: fix Amlogic format modifier masks (bsc#1012628).
- media: ipu3-cio2: Build only for x86 (bsc#1012628).
- media: i2c: ov5670: Fix PIXEL_RATE minimum value (bsc#1012628).
- media: imx: Unregister csc/scaler only if registered
(bsc#1012628).
- media: imx: Fix csc/scaler unregister (bsc#1012628).
- media: mtk-vcodec: fix error return code in vdec_vp9_decode()
(bsc#1012628).
- media: camss: Fix signedness bug in video_enum_fmt()
(bsc#1012628).
- media: camss: missing error code in msm_video_register()
(bsc#1012628).
- media: vsp1: Fix an error handling path in the probe function
(bsc#1012628).
- media: em28xx: Fix use-after-free in em28xx_alloc_urbs
(bsc#1012628).
- media: media/pci: Fix memleak in empress_init (bsc#1012628).
- media: tm6000: Fix memleak in tm6000_start_stream (bsc#1012628).
- media: aspeed: fix error return code in
aspeed_video_setup_video() (bsc#1012628).
- ASoC: cs42l56: fix up error handling in probe (bsc#1012628).
- ASoC: qcom: qdsp6: Move frontend AIFs to q6asm-dai
(bsc#1012628).
- evm: Fix memleak in init_desc (bsc#1012628).
- crypto: qat - replace CRYPTO_AES with CRYPTO_LIB_AES in Kconfig
(bsc#1012628).
- crypto: bcm - Rename struct device_private to bcm_device_private
(bsc#1012628).
- sched/fair: Avoid stale CPU util_est value for schedutil in
task dequeue (bsc#1012628).
- drm/sun4i: tcon: fix inverted DCLK polarity (bsc#1012628).
- media: imx7: csi: Fix regression for parallel cameras on i.MX6UL
(bsc#1012628).
- media: imx7: csi: Fix pad link validation (bsc#1012628).
- media: ti-vpe: cal: fix write to unallocated memory
(bsc#1012628).
- MIPS: properly stop .eh_frame generation (bsc#1012628).
- MIPS: Compare __SYNC_loongson3_war against 0 (bsc#1012628).
- drm/tegra: Fix reference leak when pm_runtime_get_sync() fails
(bsc#1012628).
- drm/amdgpu: toggle on DF Cstate after finishing xgmi injection
(bsc#1012628).
- bsg: free the request before return error code (bsc#1012628).
- macintosh/adb-iop: Use big-endian autopoll mask (bsc#1012628).
- drm/amd/display: Fix 10/12 bpc setup in DCE output bit depth
reduction (bsc#1012628).
- drm/amd/display: Fix HDMI deep color output for DCE 6-11
(bsc#1012628).
- media: software_node: Fix refcounts in
software_node_get_next_child() (bsc#1012628).
- media: lmedm04: Fix misuse of comma (bsc#1012628).
- media: vidtv: psi: fix missing crc for PMT (bsc#1012628).
- media: atomisp: Fix a buffer overflow in debug code
(bsc#1012628).
- media: qm1d1c0042: fix error return code in qm1d1c0042_init()
(bsc#1012628).
- media: cx25821: Fix a bug when reallocating some dma memory
(bsc#1012628).
- media: mtk-vcodec: fix argument used when DEBUG is defined
(bsc#1012628).
- mtd: phram: use div_u64_rem to stop overwrite len in phram_setup
(bsc#1012628).
- media: pxa_camera: declare variable when DEBUG is defined
(bsc#1012628).
- media: i2c/Kconfig: Select FWNODE for OV772x sensor
(bsc#1012628).
- ASoC: max98373: Fixes a typo in max98373_feedback_get
(bsc#1012628).
- sched/eas: Don't update misfit status if the task is pinned
(bsc#1012628).
- f2fs: fix null page reference in redirty_blocks (bsc#1012628).
- f2fs: compress: fix potential deadlock (bsc#1012628).
- ASoC: qcom: lpass-cpu: Remove bit clock state check
(bsc#1012628).
- ASoC: SOF: Intel: hda: cancel D0i3 work during runtime suspend
(bsc#1012628).
- perf/arm-cmn: Fix PMU instance naming (bsc#1012628).
- perf/arm-cmn: Move IRQs when migrating context (bsc#1012628).
- mtd: parser: imagetag: fix error codes in
bcm963xx_parse_imagetag_partitions() (bsc#1012628).
- crypto: talitos - Work around SEC6 ERRATA (AES-CTR mode data
size error) (bsc#1012628).
- crypto: talitos - Fix ctr(aes) on SEC1 (bsc#1012628).
- irqchip/ls-extirq: add IRQCHIP_SKIP_SET_WAKE to the irqchip
flags (bsc#1012628).
- mm: proc: Invalidate TLB after clearing soft-dirty page state
(bsc#1012628).
- ata: ahci_brcm: Add back regulators management (bsc#1012628).
- ASoC: cpcap: fix microphone timeslot mask (bsc#1012628).
- ASoC: codecs: add missing max_register in regmap config
(bsc#1012628).
- mtd: parsers: afs: Fix freeing the part name memory in failure
(bsc#1012628).
- mtd: rawnand: intel: Fix an error handling path in
'ebu_dma_start()' (bsc#1012628).
- f2fs: fix to avoid inconsistent quota data (bsc#1012628).
- drm/amdgpu: Prevent shift wrapping in amdgpu_read_mask()
(bsc#1012628).
- f2fs: fix a wrong condition in __submit_bio (bsc#1012628).
- ASoC: qcom: Fix typo error in HDMI regmap config callbacks
(bsc#1012628).
- KVM: nSVM: Don't strip host's C-bit from guest's CR3 when
reading PDPTRs (bsc#1012628).
- drm/mediatek: Check if fb is null (bsc#1012628).
- Drivers: hv: vmbus: Avoid use-after-free in
vmbus_onoffer_rescind() (bsc#1012628).
- ASoC: Intel: sof_sdw: add missing TGL_HDMI quirk for Dell SKU
0A5E (bsc#1012628).
- ASoC: Intel: sof_sdw: add missing TGL_HDMI quirk for Dell SKU
0A32 (bsc#1012628).
- ASoC: Intel: sof_sdw: add missing TGL_HDMI quirk for Dell SKU
0A3E (bsc#1012628).
- locking/lockdep: Avoid unmatched unlock (bsc#1012628).
- ASoC: qcom: lpass: Fix i2s ctl register bit map (bsc#1012628).
- ASoC: rt5682: Fix panic in rt5682_jack_detect_handler happening
during system shutdown (bsc#1012628).
- ASoC: SOF: debug: Fix a potential issue on string buffer
termination (bsc#1012628).
- btrfs: clarify error returns values in __load_free_space_cache
(bsc#1012628).
- btrfs: fix double accounting of ordered extent for subpage
case in btrfs_invalidapge (bsc#1012628).
- MIPS: relocatable: Provide kaslr_offset() to get the kernel
offset (bsc#1012628).
- KVM: x86: Restore all 64 bits of DR6 and DR7 during RSM on
x86-64 (bsc#1012628).
- s390/zcrypt: return EIO when msg retry limit reached
(bsc#1012628).
- drm/vc4: hdmi: Move hdmi reset to bind (bsc#1012628).
- drm/vc4: hdmi: Fix register offset with longer CEC messages
(bsc#1012628).
- drm/vc4: hdmi: Fix up CEC registers (bsc#1012628).
- drm/vc4: hdmi: Restore cec physical address on reconnect
(bsc#1012628).
- drm/vc4: hdmi: Compute the CEC clock divider from the clock rate
(bsc#1012628).
- drm/vc4: hdmi: Update the CEC clock divider on HSM rate change
(bsc#1012628).
- drm/lima: fix reference leak in lima_pm_busy (bsc#1012628).
- drm/virtio: fix an error code in virtio_gpu_init()
(bsc#1012628).
- drm/dp_mst: Don't cache EDIDs for physical ports (bsc#1012628).
- hwrng: timeriomem - Fix cooldown period calculation
(bsc#1012628).
- crypto: ecdh_helper - Ensure 'len >= secret.len' in decode_key()
(bsc#1012628).
- io_uring: fix possible deadlock in io_uring_poll (bsc#1012628).
- nvmet-tcp: fix receive data digest calculation for multiple
h2cdata PDUs (bsc#1012628).
- nvmet-tcp: fix potential race of tcp socket closing accept_work
(bsc#1012628).
- nvme-multipath: set nr_zones for zoned namespaces (bsc#1012628).
- nvmet: remove extra variable in identify ns (bsc#1012628).
- nvmet: set status to 0 in case for invalid nsid (bsc#1012628).
- ASoC: SOF: sof-pci-dev: add missing Up-Extreme quirk
(bsc#1012628).
- ima: Free IMA measurement buffer on error (bsc#1012628).
- ima: Free IMA measurement buffer after kexec syscall
(bsc#1012628).
- ASoC: simple-card-utils: Fix device module clock (bsc#1012628).
- fs/jfs: fix potential integer overflow on shift of a int
(bsc#1012628).
- jffs2: fix use after free in jffs2_sum_write_data()
(bsc#1012628).
- ubifs: Fix memleak in ubifs_init_authentication (bsc#1012628).
- ubifs: replay: Fix high stack usage, again (bsc#1012628).
- ubifs: Fix error return code in alloc_wbufs() (bsc#1012628).
- irqchip/imx: IMX_INTMUX should not default to y, unconditionally
(bsc#1012628).
- smp: Process pending softirqs in
flush_smp_call_function_from_idle() (bsc#1012628).
- drm/amdgpu/display: remove hdcp_srm sysfs on device removal
(bsc#1012628).
- Input: da7280 - fix missing error test (bsc#1012628).
- Input: da7280 - protect OF match table with CONFIG_OF
(bsc#1012628).
- Input: imx_keypad - add dependency on HAS_IOMEM (bsc#1012628).
- capabilities: Don't allow writing ambiguous v3 file capabilities
(bsc#1012628).
- HSI: Fix PM usage counter unbalance in ssi_hw_init
(bsc#1012628).
- power: supply: cpcap: Add missing IRQF_ONESHOT to fix regression
(bsc#1012628).
- clk: meson: clk-pll: fix initializing the old rate (fallback)
for a PLL (bsc#1012628).
- clk: meson: clk-pll: make "ret" a signed integer (bsc#1012628).
- clk: meson: clk-pll: propagate the error from
meson_clk_pll_set_rate() (bsc#1012628).
- selftests/powerpc: Make the test check in eeh-basic.sh posix
compliant (bsc#1012628).
- regulator: qcom-rpmh-regulator: add pm8009-1 chip revision
(bsc#1012628).
- arm64: dts: qcom: qrb5165-rb5: fix pm8009 regulators
(bsc#1012628).
- quota: Fix memory leak when handling corrupted quota file
(bsc#1012628).
- i2c: iproc: handle only slave interrupts which are enabled
(bsc#1012628).
- i2c: iproc: update slave isr mask (ISR_MASK_SLAVE)
(bsc#1012628).
- i2c: iproc: handle master read request (bsc#1012628).
- spi: cadence-quadspi: Abort read if dummy cycles required are
too many (bsc#1012628).
- clk: sunxi-ng: h6: Fix CEC clock (bsc#1012628).
- clk: renesas: r8a779a0: Remove non-existent S2 clock
(bsc#1012628).
- clk: renesas: r8a779a0: Fix parent of CBFUSA clock
(bsc#1012628).
- HID: core: detect and skip invalid inputs to snto32()
(bsc#1012628).
- RDMA/siw: Fix handling of zero-sized Read and Receive Queues
(bsc#1012628).
- dmaengine: fsldma: Fix a resource leak in the remove function
(bsc#1012628).
- dmaengine: fsldma: Fix a resource leak in an error handling
path of the probe function (bsc#1012628).
- dmaengine: owl-dma: Fix a resource leak in the remove function
(bsc#1012628).
- rtc: rx6110: fix build against modular I2C (bsc#1012628).
- dmaengine: qcom: Always inline gpi_update_reg (bsc#1012628).
- dmaengine: ti: k3-udma: Set rflow count for BCDMA split channels
(bsc#1012628).
- dmaengine: hsu: disable spurious interrupt (bsc#1012628).
- mfd: bd9571mwv: Use devm_mfd_add_devices() (bsc#1012628).
- power: supply: cpcap-charger: Fix missing power_supply_put()
(bsc#1012628).
- power: supply: cpcap-battery: Fix missing power_supply_put()
(bsc#1012628).
- scsi: ufs: Fix a possible NULL pointer issue (bsc#1012628).
- power: supply: cpcap-charger: Fix power_supply_put on null
battery pointer (bsc#1012628).
- fdt: Properly handle "no-map" field in the memory region
(bsc#1012628).
- of/fdt: Make sure no-map does not remove already reserved
regions (bsc#1012628).
- RDMA/rtrs: Extend ibtrs_cq_qp_create (bsc#1012628).
- RDMA/rtrs-srv: Release lock before call into close_sess
(bsc#1012628).
- RDMA/rtrs-srv: Use sysfs_remove_file_self for disconnect
(bsc#1012628).
- RDMA/rtrs-clt: Set mininum limit when create QP (bsc#1012628).
- RDMA/rtrs-srv: Jump to dereg_mr label if allocate iu fails
(bsc#1012628).
- RDMA/rtrs: Call kobject_put in the failure path (bsc#1012628).
- RDMA/rtrs-srv: Fix missing wr_cqe (bsc#1012628).
- RDMA/rtrs-clt: Refactor the failure cases in alloc_clt
(bsc#1012628).
- RDMA/rtrs-srv: Init wr_cnt as 1 (bsc#1012628).
- RDMA/rtrs: Fix KASAN: stack-out-of-bounds bug (bsc#1012628).
- power: reset: at91-sama5d2_shdwc: fix wkupdbc mask
(bsc#1012628).
- rtc: s5m: select REGMAP_I2C (bsc#1012628).
- dmaengine: idxd: set DMA channel to be private (bsc#1012628).
- power: supply: fix sbs-charger build, needs REGMAP_I2C
(bsc#1012628).
- clocksource/drivers/ixp4xx: Select TIMER_OF when needed
(bsc#1012628).
- clocksource/drivers/mxs_timer: Add missing semicolon when
DEBUG is defined (bsc#1012628).
- module: harden ELF info handling (bsc#1012628).
- spi: imx: Don't print error on -EPROBEDEFER (bsc#1012628).
- RDMA/mlx5: Use the correct obj_id upon DEVX TIR creation
(bsc#1012628).
- IB/mlx5: Add mutex destroy call to cap_mask_mutex mutex
(bsc#1012628).
- clk: sunxi-ng: h6: Fix clock divider range on some clocks
(bsc#1012628).
- platform/chrome: cros_ec_proto: Use EC_HOST_EVENT_MASK not BIT
(bsc#1012628).
- platform/chrome: cros_ec_proto: Add LID and BATTERY to default
mask (bsc#1012628).
- regulator: axp20x: Fix reference cout leak (bsc#1012628).
- watch_queue: Drop references to /dev/watch_queue (bsc#1012628).
- certs: Fix blacklist flag type confusion (bsc#1012628).
- regulator: s5m8767: Fix reference count leak (bsc#1012628).
- spi: atmel: Put allocated master before return (bsc#1012628).
- regulator: s5m8767: Drop regulators OF node reference
(bsc#1012628).
- scsi: libsas: Remove notifier indirection (bsc#1012628).
- scsi: libsas: Introduce a _gfp() variant of event notifiers
(bsc#1012628).
- scsi: mvsas: Pass gfp_t flags to libsas event notifiers
(bsc#1012628).
- scsi: isci: Pass gfp_t flags in isci_port_link_down()
(bsc#1012628).
- scsi: isci: Pass gfp_t flags in isci_port_link_up()
(bsc#1012628).
- scsi: isci: Pass gfp_t flags in isci_port_bc_change_received()
(bsc#1012628).
- power: supply: axp20x_usb_power: Init work before enabling IRQs
(bsc#1012628).
- power: supply: smb347-charger: Fix interrupt usage if interrupt
is unavailable (bsc#1012628).
- regulator: core: Avoid debugfs: Directory ... already
present! error (bsc#1012628).
- isofs: release buffer head before return (bsc#1012628).
- watchdog: intel-mid_wdt: Postpone IRQ handler registration
till SCU is ready (bsc#1012628).
- auxdisplay: ht16k33: Fix refresh rate handling (bsc#1012628).
- auxdisplay: Fix duplicate CHARLCD config symbol (bsc#1012628).
- objtool: Fix error handling for STD/CLD warnings (bsc#1012628).
- objtool: Fix retpoline detection in asm code (bsc#1012628).
- objtool: Fix ".cold" section suffix check for newer versions
of GCC (bsc#1012628).
- scsi: lpfc: Fix ancient double free (bsc#1012628).
- iommu: Switch gather->end to the inclusive end (bsc#1012628).
- tools/testing/scatterlist: Fix overflow of max segment size
(bsc#1012628).
- RDMA/mlx5: Allow creating all QPs even when non RDMA profile
is used (bsc#1012628).
- IB/umad: Return EIO in case of when device disassociated
(bsc#1012628).
- IB/umad: Return EPOLLERR in case of when device disassociated
(bsc#1012628).
- KVM: PPC: Make the VMX instruction emulation routines static
(bsc#1012628).
- powerpc/kvm: Force selection of CONFIG_PPC_FPU (bsc#1012628).
- powerpc/47x: Disable 256k page size (bsc#1012628).
- powerpc/sstep: Check instruction validity against ISA version
before emulation (bsc#1012628).
- powerpc/sstep: Fix incorrect return from analyze_instr()
(bsc#1012628).
- powerpc/time: Enable sched clock for irqtime (bsc#1012628).
- powerpc: Fix build error in paravirt.h (bsc#1012628).
- mmc: owl-mmc: Fix a resource leak in an error handling path
and in the remove function (bsc#1012628).
- mmc: sdhci-sprd: Fix some resource leaks in the remove function
(bsc#1012628).
- mmc: usdhi6rol0: Fix a resource leak in the error handling
path of the probe (bsc#1012628).
- mmc: renesas_sdhi_internal_dmac: Fix DMA buffer alignment from
8 to 128-bytes (bsc#1012628).
- ARM: 9046/1: decompressor: Do not clear SCTLR.nTLSMD for ARMv7+
cores (bsc#1012628).
- i2c: qcom-geni: Store DMA mapping data in geni_i2c_dev struct
(bsc#1012628).
- i3c/master/mipi-i3c-hci: Specify HAS_IOMEM dependency
(bsc#1012628).
- amba: Fix resource leak for drivers without .remove
(bsc#1012628).
- iommu: Move iotlb_sync_map out from __iommu_map (bsc#1012628).
- iommu: Properly pass gfp_t in _iommu_map() to avoid atomic
sleeping (bsc#1012628).
- IB/mlx5: Return appropriate error code instead of ENOMEM
(bsc#1012628).
- IB/cm: Avoid a loop when device has 255 ports (bsc#1012628).
- tracepoint: Do not fail unregistering a probe due to memory
failure (bsc#1012628).
- rtc: zynqmp: depend on HAS_IOMEM (bsc#1012628).
- platform/x86: intel_pmt: Make INTEL_PMT_CLASS
non-user-selectable (bsc#1012628).
- platform/x86: intel_pmt_telemetry: Add dependency on
MFD_INTEL_PMT (bsc#1012628).
- platform/x86: intel_pmt_crashlog: Add dependency on
MFD_INTEL_PMT (bsc#1012628).
- perf tools: Fix DSO filtering when not finding a map for a
sampled address (bsc#1012628).
- perf vendor events arm64: Fix Ampere eMag event typo
(bsc#1012628).
- RDMA/rxe: Fix coding error in rxe_recv.c (bsc#1012628).
- RDMA/rxe: Fix coding error in rxe_rcv_mcast_pkt (bsc#1012628).
- RDMA/rxe: Correct skb on loopback path (bsc#1012628).
- spi: stm32: properly handle 0 byte transfer (bsc#1012628).
- mfd: altera-sysmgr: Fix physical address storing more
(bsc#1012628).
- mfd: wm831x-auxadc: Prevent use after free in
wm831x_auxadc_read_irq() (bsc#1012628).
- powerpc/pseries/dlpar: handle ibm, configure-connector delay
status (bsc#1012628).
- powerpc/8xx: Fix software emulation interrupt (bsc#1012628).
- powerpc/sstep: Fix load-store and update emulation
(bsc#1012628).
- powerpc/sstep: Fix darn emulation (bsc#1012628).
- clk: qcom: gfm-mux: fix clk mask (bsc#1012628).
- clk: qcom: gcc-sc7180: Mark the MM XO clocks to be always ON
(bsc#1012628).
- clk: qcom: gcc-msm8998: Fix Alpha PLL type for all GPLLs
(bsc#1012628).
- kunit: tool: fix unit test cleanup handling (bsc#1012628).
- kselftests: dmabuf-heaps: Fix Makefile's inclusion of the
kernel's usr/include dir (bsc#1012628).
- RDMA/hns: Allocate one more recv SGE for HIP08 (bsc#1012628).
- RDMA/hns: Bugfix for checking whether the srq is full when
post wr (bsc#1012628).
- RDMA/hns: Force srq_limit to 0 when creating SRQ (bsc#1012628).
- RDMA/hns: Fixed wrong judgments in the goto branch
(bsc#1012628).
- RDMA/hns: Remove the reserved WQE of SRQ (bsc#1012628).
- RDMA/siw: Fix calculation of tx_valid_cpus size (bsc#1012628).
- RDMA/hns: Avoid filling sgid index when modifying QP to RTR
(bsc#1012628).
- RDMA/hns: Fix type of sq_signal_bits (bsc#1012628).
- RDMA/hns: Add mapped page count checking for MTR (bsc#1012628).
- RDMA/hns: Disable RQ inline by default (bsc#1012628).
- clk: divider: fix initialization with parent_hw (bsc#1012628).
- spi: pxa2xx: Fix the controller numbering for Wildcat Point
(bsc#1012628).
- powerpc/uaccess: Avoid might_fault() when user access is enabled
(bsc#1012628).
- powerpc/kuap: Restore AMR after replaying soft interrupts
(bsc#1012628).
- regulator: qcom-rpmh: fix pm8009 ldo7 (bsc#1012628).
- clk: aspeed: Fix APLL calculate formula from ast2600-A2
(bsc#1012628).
- selftests/ftrace: Update synthetic event syntax errors
(bsc#1012628).
- perf symbols: Use (long) for iterator for bfd symbols
(bsc#1012628).
- regulator: bd718x7, bd71828, Fix dvs voltage levels
(bsc#1012628).
- spi: dw: Avoid stack content exposure (bsc#1012628).
- spi: Skip zero-length transfers in spi_transfer_one_message()
(bsc#1012628).
- printk: avoid prb_first_valid_seq() where possible
(bsc#1012628).
- perf symbols: Fix return value when loading PE DSO
(bsc#1012628).
- nfsd: register pernet ops last, unregister first (bsc#1012628).
- svcrdma: Hold private mutex while invoking rdma_accept()
(bsc#1012628).
- ceph: fix flush_snap logic after putting caps (bsc#1012628).
- RDMA/hns: Fixes missing error code of CMDQ (bsc#1012628).
- RDMA/ucma: Fix use-after-free bug in ucma_create_uevent
(bsc#1012628).
- RDMA/rtrs-srv: Fix stack-out-of-bounds (bsc#1012628).
- RDMA/rtrs: Only allow addition of path to an already established
session (bsc#1012628).
- RDMA/rtrs-srv: fix memory leak by missing kobject free
(bsc#1012628).
- RDMA/rtrs-srv-sysfs: fix missing put_device (bsc#1012628).
- RDMA/rtrs-srv: Do not pass a valid pointer to PTR_ERR()
(bsc#1012628).
- Input: sur40 - fix an error code in sur40_probe() (bsc#1012628).
- perf record: Fix continue profiling after draining the buffer
(bsc#1012628).
- perf unwind: Set userdata for all __report_module() paths
(bsc#1012628).
- perf intel-pt: Fix missing CYC processing in PSB (bsc#1012628).
- perf intel-pt: Fix premature IPC (bsc#1012628).
- perf intel-pt: Fix IPC with CYC threshold (bsc#1012628).
- perf test: Fix unaligned access in sample parsing test
(bsc#1012628).
- Input: elo - fix an error code in elo_connect() (bsc#1012628).
- sparc64: only select COMPAT_BINFMT_ELF if BINFMT_ELF is set
(bsc#1012628).
- sparc: fix led.c driver when PROC_FS is not enabled
(bsc#1012628).
- Input: zinitix - fix return type of zinitix_init_touch()
(bsc#1012628).
- Input: st1232 - add IDLE state as ready condition (bsc#1012628).
- ARM: 9065/1: OABI compat: fix build when EPOLL is not enabled
(bsc#1012628).
- Input: st1232 - fix NORMAL vs. IDLE state handling
(bsc#1012628).
- misc: eeprom_93xx46: Fix module alias to enable module autoprobe
(bsc#1012628).
- phy: rockchip-emmc: emmc_phy_init() always return 0
(bsc#1012628).
- phy: cadence-torrent: Fix error code in cdns_torrent_phy_probe()
(bsc#1012628).
- misc: eeprom_93xx46: Add module alias to avoid breaking support
for non device tree users (bsc#1012628).
- PCI: rcar: Always allocate MSI addresses in 32bit space
(bsc#1012628).
- soundwire: cadence: fix ACK/NAK handling (bsc#1012628).
- pwm: rockchip: Enable APB clock during register access while
probing (bsc#1012628).
- pwm: rockchip: rockchip_pwm_probe(): Remove superfluous
clk_unprepare() (bsc#1012628).
- pwm: rockchip: Eliminate potential race condition when probing
(bsc#1012628).
- PCI: xilinx-cpm: Fix reference count leak on error path
(bsc#1012628).
- VMCI: Use set_page_dirty_lock() when unregistering guest memory
(bsc#1012628).
- PCI: Align checking of syscall user config accessors
(bsc#1012628).
- mei: hbm: call mei_set_devstate() on hbm stop response
(bsc#1012628).
- drm/msm: Fix MSM_INFO_GET_IOVA with carveout (bsc#1012628).
- drm/msm: Add proper checks for GPU LLCC support (bsc#1012628).
- drm/msm/dsi: Correct io_start for MSM8994 (20nm PHY)
(bsc#1012628).
- drm/msm/mdp5: Fix wait-for-commit for cmd panels (bsc#1012628).
- drm/msm: Fix race of GPU init vs timestamp power management
(bsc#1012628).
- drm/msm: Fix races managing the OOB state for timestamp vs
timestamps (bsc#1012628).
- drm/msm/kms: Make a lock_class_key for each crtc mutex
(bsc#1012628).
- drm/msm/dp: trigger unplug event in msm_dp_display_disable
(bsc#1012628).
- vfio/iommu_type1: Populate full dirty when detach non-pinned
group (bsc#1012628).
- vfio/iommu_type1: Fix some sanity checks in detach group
(bsc#1012628).
- vfio-pci/zdev: fix possible segmentation fault issue
(bsc#1012628).
- ext4: fix potential htree index checksum corruption
(bsc#1012628).
- phy: USB_LGM_PHY should depend on X86 (bsc#1012628).
- coresight: etm4x: Skip accessing TRCPDCR in save/restore
(bsc#1012628).
- nvmem: core: Fix a resource leak on error in
nvmem_add_cells_from_of() (bsc#1012628).
- nvmem: core: skip child nodes not matching binding
(bsc#1012628).
- drm/msm: Fix legacy relocs path (bsc#1012628).
- soundwire: bus: use sdw_update_no_pm when initializing a device
(bsc#1012628).
- soundwire: bus: use sdw_write_no_pm when setting the bus scale
registers (bsc#1012628).
- soundwire: export sdw_write/read_no_pm functions (bsc#1012628).
- soundwire: bus: fix confusion on device used by pm_runtime
(bsc#1012628).
- drm/msm/dp: Add a missing semi-colon (bsc#1012628).
- misc: fastrpc: fix incorrect usage of dma_map_sgtable
(bsc#1012628).
- remoteproc/mediatek: acknowledge watchdog IRQ after handled
(bsc#1012628).
- mhi: Fix double dma free (bsc#1012628).
- regmap: sdw: use _no_pm functions in regmap_read/write
(bsc#1012628).
- ext: EXT4_KUNIT_TESTS should depend on EXT4_FS instead of
selecting it (bsc#1012628).
- mailbox: sprd: correct definition of SPRD_OUTBOX_FIFO_FULL
(bsc#1012628).
- device-dax: Fix default return code of range_parse()
(bsc#1012628).
- PCI: pci-bridge-emul: Fix array overruns, improve safety
(bsc#1012628).
- PCI: cadence: Fix DMA range mapping early return error
(bsc#1012628).
- i40e: Fix flow for IPv6 next header (extension header)
(bsc#1012628).
- i40e: Add zero-initialization of AQ command structures
(bsc#1012628).
- i40e: Fix overwriting flow control settings during driver
loading (bsc#1012628).
- i40e: Fix addition of RX filters after enabling FW LLDP agent
(bsc#1012628).
- i40e: Fix VFs not created (bsc#1012628).
- Take mmap lock in cacheflush syscall (bsc#1012628).
- nios2: fixed broken sys_clone syscall (bsc#1012628).
- i40e: Fix add TC filter for IPv6 (bsc#1012628).
- i40e: Fix endianness conversions (bsc#1012628).
- octeontx2-af: Fix an off by one in rvu_dbg_qsize_write()
(bsc#1012628).
- pwm: iqs620a: Fix overflow and optimize calculations
(bsc#1012628).
- ice: report correct max number of TCs (bsc#1012628).
- ice: Account for port VLAN in VF max packet size calculation
(bsc#1012628).
- ice: Fix state bits on LLDP mode switch (bsc#1012628).
- ice: update the number of available RSS queues (bsc#1012628).
- dpaa_eth: fix the access method for the dpaa_napi_portal
(bsc#1012628).
- net: stmmac: fix CBS idleslope and sendslope calculation
(bsc#1012628).
- net/mlx4_core: Add missed mlx4_free_cmd_mailbox() (bsc#1012628).
- PCI: rockchip: Make 'ep-gpios' DT property optional
(bsc#1012628).
- vxlan: move debug check after netdev unregister (bsc#1012628).
- wireguard: device: do not generate ICMP for non-IP packets
(bsc#1012628).
- wireguard: kconfig: use arm chacha even with no neon
(bsc#1012628).
- ocfs2: fix a use after free on error (bsc#1012628).
- mm: memcontrol: fix NR_ANON_THPS accounting in charge moving
(bsc#1012628).
- mm: memcontrol: fix slub memory accounting (bsc#1012628).
- mm/memory.c: fix potential pte_unmap_unlock pte error
(bsc#1012628).
- mm/hugetlb: fix potential double free in hugetlb_register_node()
error path (bsc#1012628).
- mm/hugetlb: suppress wrong warning info when alloc gigantic page
(bsc#1012628).
- mm/compaction: fix misbehaviors of fast_find_migrateblock()
(bsc#1012628).
- net: phy: micrel: set soft_reset callback to genphy_soft_reset
for KSZ8081 (bsc#1012628).
- r8169: fix jumbo packet handling on RTL8168e (bsc#1012628).
- NFSv4: Fixes for nfs4_bitmask_adjust() (bsc#1012628).
- KVM: SVM: Intercept INVPCID when it's disabled to inject #UD
(bsc#1012628).
- KVM: x86/mmu: Expand collapsible SPTE zap for TDP MMU to
ZONE_DEVICE and HugeTLB pages (bsc#1012628).
- cifs: Fix inconsistent IS_ERR and PTR_ERR (bsc#1012628).
- arm64: Add missing ISB after invalidating TLB in
__primary_switch (bsc#1012628).
- i2c: brcmstb: Fix brcmstd_send_i2c_cmd condition (bsc#1012628).
- i2c: exynos5: Preserve high speed master code (bsc#1012628).
- mm,thp,shmem: make khugepaged obey tmpfs mount flags
(bsc#1012628).
- mm: fix memory_failure() handling of dax-namespace metadata
(bsc#1012628).
- mm/rmap: fix potential pte_unmap on an not mapped pte
(bsc#1012628).
- proc: use kvzalloc for our kernel buffer (bsc#1012628).
- csky: Fix a size determination in gpr_get() (bsc#1012628).
- scsi: bnx2fc: Fix Kconfig warning & CNIC build errors
(bsc#1012628).
- scsi: sd: sd_zbc: Don't pass GFP_NOIO to kvcalloc (bsc#1012628).
- block: reopen the device in blkdev_reread_part (bsc#1012628).
- block: fix logging on capacity change (bsc#1012628).
- ide/falconide: Fix module unload (bsc#1012628).
- scsi: sd: Fix Opal support (bsc#1012628).
- blk-settings: align max_sectors on "logical_block_size" boundary
(bsc#1012628).
- soundwire: intel: fix possible crash when no device is detected
(bsc#1012628).
- ACPI: property: Fix fwnode string properties matching
(bsc#1012628).
- ACPI: configfs: add missing check after
configfs_register_default_group() (bsc#1012628).
- cpufreq: ACPI: Set cpuinfo.max_freq directly if max boost is
known (bsc#1012628).
- HID: logitech-dj: add support for keyboard events in eQUAD
step 4 Gaming (bsc#1012628).
- HID: wacom: Ignore attempts to overwrite the touch_max value
from HID (bsc#1012628).
- Input: raydium_ts_i2c - do not send zero length (bsc#1012628).
- Input: xpad - add support for PowerA Enhanced Wired Controller
for Xbox Series X|S (bsc#1012628).
- Input: joydev - prevent potential read overflow in ioctl
(bsc#1012628).
- Input: i8042 - add ASUS Zenbook Flip to noselftest list
(bsc#1012628).
- media: mceusb: Fix potential out-of-bounds shift (bsc#1012628).
- USB: serial: option: update interface mapping for ZTE P685M
(bsc#1012628).
- usb: musb: Fix runtime PM race in musb_queue_resume_work
(bsc#1012628).
- usb: dwc3: gadget: Fix setting of DEPCFG.bInterval_m1
(bsc#1012628).
- usb: dwc3: gadget: Fix dep->interval for fullspeed interrupt
(bsc#1012628).
- USB: serial: ftdi_sio: fix FTX sub-integer prescaler
(bsc#1012628).
- USB: serial: pl2303: fix line-speed handling on newer chips
(bsc#1012628).
- USB: serial: mos7840: fix error code in mos7840_write()
(bsc#1012628).
- USB: serial: mos7720: fix error code in mos7720_write()
(bsc#1012628).
- phy: lantiq: rcu-usb2: wait after clock enable (bsc#1012628).
- ALSA: usb-audio: Correct document for
snd_usb_endpoint_free_all() (bsc#1012628).
- ALSA: usb-audio: Handle invalid running state at releasing EP
(bsc#1012628).
- ALSA: usb-audio: More strict state change in EP (bsc#1012628).
- ALSA: usb-audio: Don't avoid stopping the stream at
disconnection (bsc#1012628).
- ALSA: usb-audio: Add implicit fb quirk for BOSS GP-10
(bsc#1012628).
- ALSA: fireface: fix to parse sync status register of latter
protocol (bsc#1012628).
- ALSA: hda: Add another CometLake-H PCI ID (bsc#1012628).
- ALSA: hda/hdmi: Drop bogus check at closing a stream
(bsc#1012628).
- ALSA: hda/realtek: modify EAPD in the ALC886 (bsc#1012628).
- ALSA: hda/realtek: Quirk for HP Spectre x360 14 amp setup
(bsc#1012628).
- MIPS: Ingenic: Disable HPTLB for D0 XBurst CPUs too
(bsc#1012628).
- MIPS: Support binutils configured with
--enable-mips-fix-loongson3-llsc=yes (bsc#1012628).
- MIPS: VDSO: Use CLANG_FLAGS instead of filtering out '--target='
(bsc#1012628).
- Revert "MIPS: Octeon: Remove special handling of
CONFIG_MIPS_ELF_APPENDED_DTB=y" (bsc#1012628).
- MIPS: compressed: fix build with enabled UBSAN (bsc#1012628).
- Revert "bcache: Kill btree_io_wq" (bsc#1012628).
- bcache: Give btree_io_wq correct semantics again (bsc#1012628).
- bcache: Move journal work to new flush wq (bsc#1012628).
- Revert "drm/amd/display: Update NV1x SR latency values"
(bsc#1012628).
- drm/amd/display: Add FPU wrappers to dcn21_validate_bandwidth()
(bsc#1012628).
- drm/amd/display: Remove Assert from dcn10_get_dig_frontend
(bsc#1012628).
- drm/amd/display: Add vupdate_no_lock interrupts for DCN2.1
(bsc#1012628).
- Revert "drm/amd/display: reuse current context instead of
recreating one" (bsc#1012628).
- drm/amdkfd: Fix recursive lock warnings (bsc#1012628).
- drm/amdgpu: fix CGTS_TCC_DISABLE register offset on gfx10.3
(bsc#1012628).
- drm/amdgpu: Set reference clock to 100Mhz on Renoir (v2)
(bsc#1012628).
- drm/amdgpu: fix shutdown and poweroff process failed with s0ix
(bsc#1012628).
- drm/ttm: Fix a memory leak (bsc#1012628).
- drm/nouveau/kms: handle mDP connectors (bsc#1012628).
- drm/modes: Switch to 64bit maths to avoid integer overflow
(bsc#1012628).
- drm/sched: Cancel and flush all outstanding jobs before finish
(bsc#1012628).
- drm/panel: kd35t133: allow using non-continuous dsi clock
(bsc#1012628).
- drm/rockchip: Require the YTR modifier for AFBC (bsc#1012628).
- ASoC: siu: Fix build error by a wrong const prefix
(bsc#1012628).
- selinux: fix inconsistency between inode_getxattr and
inode_listsecurity (bsc#1012628).
- erofs: initialized fields can only be observed after bit is set
(bsc#1012628).
- tpm_tis: Fix check_locality for correct locality acquisition
(bsc#1012628).
- tpm_tis: Clean up locality release (bsc#1012628).
- KEYS: trusted: Fix incorrect handling of tpm_get_random()
(bsc#1012628).
- KEYS: trusted: Fix migratable=1 failing (bsc#1012628).
- KEYS: trusted: Reserve TPM for seal and unseal operations
(bsc#1012628).
- btrfs: do not cleanup upper nodes in btrfs_backref_cleanup_node
(bsc#1012628).
- btrfs: do not warn if we can't find the reloc root when looking
up backref (bsc#1012628).
- btrfs: add asserts for deleting backref cache nodes
(bsc#1012628).
- btrfs: abort the transaction if we fail to inc ref in
btrfs_copy_root (bsc#1012628).
- btrfs: fix reloc root leak with 0 ref reloc roots on recovery
(bsc#1012628).
- btrfs: splice remaining dirty_bg's onto the transaction dirty
bg list (bsc#1012628).
- btrfs: handle space_info::total_bytes_pinned inside the delayed
ref itself (bsc#1012628).
- btrfs: account for new extents being deleted in
total_bytes_pinned (bsc#1012628).
- btrfs: fix extent buffer leak on failure to copy root
(bsc#1012628).
- drm/i915/gt: Flush before changing register state (bsc#1012628).
- drm/i915/gt: Correct surface base address for renderclear
(bsc#1012628).
- crypto: arm64/sha - add missing module aliases (bsc#1012628).
- crypto: aesni - prevent misaligned buffers on the stack
(bsc#1012628).
- crypto: michael_mic - fix broken misalignment handling
(bsc#1012628).
- crypto: sun4i-ss - checking sg length is not sufficient
(bsc#1012628).
- crypto: sun4i-ss - IV register does not work on A10 and A13
(bsc#1012628).
- crypto: sun4i-ss - handle BigEndian for cipher (bsc#1012628).
- crypto: sun4i-ss - initialize need_fallback (bsc#1012628).
- soc: samsung: exynos-asv: don't defer early on not-supported
SoCs (bsc#1012628).
- soc: samsung: exynos-asv: handle reading revision register error
(bsc#1012628).
- seccomp: Add missing return in non-void function (bsc#1012628).
- arm64: ptrace: Fix seccomp of traced syscall -1 (NO_SYSCALL)
(bsc#1012628).
- misc: rtsx: init of rts522a add OCP power off when no card is
present (bsc#1012628).
- drivers/misc/vmw_vmci: restrict too big queue size in
qp_host_alloc_queue (bsc#1012628).
- pstore: Fix typo in compression option name (bsc#1012628).
- dts64: mt7622: fix slow sd card access (bsc#1012628).
- arm64: dts: agilex: fix phy interface bit shift for gmac1 and
gmac2 (bsc#1012628).
- staging/mt7621-dma: mtk-hsdma.c->hsdma-mt7621.c (bsc#1012628).
- staging: gdm724x: Fix DMA from stack (bsc#1012628).
- staging: rtl8188eu: Add Edimax EW-7811UN V2 to device table
(bsc#1012628).
- media: i2c: max9286: fix access to unallocated memory
(bsc#1012628).
- media: v4l: ioctl: Fix memory leak in video_usercopy
(bsc#1012628).
- media: ir_toy: add another IR Droid device (bsc#1012628).
- media: ipu3-cio2: Fix mbus_code processing in
cio2_subdev_set_fmt() (bsc#1012628).
- media: marvell-ccic: power up the device on mclk enable
(bsc#1012628).
- media: smipcie: fix interrupt handling and IR timeout
(bsc#1012628).
- x86/virt: Eat faults on VMXOFF in reboot flows (bsc#1012628).
- x86/reboot: Force all cpus to exit VMX root if VMX is supported
(bsc#1012628).
- x86/fault: Fix AMD erratum #91 errata fixup for user code
(bsc#1012628).
- x86/entry: Fix instrumentation annotation (bsc#1012628).
- powerpc/prom: Fix "ibm,arch-vec-5-platform-support" scan
(bsc#1012628).
- rcu: Pull deferred rcuog wake up to rcu_eqs_enter() callers
(bsc#1012628).
- rcu/nocb: Perform deferred wake up before last idle's
need_resched() check (bsc#1012628).
- rcu/nocb: Trigger self-IPI on late deferred wake up before
user resume (bsc#1012628).
- entry: Explicitly flush pending rcuog wakeup before last
rescheduling point (bsc#1012628).
- entry/kvm: Explicitly flush pending rcuog wakeup before last
rescheduling point (bsc#1012628).
- kprobes: Fix to delay the kprobes jump optimization
(bsc#1012628).
- arm64: Extend workaround for erratum 1024718 to all versions
of Cortex-A55 (bsc#1012628).
- iommu/arm-smmu-qcom: Fix mask extraction for bootloader
programmed SMRs (bsc#1012628).
- mailbox: arm_mhuv2: Skip calling kfree() with invalid pointer
(bsc#1012628).
- arm64: kexec_file: fix memory leakage in create_dtb() when
fdt_open_into() fails (bsc#1012628).
- arm64: uprobe: Return EOPNOTSUPP for AARCH32 instruction probing
(bsc#1012628).
- arm64 module: set plt* section addresses to 0x0 (bsc#1012628).
- arm64: spectre: Prevent lockdep splat on v4 mitigation enable
path (bsc#1012628).
- riscv: Disable KSAN_SANITIZE for vDSO (bsc#1012628).
- watchdog: qcom: Remove incorrect usage of QCOM_WDT_ENABLE_IRQ
(bsc#1012628).
- watchdog: mei_wdt: request stop on unregister (bsc#1012628).
- coresight: etm4x: Handle accesses to TRCSTALLCTLR (bsc#1012628).
- mtd: spi-nor: sfdp: Fix last erase region marking (bsc#1012628).
- mtd: spi-nor: sfdp: Fix wrong erase type bitmask for overlaid
region (bsc#1012628).
- mtd: spi-nor: core: Fix erase type discovery for overlaid region
(bsc#1012628).
- mtd: spi-nor: core: Add erase size check for erase command
initialization (bsc#1012628).
- mtd: spi-nor: hisi-sfc: Put child node np on error path
(bsc#1012628).
- fs/affs: release old buffer head on error path (bsc#1012628).
- seq_file: document how per-entry resources are managed
(bsc#1012628).
- x86: fix seq_file iteration for pat/memtype.c (bsc#1012628).
- mm: memcontrol: fix swap undercounting in cgroup2 (bsc#1012628).
- mm: memcontrol: fix get_active_memcg return value (bsc#1012628).
- hugetlb: fix update_and_free_page contig page struct assumption
(bsc#1012628).
- hugetlb: fix copy_huge_page_from_user contig page struct
assumption (bsc#1012628).
- mm/vmscan: restore zone_reclaim_mode ABI (bsc#1012628).
- mm, compaction: make fast_isolate_freepages() stay within zone
(bsc#1012628).
- KVM: nSVM: fix running nested guests when npt=0 (bsc#1012628).
- nvmem: qcom-spmi-sdam: Fix uninitialized pdev pointer
(bsc#1012628).
- module: Ignore _GLOBAL_OFFSET_TABLE_ when warning for undefined
symbols (bsc#1012628).
- mmc: sdhci-esdhc-imx: fix kernel panic when remove module
(bsc#1012628).
- mmc: sdhci-pci-o2micro: Bug fix for SDR104 HW tuning failure
(bsc#1012628).
- powerpc/32: Preserve cr1 in exception prolog stack check to
fix build error (bsc#1012628).
- powerpc/kexec_file: fix FDT size estimation for kdump kernel
(bsc#1012628).
- powerpc/32s: Add missing call to kuep_lock on syscall entry
(bsc#1012628).
- spmi: spmi-pmic-arb: Fix hw_irq overflow (bsc#1012628).
- mei: bus: block send with vtag on non-conformat FW
(bsc#1012628).
- mei: fix transfer over dma with extended header (bsc#1012628).
- mei: me: emmitsburg workstation DID (bsc#1012628).
- mei: me: add adler lake point S DID (bsc#1012628).
- mei: me: add adler lake point LP DID (bsc#1012628).
- gpio: pcf857x: Fix missing first interrupt (bsc#1012628).
- mfd: gateworks-gsc: Fix interrupt type (bsc#1012628).
- printk: fix deadlock when kernel panic (bsc#1012628).
- exfat: fix shift-out-of-bounds in exfat_fill_super()
(bsc#1012628).
- zonefs: Fix file size of zones in full condition (bsc#1012628).
- kcmp: Support selection of SYS_kcmp without CHECKPOINT_RESTORE
(bsc#1012628).
- thermal: cpufreq_cooling: freq_qos_update_request() returns <
0 on error (bsc#1012628).
- cpufreq: qcom-hw: drop devm_xxx() calls from init/exit hooks
(bsc#1012628).
- cpufreq: intel_pstate: Change intel_pstate_get_hwp_max()
argument (bsc#1012628).
- cpufreq: intel_pstate: Get per-CPU max freq via
MSR_HWP_CAPABILITIES if available (bsc#1012628).
- proc: don't allow async path resolution of /proc/thread-self
components (bsc#1012628).
- s390/vtime: fix inline assembly clobber list (bsc#1012628).
- virtio/s390: implement virtio-ccw revision 2 correctly
(bsc#1012628).
- um: mm: check more comprehensively for stub changes
(bsc#1012628).
- um: defer killing userspace on page table update failures
(bsc#1012628).
- irqchip/loongson-pch-msi: Use bitmap_zalloc() to allocate bitmap
(bsc#1012628).
- f2fs: fix out-of-repair __setattr_copy() (bsc#1012628).
- f2fs: enforce the immutable flag on open files (bsc#1012628).
- f2fs: flush data when enabling checkpoint back (bsc#1012628).
- cifs: fix DFS failover (bsc#1012628).
- cifs: check all path components in resolved dfs target
(bsc#1012628).
- cifs: introduce helper for finding referral server to improve
DFS target resolution (bsc#1012628).
- cifs: fix nodfs mount option (bsc#1012628).
- cifs: fix handling of escaped ',' in the password mount argument
(bsc#1012628).
- sparc32: fix a user-triggerable oops in clear_user()
(bsc#1012628).
- perf stat: Use nftw() instead of ftw() (bsc#1012628).
- spi: fsl: invert spisel_boot signal on MPC8309 (bsc#1012628).
- spi: spi-synquacer: fix set_cs handling (bsc#1012628).
- gfs2: fix glock confusion in function signal_our_withdraw
(bsc#1012628).
- gfs2: Don't skip dlm unlock if glock has an lvb (bsc#1012628).
- gfs2: Lock imbalance on error path in gfs2_recover_one
(bsc#1012628).
- gfs2: Recursive gfs2_quota_hold in gfs2_iomap_end (bsc#1012628).
- dm: fix deadlock when swapping to encrypted device
(bsc#1012628).
- dm table: fix iterate_devices based device capability checks
(bsc#1012628).
- dm table: fix DAX iterate_devices based device capability checks
(bsc#1012628).
- dm table: fix zoned iterate_devices based device capability
checks (bsc#1012628).
- dm writecache: fix performance degradation in ssd mode
(bsc#1012628).
- dm writecache: return the exact table values that were set
(bsc#1012628).
- dm writecache: fix writing beyond end of underlying device
when shrinking (bsc#1012628).
- dm era: Recover committed writeset after crash (bsc#1012628).
- dm era: Update in-core bitset after committing the metadata
(bsc#1012628).
- dm era: Verify the data block size hasn't changed (bsc#1012628).
- dm era: Fix bitset memory leaks (bsc#1012628).
- dm era: Use correct value size in equality function of writeset
tree (bsc#1012628).
- dm era: Reinitialize bitset cache before digesting a new
writeset (bsc#1012628).
- dm era: only resize metadata in preresume (bsc#1012628).
- drm/i915: Reject 446-480MHz HDMI clock on GLK (bsc#1012628).
- kgdb: fix to kill breakpoints on initmem after boot
(bsc#1012628).
- ipv6: silence compilation warning for non-IPV6 builds
(bsc#1012628).
- net: icmp: pass zeroed opts from icmp{,v6}_ndo_send before
sending (bsc#1012628).
- wireguard: selftests: test multiple parallel streams
(bsc#1012628).
- wireguard: queueing: get rid of per-peer ring buffers
(bsc#1012628).
- net: sched: fix police ext initialization (bsc#1012628).
- net: qrtr: Fix memory leak in qrtr_tun_open (bsc#1012628).
- net_sched: fix RTNL deadlock again caused by request_module()
(bsc#1012628).
- ARM: dts: aspeed: Add LCLK to lpc-snoop (bsc#1012628).
- Update config files.
- commit 39714eb
-------------------------------------------------------------------
Thu Mar 4 12:54:55 CET 2021 - jslaby@suse.cz
- rpm/check-for-config-changes: ignore more configs
Specifially, these:
* CONFIG_CC_HAS_*
* CONFIG_CC_HAVE_*
* CONFIG_CC_CAN_*
* CONFIG_HAVE_[A-Z]*_COMPILER
* CONFIG_TOOLS_SUPPORT_*
are compiler specific too. This will allow us to use super configs
using kernel's dummy-tools.
- commit d12dcbd
-------------------------------------------------------------------
Wed Mar 3 23:24:06 CET 2021 - mkubecek@suse.cz
- swap: fix swapfile read/write offset.
- commit bdb065a
-------------------------------------------------------------------
Wed Mar 3 16:02:09 CET 2021 - yousaf.kaukab@suse.com
- config: arm64: sync xgmac-mdio config with SLE
- commit 277fee9
-------------------------------------------------------------------
Wed Mar 3 16:00:13 CET 2021 - yousaf.kaukab@suse.com
- config: arm64: sync coresight configs with SLE
- commit b4d272d
-------------------------------------------------------------------
Wed Mar 3 12:31:59 CET 2021 - jslaby@suse.cz
- Update config files.
Refresh with dummy-tools.
- commit 433c0e0
-------------------------------------------------------------------
Wed Mar 3 12:19:43 CET 2021 - jslaby@suse.cz
- kbuild: dummy-tools, fix inverted tests for gcc (bsc#1181862).
- commit ddbefa3
-------------------------------------------------------------------
Tue Mar 2 10:51:59 CET 2021 - dmueller@suse.com
- Remove zte device tree builds
The zte vendor directory has been dropped in 5.12.rc1 via this change:
commit 89d4f98ae90d95716009bb89823118a8cfbb94dd
Author: Arnd Bergmann <arnd@arndb.de>
Date: Mon Jan 18 14:06:09 2021 +0100
- commit 6811d6c
-------------------------------------------------------------------
Mon Mar 1 09:49:57 CET 2021 - mkubecek@suse.cz
- Update to 5.12-rc1
- eliminated 30 patches (26 stable, 4 other)
- patches.kernel.org/*
- patches.suse/drm-bail-out-of-nouveau_channel_new-if-channel-init-.patch
- patches.suse/floppy-reintroduce-O_NDELAY-fix.patch
- patches.suse/media-uvcvideo-Accept-invalid-bFormatIndex-and-bFram.patch
- patches.suse/nvmem-add-driver-to-expose-reserved-memory-as-nvmem.patch
- disable ARM architectures (need config update)
- refresh
- patches.rpmify/Add-ksym-provides-tool.patch
- patches.rpmify/Kconfig-make-CONFIG_CC_CAN_LINK-always-true.patch
- patches.suse/acpi_thermal_passive_blacklist.patch
- patches.suse/btrfs-use-the-new-VFS-super_block_dev.patch
- patches.suse/supported-flag
- patches.suse/supported-flag-modverdir
- patches.suse/supported-flag-wildcards
- patches.suse/vfs-add-super_operations-get_inode_dev
- new config options
- Power management and ACPI options
- CONFIG_ACPI_FPDT=y
- General architecture-dependent options
- CONFIG_LTO_NONE=y
- Enable loadable module support
- CONFIG_TRIM_UNUSED_KSYMS=n
- Networking support
- CONFIG_IP_VS_TWOS=m
- CONFIG_NET_DSA_TAG_XRS700X=m
- CONFIG_NFC_VIRTUAL_NCI=m
- Library routines
- CONFIG_STACK_HASH_ORDER=20
- Kernel hacking
- CONFIG_DEBUG_INFO_DWARF_TOOLCHAIN_DEFAULT=n
- CONFIG_KFENCE=y
- CONFIG_KFENCE_STATIC_KEYS=y
- CONFIG_KFENCE_SAMPLE_INTERVAL=100
- CONFIG_KFENCE_NUM_OBJECTS=255
- CONFIG_KFENCE_STRESS_TEST_FAULTS=0
- CONFIG_DEBUG_IRQFLAGS=n
- PCI support
- CONFIG_PCI_EPF_NTB=m
- CONFIG_CXL_BUS=m
- CONFIG_CXL_MEM=m
- CONFIG_CXL_MEM_RAW_COMMANDS=n
- Network device support
- CONFIG_NET_DSA_XRS700X_I2C=m
- CONFIG_NET_DSA_XRS700X_MDIO=m
- CONFIG_MLX5_SF=y
- CONFIG_XILINX_EMACLITE=n
- CONFIG_MT7921E=m
- Power management
- CONFIG_CHARGER_LTC4162L=m
- CONFIG_CHARGER_BQ256XX=m
- CONFIG_SENSORS_AHT10=m
- CONFIG_SENSORS_TPS23861=m
- CONFIG_REGULATOR_MT6315=m
- Multimedia support
- CONFIG_CIO2_BRIDGE=y
- CONFIG_VIDEO_OV5648=m
- CONFIG_VIDEO_OV8865=m
- CONFIG_VIDEO_RDACM21=m
- Sound card support
- CONFIG_SND_JACK_INJECTION_DEBUG=n
- CONFIG_SND_INTEL_BYT_PREFER_SOF=y
- CONFIG_SND_SOC_RT5659=m
- CONFIG_SND_SOC_LPASS_RX_MACRO=n
- CONFIG_SND_SOC_LPASS_TX_MACRO=n
- HID support
- CONFIG_HID_PLAYSTATION=m
- CONFIG_PLAYSTATION_FF=y
- CONFIG_I2C_HID_ACPI=m
- USB support
- CONFIG_USB_CDNS_SUPPORT=m
- CONFIG_USB_CDNSP_PCI=m
- CONFIG_USB_CDNSP_GADGET=y
- CONFIG_USB_CDNSP_HOST=y
- CONFIG_USB_SERIAL_XR=m
- LED Support
- CONFIG_LEDS_TRIGGER_TTY=m
- CONFIG_LEDS_BLINK=y
- Microsoft Surface Platform-Specific Device Drivers
- CONFIG_SURFACE_HOTPLUG=m
- CONFIG_SURFACE_ACPI_NOTIFY=m
- CONFIG_SURFACE_AGGREGATOR=m
- CONFIG_SURFACE_AGGREGATOR_CDEV=m
- CONFIG_SURFACE_AGGREGATOR_BUS=y
- CONFIG_SURFACE_AGGREGATOR_ERROR_INJECTION=n
- Industrial I/O support
- CONFIG_AD5766=n
- CONFIG_YAMAHA_YAS530=n
- CONFIG_HID_SENSOR_CUSTOM_INTEL_HINGE=n
- Generic powercap sysfs driver
- CONFIG_DTPM=y
- CONFIG_DTPM_CPU=y
- Misc devices
- CONFIG_BCM_VK=m
- CONFIG_BCM_VK_TTY=y
- CONFIG_TCG_TIS_I2C_CR50=m
- CONFIG_SVC_I3C_MASTER=m
- CONFIG_MMC_CRYPTO=y
- CONFIG_INTEL_LDMA=y
- CONFIG_DMABUF_DEBUG=n
- CONFIG_ACRN_HSM=m
- CONFIG_FPGA_DFL_EMIF=m
- CONFIG_NTB_EPF=m
- CONFIG_FPGA_DFL_NIOS_INTEL_PAC_N3000=m
- x86
- CONFIG_X86_PLATFORM_DRIVERS_DELL=y
- OF dependent drivers (i386, ppc64/ppc64le, riscv64)
- PCIE_MICROCHIP_HOST=y
- VIDEO_IMX334=m
- DRM_PANEL_DSI_CM=n
- DRM_PANEL_KHADAS_TS050=n
- I2C_HID_OF=m
- I2C_HID_OF_GOODIX=m
- COMMON_CLK_AXI_CLKGEN=m
- i386
- NET_DSA_MV88E6XXX_PTP=y
- SPI_CADENCE_QUADSPI=m
- LEDS_BLINK_LGM=m
- s390x
- TIME_NS=y
- DEBUG_ENTRY=n
- riscv64
- NUMA=y
- NODES_SHIFT=2
- SPARSEMEM_VMEMMAP=y
- DEFERRED_STRUCT_PAGE_INIT=y
- LEDS_BLINK_LGM=m
- KGDB_HONOUR_BLOCKLIST=y
- FAIL_FUNCTION=n
- KPROBES_SANITY_TEST=n
- NUMA_BALANCING=y
- NUMA_BALANCING_DEFAULT_ENABLED=y
- commit 42fc050
-------------------------------------------------------------------
Mon Mar 1 09:45:08 CET 2021 - mkubecek@suse.cz
- rpm/kernel-source.spec.in: temporary workaround for a build failure
Upstream c6x architecture removal left a dangling link behind which
triggers openSUSE post-build check in kernel-source, failing
kernel-source build.
A fix deleting the danglink link has been submitted but it did not make
it into 5.12-rc1. Unfortunately we cannot add it as a patch as patch
utility does not handle symlink removal. Add a temporary band-aid which
deletes all dangling symlinks after unpacking the kernel source tarball.
- commit 53dcfbe
-------------------------------------------------------------------
Sun Feb 28 18:21:59 CET 2021 - schwab@suse.de
- config: riscv64: enable EFI_STUB for vanilla
- commit bcd346c
-------------------------------------------------------------------
Fri Feb 26 11:10:58 CET 2021 - jslaby@suse.cz
- Linux 5.11.2 (bsc#1012628).
- KVM: Use kvm_pfn_t for local PFN variable in
hva_to_pfn_remapped() (bsc#1012628).
- mm: provide a saner PTE walking API for modules (bsc#1012628).
- KVM: do not assume PTE is writable after follow_pfn
(bsc#1012628).
- KVM: x86: Zap the oldest MMU pages, not the newest
(bsc#1012628).
- hwmon: (dell-smm) Add XPS 15 L502X to fan control blacklist
(bsc#1012628).
- arm64: tegra: Add power-domain for Tegra210 HDA (bsc#1012628).
- Bluetooth: btusb: Some Qualcomm Bluetooth adapters stop working
(bsc#1012628).
- ntfs: check for valid standard information attribute
(bsc#1012628).
- usb: quirks: add quirk to start video capture on ELMO L-12F
document camera reliable (bsc#1012628).
- USB: quirks: sort quirk entries (bsc#1012628).
- HID: make arrays usage and value to be the same (bsc#1012628).
- bpf: Fix truncation handling for mod32 dst reg wrt zero
(bsc#1012628).
- commit 6fd6105
-------------------------------------------------------------------
Fri Feb 26 10:12:28 CET 2021 - mkubecek@suse.cz
- config: refresh
- fix misspelled USB gadget debugging options
- commit 20be8e3
-------------------------------------------------------------------
Wed Feb 24 16:36:03 CET 2021 - oneukum@suse.com
- Update config files. Update config files. Enable USB_GADGET(jsc#SLE-14042)
- supported.conf:
After discussion what the feature request implied, it was
decided that gadget mode is also needed on x86_64
- commit 4adcbc0
-------------------------------------------------------------------
Wed Feb 24 14:09:57 CET 2021 - msuchanek@suse.de
- macros.kernel-source: Use spec_install_pre for certificate installation (boo#1182672).
Since rpm 4.16 files installed during build phase are lost.
- commit d0b887e
-------------------------------------------------------------------
Wed Feb 24 00:11:36 CET 2021 - mkubecek@suse.cz
- update mainline references
- update mainline references:
patches.suse/drm-bail-out-of-nouveau_channel_new-if-channel-init-.patch
patches.suse/floppy-reintroduce-O_NDELAY-fix.patch
patches.suse/media-uvcvideo-Accept-invalid-bFormatIndex-and-bFram.patch
- commit 4eacbc9
-------------------------------------------------------------------
Tue Feb 23 18:12:58 CET 2021 - jslaby@suse.cz
- Linux 5.11.1 (bsc#1012628).
- Xen/x86: don't bail early from clear_foreign_p2m_mapping()
(bsc#1012628).
- Xen/x86: also check kernel mapping in set_foreign_p2m_mapping()
(bsc#1012628).
- Xen/gntdev: correct dev_bus_addr handling in
gntdev_map_grant_pages() (bsc#1012628).
- Xen/gntdev: correct error checking in gntdev_map_grant_pages()
(bsc#1012628).
- xen/arm: don't ignore return errors from set_phys_to_machine
(bsc#1012628).
- xen-blkback: don't "handle" error by BUG() (bsc#1012628).
- xen-netback: don't "handle" error by BUG() (bsc#1012628).
- xen-scsiback: don't "handle" error by BUG() (bsc#1012628).
- xen-blkback: fix error handling in xen_blkbk_map()
(bsc#1012628).
- tty: protect tty_write from odd low-level tty disciplines
(bsc#1012628).
- Bluetooth: btusb: Always fallback to alt 1 for WBS
(bsc#1012628).
- commit 3652ea1
-------------------------------------------------------------------
Mon Feb 22 12:03:41 CET 2021 - mbrugger@suse.com
- arm: Update config files.
Set CONFIG_WATCHDOG_SYSFS to true (bsc#1182560)
- commit 702d1a3
-------------------------------------------------------------------
Mon Feb 22 11:16:23 CET 2021 - msuchanek@suse.de
- rpm/kernel-subpackage-build: Workaround broken bot
(https://github.com/openSUSE/openSUSE-release-tools/issues/2439)
- commit b74d860
-------------------------------------------------------------------
Wed Feb 17 10:52:06 CET 2021 - nsaenzjulienne@suse.de
- Update config files: Set reset-raspberrypi as builtin (bsc#1180336)
This driver is needed in order to boot through USB. Ideally the kernel
module should be selected by dracut, but it's not. So make it builtin
until the relevant dracut fixes are available.
- commit 8186eab
-------------------------------------------------------------------
Tue Feb 16 10:22:15 CET 2021 - mkubecek@suse.cz
- series.conf: cleanup
- move patches on the way to mainline into respective section
patches.suse/drm-bail-out-of-nouveau_channel_new-if-channel-init-.patch
patches.suse/media-uvcvideo-Accept-invalid-bFormatIndex-and-bFram.patch
patches.suse/media-dvb-usb-Fix-memory-leak-at-error-in-dvb_usb_de.patch
patches.suse/media-dvb-usb-Fix-use-after-free-access.patch
patches.suse/media-pwc-Use-correct-device-for-DMA.patch
- commit 8309a4e
-------------------------------------------------------------------
Mon Feb 15 20:23:21 CET 2021 - msuchanek@suse.de
- kernel-binary.spec: Add back initrd and image symlink ghosts to
filelist (bsc#1182140).
Fixes: 76a9256314c3 ("rpm/kernel-{source,binary}.spec: do not include ghost symlinks (boo#1179082).")
- commit 606c9d1
-------------------------------------------------------------------
Mon Feb 15 14:38:16 CET 2021 - tiwai@suse.de
- rpm/post.sh: Avoid purge-kernel for the first installed kernel (bsc#1180058)
- commit c29e77d
-------------------------------------------------------------------
Mon Feb 15 08:07:01 CET 2021 - jslaby@suse.cz
- Refresh
patches.suse/drm-bail-out-of-nouveau_channel_new-if-channel-init-.patch.
- Refresh
patches.suse/media-uvcvideo-Accept-invalid-bFormatIndex-and-bFram.patch.
Update upstream status.
- commit 1916d9d
-------------------------------------------------------------------
Sun Feb 14 23:47:22 CET 2021 - mkubecek@suse.cz
- Update to 5.11 final
- refresh configs
- commit 253d8c6
-------------------------------------------------------------------
Sat Feb 13 17:19:54 CET 2021 - jslaby@suse.cz
- Linux 5.10.16 (bsc#1012628).
- squashfs: add more sanity checks in xattr id lookup
(bsc#1012628).
- squashfs: add more sanity checks in inode lookup (bsc#1012628).
- squashfs: add more sanity checks in id lookup (bsc#1012628).
- squashfs: avoid out of bounds writes in decompressors
(bsc#1012628).
- Revert "mm: memcontrol: avoid workload stalls when lowering
memory.high" (bsc#1012628).
- nilfs2: make splice write available again (bsc#1012628).
- drm/i915: Skip vswing programming for TBT (bsc#1012628).
- drm/i915: Fix ICL MG PHY vswing handling (bsc#1012628).
- bpf: Fix verifier jsgt branch analysis on max bound
(bsc#1012628).
- bpf: Fix 32 bit src register truncation on div/mod
(bsc#1012628).
- bpf: Fix verifier jmp32 pruning decision logic (bsc#1012628).
- regulator: Fix lockdep warning resolving supplies (bsc#1012628).
- blk-cgroup: Use cond_resched() when destroy blkgs (bsc#1012628).
- i2c: mediatek: Move suspend and resume handling to NOIRQ phase
(bsc#1012628).
- SUNRPC: Handle 0 length opaque XDR object data properly
(bsc#1012628).
- SUNRPC: Move simple_get_bytes and simple_get_netobj into
private header (bsc#1012628).
- iwlwifi: queue: bail out on invalid freeing (bsc#1012628).
- iwlwifi: mvm: guard against device removal in reprobe
(bsc#1012628).
- iwlwifi: pcie: add rules to match Qu with Hr2 (bsc#1012628).
- iwlwifi: mvm: invalidate IDs of internal stations at mvm start
(bsc#1012628).
- iwlwifi: pcie: fix context info memory leak (bsc#1012628).
- iwlwifi: pcie: add a NULL check in iwl_pcie_txq_unmap
(bsc#1012628).
- iwlwifi: mvm: take mutex for calling iwl_mvm_get_sync_time()
(bsc#1012628).
- iwlwifi: mvm: skip power command when unbinding vif during CSA
(bsc#1012628).
- ASoC: Intel: sof_sdw: set proper flags for Dell TGL-H SKU 0A5E
(bsc#1012628).
- ASoC: ak4458: correct reset polarity (bsc#1012628).
- ALSA: hda: intel-dsp-config: add PCI id for TGL-H (bsc#1012628).
- pNFS/NFSv4: Improve rejection of out-of-order layouts
(bsc#1012628).
- pNFS/NFSv4: Try to return invalid layout in
pnfs_layout_process() (bsc#1012628).
- chtls: Fix potential resource leak (bsc#1012628).
- ASoC: Intel: Skylake: Zero snd_ctl_elem_value (bsc#1012628).
- mac80211: 160MHz with extended NSS BW in CSA (bsc#1012628).
- drm/nouveau/nvif: fix method count when pushing an array
(bsc#1012628).
- ASoC: wm_adsp: Fix control name parsing for multi-fw
(bsc#1012628).
- regulator: core: avoid regulator_resolve_supply() race condition
(bsc#1012628).
- af_key: relax availability checks for skb size calculation
(bsc#1012628).
- powerpc/64/signal: Fix regression in __kernel_sigtramp_rt64()
semantics (bsc#1012628).
- gpiolib: cdev: clear debounce period if line set to output
(bsc#1012628).
- io_uring: drop mm/files between task_work_submit (bsc#1012628).
- io_uring: reinforce cancel on flush during exit (bsc#1012628).
- io_uring: fix sqo ownership false positive warning
(bsc#1012628).
- io_uring: fix list corruption for splice file_get (bsc#1012628).
- io_uring: fix flush cqring overflow list while
TASK_INTERRUPTIBLE (bsc#1012628).
- io_uring: fix cancellation taking mutex while
TASK_UNINTERRUPTIBLE (bsc#1012628).
- io_uring: replace inflight_wait with tctx->wait (bsc#1012628).
- io_uring: fix __io_uring_files_cancel() with
TASK_UNINTERRUPTIBLE (bsc#1012628).
- io_uring: if we see flush on exit, cancel related tasks
(bsc#1012628).
- io_uring: account io_uring internal files as REQ_F_INFLIGHT
(bsc#1012628).
- io_uring: fix files cancellation (bsc#1012628).
- io_uring: always batch cancel in *cancel_files() (bsc#1012628).
- io_uring: pass files into kill timeouts/poll (bsc#1012628).
- io_uring: don't iterate io_uring_cancel_files() (bsc#1012628).
- io_uring: add a {task,files} pair matching helper (bsc#1012628).
- io_uring: simplify io_task_match() (bsc#1012628).
- commit 11381f3
-------------------------------------------------------------------
Fri Feb 12 15:31:50 CET 2021 - tiwai@suse.de
- Update config files: enable CONFIG_SERIAL_DEV_CTRL_TTYPORT on x86 (bsc#1182035)
For supporting MS Surface devices. This required CONFIG_SERIAL_DEV_BUS
to be built-in. Also this allowed CONFIG_BT_HCIUART_BCM=y as well.
- commit 52688e6
-------------------------------------------------------------------
Fri Feb 12 15:27:56 CET 2021 - tiwai@suse.de
- media: pwc: Use correct device for DMA (bsc#1181133).
- commit 721eebd
-------------------------------------------------------------------
Fri Feb 12 15:26:57 CET 2021 - tiwai@suse.de
- Drop pwc fix patch; it'll be replaced with the upstream fix (bsc#1181133)
- commit 2202405
-------------------------------------------------------------------
Fri Feb 12 09:18:21 CET 2021 - nsaenzjulienne@suse.de
- Update config files: armv7hl: Set ledtrig-default-on as builtin (bsc#1182128)
- commit fa9dd94
-------------------------------------------------------------------
Thu Feb 11 18:31:44 CET 2021 - fdmanana@suse.com
- btrfs: fix crash after non-aligned direct IO write with O_DSYNC
(bsc#1181605).
- commit 9e44573
-------------------------------------------------------------------
Thu Feb 11 15:29:59 CET 2021 - nsaenzjulienne@suse.de
- Update config files: Set ledtrig-default-on as builtin (bsc#1182128)
- commit 7800832
-------------------------------------------------------------------
Thu Feb 11 14:37:16 CET 2021 - oneukum@suse.com
- Update config files. Enable DWC3 on x86_64
DWC3 is now needed on x86_64, too, with the added benefit
of making x86_64 and ARM64 closer (jsc#SLE-14042)
- commit ad4ea5b
-------------------------------------------------------------------
Wed Feb 10 09:41:17 CET 2021 - jslaby@suse.cz
- Linux 5.10.15 (bsc#1012628).
- USB: serial: cp210x: add pid/vid for WSDA-200-USB (bsc#1012628).
- USB: serial: cp210x: add new VID/PID for supporting Teraoka
AD2000 (bsc#1012628).
- USB: serial: option: Adding support for Cinterion MV31
(bsc#1012628).
- usb: host: xhci: mvebu: make USB 3.0 PHY optional for Armada
3720 (bsc#1012628).
- USB: gadget: legacy: fix an error code in eth_bind()
(bsc#1012628).
- usb: gadget: aspeed: add missing of_node_put (bsc#1012628).
- USB: usblp: don't call usb_set_interface if there's a single
alt (bsc#1012628).
- usb: renesas_usbhs: Clear pipe running flag in usbhs_pkt_pop()
(bsc#1012628).
- usb: dwc2: Fix endpoint direction check in ep_from_windex
(bsc#1012628).
- usb: dwc3: fix clock issue during resume in OTG mode
(bsc#1012628).
- usb: xhci-mtk: fix unreleased bandwidth data (bsc#1012628).
- usb: xhci-mtk: skip dropping bandwidth of unchecked endpoints
(bsc#1012628).
- usb: xhci-mtk: break loop when find the endpoint to drop
(bsc#1012628).
- ARM: OMAP1: OSK: fix ohci-omap breakage (bsc#1012628).
- arm64: dts: qcom: c630: keep both touchpad devices enabled
(bsc#1012628).
- Input: i8042 - unbreak Pegatron C15B (bsc#1012628).
- arm64: dts: amlogic: meson-g12: Set FL-adj property value
(bsc#1012628).
- arm64: dts: rockchip: fix vopl iommu irq on px30 (bsc#1012628).
- arm64: dts: rockchip: Use only supported PCIe link speed on
Pinebook Pro (bsc#1012628).
- ARM: dts: stm32: Fix polarity of the DH DRC02 uSD card detect
(bsc#1012628).
- ARM: dts: stm32: Connect card-detect signal on DHCOM
(bsc#1012628).
- ARM: dts: stm32: Disable WP on DHCOM uSD slot (bsc#1012628).
- ARM: dts: stm32: Disable optional TSC2004 on DRC02 board
(bsc#1012628).
- ARM: dts: stm32: Fix GPIO hog flags on DHCOM DRC02
(bsc#1012628).
- vdpa/mlx5: Fix memory key MTT population (bsc#1012628).
- bpf, cgroup: Fix optlen WARN_ON_ONCE toctou (bsc#1012628).
- bpf, cgroup: Fix problematic bounds check (bsc#1012628).
- bpf, inode_storage: Put file handler if no storage was found
(bsc#1012628).
- um: virtio: free vu_dev only with the contained struct device
(bsc#1012628).
- bpf, preload: Fix build when $(O) points to a relative path
(bsc#1012628).
- arm64: dts: meson: switch TFLASH_VDD_EN pin to open drain on
Odroid-C4 (bsc#1012628).
- r8169: work around RTL8125 UDP hw bug (bsc#1012628).
- rxrpc: Fix deadlock around release of dst cached on udp tunnel
(bsc#1012628).
- arm64: dts: ls1046a: fix dcfg address range (bsc#1012628).
- SUNRPC: Fix NFS READs that start at non-page-aligned offsets
(bsc#1012628).
- igc: set the default return value to -IGC_ERR_NVM in
igc_write_nvm_srwr (bsc#1012628).
- igc: check return value of ret_val in
igc_config_fc_after_link_up (bsc#1012628).
- i40e: Revert "i40e: don't report link up for a VF who hasn't
enabled queues" (bsc#1012628).
- ibmvnic: device remove has higher precedence over reset
(bsc#1012628).
- net/mlx5: Fix leak upon failure of rule creation (bsc#1012628).
- net/mlx5e: Update max_opened_tc also when channels are closed
(bsc#1012628).
- net/mlx5e: Release skb in case of failure in tc update skb
(bsc#1012628).
- net: lapb: Copy the skb before sending a packet (bsc#1012628).
- net: mvpp2: TCAM entry enable should be written after SRAM data
(bsc#1012628).
- r8169: fix WoL on shutdown if CONFIG_DEBUG_SHIRQ is set
(bsc#1012628).
- net: ipa: pass correct dma_handle to dma_free_coherent()
(bsc#1012628).
- ARM: dts: sun7i: a20: bananapro: Fix ethernet phy-mode
(bsc#1012628).
- nvmet-tcp: fix out-of-bounds access when receiving multiple
h2cdata PDUs (bsc#1012628).
- vdpa/mlx5: Restore the hardware used index after change map
(bsc#1012628).
- memblock: do not start bottom-up allocations with kernel_end
(bsc#1012628).
- kbuild: fix duplicated flags in DEBUG_CFLAGS (bsc#1012628).
- thunderbolt: Fix possible NULL pointer dereference in
tb_acpi_add_link() (bsc#1012628).
- ovl: fix dentry leak in ovl_get_redirect (bsc#1012628).
- ovl: avoid deadlock on directory ioctl (bsc#1012628).
- ovl: implement volatile-specific fsync error behaviour
(bsc#1012628).
- mac80211: fix station rate table updates on assoc (bsc#1012628).
- gpiolib: free device name on error path to fix kmemleak
(bsc#1012628).
- fgraph: Initialize tracing_graph_pause at task creation
(bsc#1012628).
- tracing/kprobe: Fix to support kretprobe events on unloaded
modules (bsc#1012628).
- kretprobe: Avoid re-registration of the same kretprobe earlier
(bsc#1012628).
- tracing: Use pause-on-trace with the latency tracers
(bsc#1012628).
- tracepoint: Fix race between tracing and removing tracepoint
(bsc#1012628).
- libnvdimm/namespace: Fix visibility of namespace resource
attribute (bsc#1012628).
- libnvdimm/dimm: Avoid race between probe and
available_slots_show() (bsc#1012628).
- genirq: Prevent [devm_]irq_alloc_desc from returning irq 0
(bsc#1012628).
- genirq/msi: Activate Multi-MSI early when
MSI_FLAG_ACTIVATE_EARLY is set (bsc#1012628).
- scripts: use pkg-config to locate libcrypto (bsc#1012628).
- xhci: fix bounce buffer usage for non-sg list case
(bsc#1012628).
- RISC-V: Define MAXPHYSMEM_1GB only for RV32 (bsc#1012628).
- cifs: report error instead of invalid when revalidating a
dentry fails (bsc#1012628).
- iommu: Check dev->iommu in dev_iommu_priv_get() before
dereferencing it (bsc#1012628).
- smb3: Fix out-of-bounds bug in SMB2_negotiate() (bsc#1012628).
- smb3: fix crediting for compounding when only one request in
flight (bsc#1012628).
- mmc: sdhci-pltfm: Fix linking err for sdhci-brcmstb
(bsc#1012628).
- mmc: core: Limit retries when analyse of SDIO tuples fails
(bsc#1012628).
- Fix unsynchronized access to sev members through
svm_register_enc_region (bsc#1012628).
- drm/dp/mst: Export drm_dp_get_vc_payload_bw() (bsc#1012628).
- drm/i915: Fix the MST PBN divider calculation (bsc#1012628).
- drm/i915/gem: Drop lru bumping on display unpinning
(bsc#1012628).
- drm/i915/gt: Close race between enable_breadcrumbs and
cancel_breadcrumbs (bsc#1012628).
- drm/i915/display: Prevent double YUV range correction on HDR
planes (bsc#1012628).
- drm/i915: Extract intel_ddi_power_up_lanes() (bsc#1012628).
- drm/i915: Power up combo PHY lanes for for HDMI as well
(bsc#1012628).
- drm/amd/display: Revert "Fix EDID parsing after resume from
suspend" (bsc#1012628).
- io_uring: don't modify identity's files uncess identity is cowed
(bsc#1012628).
- nvme-pci: avoid the deepest sleep state on Kingston A2000 SSDs
(bsc#1012628).
- KVM: SVM: Treat SVM as unsupported when running as an SEV guest
(bsc#1012628).
- KVM: x86/mmu: Fix TDP MMU zap collapsible SPTEs (bsc#1012628).
- KVM: x86: Allow guests to see MSR_IA32_TSX_CTRL even if tsx=off
(bsc#1012628).
- KVM: x86: fix CPUID entries returned by KVM_GET_CPUID2 ioctl
(bsc#1012628).
- KVM: x86: Update emulator context mode if SYSENTER xfers to
64-bit mode (bsc#1012628).
- KVM: x86: Set so called 'reserved CR3 bits in LM mask' at vCPU
reset (bsc#1012628).
- DTS: ARM: gta04: remove legacy spi-cs-high to make display
work again (bsc#1012628).
- ARM: dts; gta04: SPI panel chip select is active low
(bsc#1012628).
- ARM: footbridge: fix dc21285 PCI configuration accessors
(bsc#1012628).
- ARM: 9043/1: tegra: Fix misplaced tegra_uart_config in
decompressor (bsc#1012628).
- mm: hugetlbfs: fix cannot migrate the fallocated HugeTLB page
(bsc#1012628).
- mm: hugetlb: fix a race between freeing and dissolving the page
(bsc#1012628).
- mm: hugetlb: fix a race between isolating and freeing page
(bsc#1012628).
- mm: hugetlb: remove VM_BUG_ON_PAGE from page_huge_active
(bsc#1012628).
- mm, compaction: move high_pfn to the for loop scope
(bsc#1012628).
- mm/vmalloc: separate put pages and flush VM flags (bsc#1012628).
- mm: thp: fix MADV_REMOVE deadlock on shmem THP (bsc#1012628).
- mm/filemap: add missing mem_cgroup_uncharge() to
__add_to_page_cache_locked() (bsc#1012628).
- x86/build: Disable CET instrumentation in the kernel
(bsc#1012628).
- x86/debug: Fix DR6 handling (bsc#1012628).
- x86/debug: Prevent data breakpoints on __per_cpu_offset
(bsc#1012628).
- x86/debug: Prevent data breakpoints on cpu_dr7 (bsc#1012628).
- x86/apic: Add extra serialization for non-serializing MSRs
(bsc#1012628).
- Input: goodix - add support for Goodix GT9286 chip
(bsc#1012628).
- Input: xpad - sync supported devices with fork on GitHub
(bsc#1012628).
- Input: ili210x - implement pressure reporting for ILI251x
(bsc#1012628).
- md: Set prev_flush_start and flush_bio in an atomic way
(bsc#1012628).
- igc: Report speed and duplex as unknown when device is runtime
suspended (bsc#1012628).
- neighbour: Prevent a dead entry from updating gc_list
(bsc#1012628).
- net: ip_tunnel: fix mtu calculation (bsc#1012628).
- udp: ipv4: manipulate network header of NATed UDP GRO fraglist
(bsc#1012628).
- net: dsa: mv88e6xxx: override existent unicast portvec in
port_fdb_add (bsc#1012628).
- net: sched: replaced invalid qdisc tree flush helper in
qdisc_replace (bsc#1012628).
- commit 24c2efe
-------------------------------------------------------------------
Tue Feb 9 10:14:09 CET 2021 - jslaby@suse.cz
- Update
patches.kernel.org/5.10.13-143-vsock-fix-the-race-conditions-in-multi-transp.patch
(bsc#1012628 bsc#1181806 CVE-2021-26708).
Add CVE number.
- commit bf327d1
-------------------------------------------------------------------
Mon Feb 8 17:26:30 CET 2021 - matwey.kornilov@gmail.com
- config: arm64: Use y for CLK_RK3399
This is to fix booting on RK3399 systems (JeOS-rockpi4)
When compiled as 'm' there are lots of errors related to clk and no host mmc
controler initialized.
- commit 52fdc54
-------------------------------------------------------------------
Sun Feb 7 23:11:01 CET 2021 - mkubecek@suse.cz
- Update to 5.11-rc7
- refresh configs
- commit 68cabb0
-------------------------------------------------------------------
Sun Feb 7 16:07:09 CET 2021 - jslaby@suse.cz
- Linux 5.10.14 (bsc#1012628).
- workqueue: Restrict affinity change to rescuer (bsc#1012628).
- kthread: Extract KTHREAD_IS_PER_CPU (bsc#1012628).
- x86/cpu: Add another Alder Lake CPU to the Intel family
(bsc#1012628).
- objtool: Don't fail the kernel build on fatal errors
(bsc#1012628).
- habanalabs: disable FW events on device removal (bsc#1012628).
- habanalabs: fix backward compatibility of idle check
(bsc#1012628).
- habanalabs: zero pci counters packet before submit to FW
(bsc#1012628).
- drm/amd/display: Fixed corruptions on HPDRX link loss restore
(bsc#1012628).
- drm/amd/display: Use hardware sequencer functions for PG control
(bsc#1012628).
- drm/amd/display: Change function decide_dp_link_settings to
avoid infinite looping (bsc#1012628).
- drm/amd/display: Allow PSTATE chnage when no displays are
enabled (bsc#1012628).
- drm/amd/display: Update dram_clock_change_latency for DCN2.1
(bsc#1012628).
- selftests/powerpc: Only test lwm/stmw on big endian
(bsc#1012628).
- platform/x86: thinkpad_acpi: Add P53/73 firmware to
fan_quirk_table for dual fan control (bsc#1012628).
- nvmet: set right status on error in id-ns handler (bsc#1012628).
- nvme-pci: allow use of cmb on v1.4 controllers (bsc#1012628).
- nvme-tcp: avoid request double completion for concurrent
nvme_tcp_timeout (bsc#1012628).
- nvme-rdma: avoid request double completion for concurrent
nvme_rdma_timeout (bsc#1012628).
- nvme: check the PRINFO bit before deciding the host buffer
length (bsc#1012628).
- udf: fix the problem that the disc content is not displayed
(bsc#1012628).
- i2c: tegra: Create i2c_writesl_vi() to use with VI I2C for
filling TX FIFO (bsc#1012628).
- ALSA: hda: Add Cometlake-R PCI ID (bsc#1012628).
- scsi: ibmvfc: Set default timeout to avoid crash during
migration (bsc#1012628).
- mac80211: fix encryption key selection for 802.3 xmit
(bsc#1012628).
- mac80211: fix fast-rx encryption check (bsc#1012628).
- mac80211: fix incorrect strlen of .write in debugfs
(bsc#1012628).
- objtool: Don't add empty symbols to the rbtree (bsc#1012628).
- ALSA: hda: Add AlderLake-P PCI ID and HDMI codec vid
(bsc#1012628).
- ASoC: SOF: Intel: hda: Resume codec to do jack detection
(bsc#1012628).
- scsi: fnic: Fix memleak in vnic_dev_init_devcmd2 (bsc#1012628).
- scsi: libfc: Avoid invoking response handler twice if ep is
already completed (bsc#1012628).
- scsi: scsi_transport_srp: Don't block target in failfast state
(bsc#1012628).
- x86: __always_inline __{rd,wr}msr() (bsc#1012628).
- locking/lockdep: Avoid noinstr warning for DEBUG_LOCKDEP
(bsc#1012628).
- habanalabs: fix dma_addr passed to dma_mmap_coherent
(bsc#1012628).
- platform/x86: intel-vbtn: Support for tablet mode on Dell
Inspiron 7352 (bsc#1012628).
- platform/x86: touchscreen_dmi: Add swap-x-y quirk for Goodix
touchscreen on Estar Beauty HD tablet (bsc#1012628).
- tools/power/x86/intel-speed-select: Set higher of
cpuinfo_max_freq or base_frequency (bsc#1012628).
- tools/power/x86/intel-speed-select: Set scaling_max_freq to
base_frequency (bsc#1012628).
- phy: cpcap-usb: Fix warning for missing regulator_disable
(bsc#1012628).
- iommu/vt-d: Do not use flush-queue when caching-mode is on
(bsc#1012628).
- ARM: 9025/1: Kconfig: CPU_BIG_ENDIAN depends on !LD_IS_LLD
(bsc#1012628).
- Revert "x86/setup: don't remove E820_TYPE_RAM for pfn 0"
(bsc#1012628).
- arm64: Do not pass tagged addresses to __is_lm_address()
(bsc#1012628).
- arm64: Fix kernel address detection of __is_lm_address()
(bsc#1012628).
- arm64: dts: meson: Describe G12b GPU as coherent (bsc#1012628).
- drm/panfrost: Support cache-coherent integrations (bsc#1012628).
- iommu/io-pgtable-arm: Support coherency for Mali LPAE
(bsc#1012628).
- ibmvnic: Ensure that CRQ entry read are correctly ordered
(bsc#1012628).
- net: switchdev: don't set port_obj_info->handled true when
-EOPNOTSUPP (bsc#1012628).
- net: dsa: bcm_sf2: put device node before return (bsc#1012628).
- mlxsw: spectrum_span: Do not overwrite policer configuration
(bsc#1012628).
- stmmac: intel: Configure EHL PSE0 GbE and PSE1 GbE to 32 bits
DMA addressing (bsc#1012628).
- net: octeontx2: Make sure the buffer is 128 byte aligned
(bsc#1012628).
- net: fec: put child node on error path (bsc#1012628).
- net: stmmac: dwmac-intel-plat: remove config data on error
(bsc#1012628).
- net: dsa: microchip: Adjust reset release timing to match
reference reset circuit (bsc#1012628).
- commit 0a69f62
-------------------------------------------------------------------
Fri Feb 5 08:29:27 CET 2021 - jslaby@suse.cz
- Update
patches.kernel.org/5.10.13-143-vsock-fix-the-race-conditions-in-multi-transp.patch
(bsc#1012628 bsc#1181806).
Add bsc reference.
- commit 64ec974
-------------------------------------------------------------------
Thu Feb 4 11:42:01 CET 2021 - jslaby@suse.cz
- net/mlx5: Fix function calculation for page trees (git-fixes).
- commit e976b88
-------------------------------------------------------------------
Thu Feb 4 07:01:34 CET 2021 - jslaby@suse.cz
- Linux 5.10.13 (bsc#1012628).
- iwlwifi: provide gso_type to GSO packets (bsc#1012628).
- nbd: freeze the queue while we're adding connections
(bsc#1012628).
- tty: avoid using vfs_iocb_iter_write() for redirected console
writes (bsc#1012628).
- ACPI: sysfs: Prefer "compatible" modalias (bsc#1012628).
- ACPI: thermal: Do not call acpi_thermal_check() directly
(bsc#1012628).
- kernel: kexec: remove the lock operation of
system_transition_mutex (bsc#1012628).
- ALSA: hda/realtek: Enable headset of ASUS B1400CEPE with ALC256
(bsc#1012628).
- parisc: Enable -mlong-calls gcc option by default when
!CONFIG_MODULES (bsc#1012628).
- media: cec: add stm32 driver (bsc#1012628).
- media: cedrus: Fix H264 decoding (bsc#1012628).
- media: hantro: Fix reset_raw_fmt initialization (bsc#1012628).
- media: rc: fix timeout handling after switch to microsecond
durations (bsc#1012628).
- media: rc: ite-cir: fix min_timeout calculation (bsc#1012628).
- media: rc: ensure that uevent can be read directly after rc
device register (bsc#1012628).
- ARM: dts: tbs2910: rename MMC node aliases (bsc#1012628).
- ARM: dts: ux500: Reserve memory carveouts (bsc#1012628).
- ARM: dts: imx6qdl-gw52xx: fix duplicate regulator naming
(bsc#1012628).
- wext: fix NULL-ptr-dereference with cfg80211's lack of commit()
(bsc#1012628).
- x86/xen: avoid warning in Xen pv guest with
CONFIG_AMD_MEM_ENCRYPT enabled (bsc#1012628).
- ASoC: AMD Renoir - refine DMI entries for some Lenovo products
(bsc#1012628).
- Revert "drm/amdgpu/swsmu: drop set_fan_speed_percent (v2)"
(bsc#1012628).
- drm/nouveau/kms/gk104-gp1xx: Fix > 64x64 cursors (bsc#1012628).
- drm/i915: Always flush the active worker before returning from
the wait (bsc#1012628).
- drm/i915/gt: Always try to reserve GGTT address 0x0
(bsc#1012628).
- drivers/nouveau/kms/nv50-: Reject format modifiers for cursor
planes (bsc#1012628).
- bcache: only check feature sets when sb->version >=
BCACHE_SB_VERSION_CDEV_WITH_FEATURES (bsc#1012628).
- net: usb: qmi_wwan: added support for Thales Cinterion PLSx3
modem family (bsc#1012628).
- s390: uv: Fix sysfs max number of VCPUs reporting (bsc#1012628).
- s390/vfio-ap: No need to disable IRQ after queue reset
(bsc#1012628).
- PM: hibernate: flush swap writer after marking (bsc#1012628).
- x86/entry: Emit a symbol for register restoring thunk
(bsc#1012628).
- efi/apple-properties: Reinstate support for boolean properties
(bsc#1012628).
- crypto: marvel/cesa - Fix tdma descriptor on 64-bit
(bsc#1012628).
- drivers: soc: atmel: Avoid calling at91_soc_init on non AT91
SoCs (bsc#1012628).
- drivers: soc: atmel: add null entry at the end of
at91_soc_allowed_list[] (bsc#1012628).
- btrfs: fix lockdep warning due to seqcount_mutex on 32bit arch
(bsc#1012628).
- btrfs: fix possible free space tree corruption with online
conversion (bsc#1012628).
- KVM: x86/pmu: Fix HW_REF_CPU_CYCLES event pseudo-encoding in
intel_arch_events[] (bsc#1012628).
- KVM: x86/pmu: Fix UBSAN shift-out-of-bounds warning in
intel_pmu_refresh() (bsc#1012628).
- KVM: arm64: Filter out v8.1+ events on v8.0 HW (bsc#1012628).
- KVM: nSVM: cancel KVM_REQ_GET_NESTED_STATE_PAGES on nested
vmexit (bsc#1012628).
- KVM: x86: allow KVM_REQ_GET_NESTED_STATE_PAGES outside guest
mode for VMX (bsc#1012628).
- KVM: nVMX: Sync unsync'd vmcs02 state to vmcs12 on migration
(bsc#1012628).
- KVM: x86: get smi pending status correctly (bsc#1012628).
- KVM: Forbid the use of tagged userspace addresses for memslots
(bsc#1012628).
- io_uring: fix wqe->lock/completion_lock deadlock (bsc#1012628).
- xen: Fix XenStore initialisation for XS_LOCAL (bsc#1012628).
- leds: trigger: fix potential deadlock with libata (bsc#1012628).
- arm64: dts: broadcom: Fix USB DMA address translation for
Stingray (bsc#1012628).
- mt7601u: fix kernel crash unplugging the device (bsc#1012628).
- mt76: mt7663s: fix rx buffer refcounting (bsc#1012628).
- mt7601u: fix rx buffer refcounting (bsc#1012628).
- iwlwifi: Fix IWL_SUBDEVICE_NO_160 macro to use the correct bit
(bsc#1012628).
- drm/i915/gt: Clear CACHE_MODE prior to clearing residuals
(bsc#1012628).
- drm/i915/pmu: Don't grab wakeref when enabling events
(bsc#1012628).
- net/mlx5e: Fix IPSEC stats (bsc#1012628).
- ARM: dts: imx6qdl-kontron-samx6i: fix pwms for lcd-backlight
(bsc#1012628).
- drm/nouveau/svm: fail NOUVEAU_SVM_INIT ioctl on unsupported
devices (bsc#1012628).
- drm/vc4: Correct lbm size and calculation (bsc#1012628).
- drm/vc4: Correct POS1_SCL for hvs5 (bsc#1012628).
- drm/i915: Check for all subplatform bits (bsc#1012628).
- drm/i915/selftest: Fix potential memory leak (bsc#1012628).
- uapi: fix big endian definition of ipv6_rpl_sr_hdr
(bsc#1012628).
- KVM: Documentation: Fix spec for KVM_CAP_ENABLE_CAP_VM
(bsc#1012628).
- tee: optee: replace might_sleep with cond_resched (bsc#1012628).
- xen-blkfront: allow discard-* nodes to be optional
(bsc#1012628).
- blk-mq: test QUEUE_FLAG_HCTX_ACTIVE for sbitmap_shared in
hctx_may_queue (bsc#1012628).
- clk: imx: fix Kconfig warning for i.MX SCU clk (bsc#1012628).
- clk: mmp2: fix build without CONFIG_PM (bsc#1012628).
- clk: qcom: gcc-sm250: Use floor ops for sdcc clks (bsc#1012628).
- ARM: imx: build suspend-imx6.S with arm instruction set
(bsc#1012628).
- ARM: zImage: atags_to_fdt: Fix node names on added root nodes
(bsc#1012628).
- netfilter: nft_dynset: add timeout extension to template
(bsc#1012628).
- Revert "RDMA/mlx5: Fix devlink deadlock on net namespace
deletion" (bsc#1012628).
- Revert "block: simplify set_init_blocksize" to regain lost
performance (bsc#1012628).
- xfrm: Fix oops in xfrm_replay_advance_bmp (bsc#1012628).
- xfrm: fix disable_xfrm sysctl when used on xfrm interfaces
(bsc#1012628).
- selftests: xfrm: fix test return value override issue in
xfrm_policy.sh (bsc#1012628).
- xfrm: Fix wraparound in xfrm_policy_addr_delta() (bsc#1012628).
- arm64: dts: ls1028a: fix the offset of the reset register
(bsc#1012628).
- ARM: imx: fix imx8m dependencies (bsc#1012628).
- ARM: dts: imx6qdl-kontron-samx6i: fix i2c_lcd/cam default status
(bsc#1012628).
- ARM: dts: imx6qdl-sr-som: fix some cubox-i platforms
(bsc#1012628).
- arm64: dts: imx8mp: Correct the gpio ranges of gpio3
(bsc#1012628).
- firmware: imx: select SOC_BUS to fix firmware build
(bsc#1012628).
- RDMA/cxgb4: Fix the reported max_recv_sge value (bsc#1012628).
- ASoC: dt-bindings: lpass: Fix and common up lpass dai ids
(bsc#1012628).
- ASoC: qcom: Fix incorrect volatile registers (bsc#1012628).
- ASoC: qcom: Fix broken support to MI2S TERTIARY and QUATERNARY
(bsc#1012628).
- ASoC: qcom: lpass-ipq806x: fix bitwidth regmap field
(bsc#1012628).
- spi: altera: Fix memory leak on error path (bsc#1012628).
- ASoC: Intel: Skylake: skl-topology: Fix OOPs ib
skl_tplg_complete (bsc#1012628).
- powerpc/64s: prevent recursive replay_soft_interrupts causing
superfluous interrupt (bsc#1012628).
- pNFS/NFSv4: Fix a layout segment leak in pnfs_layout_process()
(bsc#1012628).
- pNFS/NFSv4: Update the layout barrier when we schedule a
layoutreturn (bsc#1012628).
- ASoC: SOF: Intel: soundwire: fix select/depend unmet
dependencies (bsc#1012628).
- ASoC: qcom: lpass: Fix out-of-bounds DAI ID lookup
(bsc#1012628).
- iwlwifi: pcie: avoid potential PNVM leaks (bsc#1012628).
- iwlwifi: pnvm: don't skip everything when not reloading
(bsc#1012628).
- iwlwifi: pnvm: don't try to load after failures (bsc#1012628).
- iwlwifi: pcie: set LTR on more devices (bsc#1012628).
- iwlwifi: pcie: use jiffies for memory read spin time limit
(bsc#1012628).
- iwlwifi: pcie: reschedule in long-running memory reads
(bsc#1012628).
- mac80211: pause TX while changing interface type (bsc#1012628).
- ice: fix FDir IPv6 flexbyte (bsc#1012628).
- ice: Implement flow for IPv6 next header (extension header)
(bsc#1012628).
- ice: update dev_addr in ice_set_mac_address even if HW filter
exists (bsc#1012628).
- ice: Don't allow more channels than LAN MSI-X available
(bsc#1012628).
- ice: Fix MSI-X vector fallback logic (bsc#1012628).
- i40e: acquire VSI pointer only after VF is initialized
(bsc#1012628).
- igc: fix link speed advertising (bsc#1012628).
- net/mlx5: Fix memory leak on flow table creation error flow
(bsc#1012628).
- net/mlx5e: E-switch, Fix rate calculation for overflow
(bsc#1012628).
- net/mlx5e: free page before return (bsc#1012628).
- net/mlx5e: Reduce tc unsupported key print level (bsc#1012628).
- net/mlx5: Maintain separate page trees for ECPF and PF functions
(bsc#1012628).
- net/mlx5e: Disable hw-tc-offload when MLX5_CLS_ACT config is
disabled (bsc#1012628).
- net/mlx5e: Fix CT rule + encap slow path offload and deletion
(bsc#1012628).
- net/mlx5e: Correctly handle changing the number of queues when
the interface is down (bsc#1012628).
- net/mlx5e: Revert parameters on errors when changing trust
state without reset (bsc#1012628).
- net/mlx5e: Revert parameters on errors when changing MTU and
LRO state without reset (bsc#1012628).
- net/mlx5: CT: Fix incorrect removal of tuple_nat_node from
nat rhashtable (bsc#1012628).
- can: dev: prevent potential information leak in can_fill_info()
(bsc#1012628).
- ACPI/IORT: Do not blindly trust DMA masks from firmware
(bsc#1012628).
- of/device: Update dma_range_map only when dev has valid
dma-ranges (bsc#1012628).
- iommu/amd: Use IVHD EFR for early initialization of IOMMU
features (bsc#1012628).
- iommu/vt-d: Correctly check addr alignment in
qi_flush_dev_iotlb_pasid() (bsc#1012628).
- nvme-multipath: Early exit if no path is available
(bsc#1012628).
- selftests: forwarding: Specify interface when invoking mausezahn
(bsc#1012628).
- rxrpc: Fix memory leak in rxrpc_lookup_local (bsc#1012628).
- NFC: fix resource leak when target index is invalid
(bsc#1012628).
- NFC: fix possible resource leak (bsc#1012628).
- ASoC: mediatek: mt8183-da7219: ignore TDM DAI link by default
(bsc#1012628).
- ASoC: mediatek: mt8183-mt6358: ignore TDM DAI link by default
(bsc#1012628).
- ASoC: topology: Properly unregister DAI on removal
(bsc#1012628).
- ASoC: topology: Fix memory corruption in
soc_tplg_denum_create_values() (bsc#1012628).
- scsi: qla2xxx: Fix description for parameter
ql2xenforce_iocb_limit (bsc#1012628).
- team: protect features update by RCU to avoid deadlock
(bsc#1012628).
- tcp: make TCP_USER_TIMEOUT accurate for zero window probes
(bsc#1012628).
- tcp: fix TLP timer not set when CA_STATE changes from DISORDER
to OPEN (bsc#1012628).
- vsock: fix the race conditions in multi-transport support
(bsc#1012628).
- Update patches.suse/acpi_thermal_passive_blacklist.patch
(bsc#333043).
- commit 3527948
-------------------------------------------------------------------
Wed Feb 3 18:54:14 CET 2021 - tiwai@suse.de
- rpm/kernel-binary.spec.in: Correct Supplements in optional subpkg (jsc#SLE-11796)
The product string was changed from openSUSE to Leap.
- commit 3cb7943
-------------------------------------------------------------------
Sun Jan 31 23:32:11 CET 2021 - mkubecek@suse.cz
- Update to 5.11-rc6
- eliminated 1 patch
- patches.suse/iwlwifi-dbg-Don-t-touch-the-tlv-data.patch
- refresh
- patches.suse/acpi_thermal_passive_blacklist.patch
- update configs
- LEDS_RT8515=m
- commit 8d79a70
-------------------------------------------------------------------
Sat Jan 30 20:15:49 CET 2021 - jslaby@suse.cz
- Linux 5.10.12 (bsc#1012628).
- gpio: mvebu: fix pwm .get_state period calculation
(bsc#1012628).
- Revert "mm/slub: fix a memory leak in sysfs_slab_add()"
(bsc#1012628).
- futex: Ensure the correct return value from futex_lock_pi()
(bsc#1012628).
- futex: Replace pointless printk in fixup_owner() (bsc#1012628).
- futex: Provide and use pi_state_update_owner() (bsc#1012628).
- rtmutex: Remove unused argument from rt_mutex_proxy_unlock()
(bsc#1012628).
- futex: Use pi_state_update_owner() in put_pi_state()
(bsc#1012628).
- futex: Simplify fixup_pi_state_owner() (bsc#1012628).
- futex: Handle faults correctly for PI futexes (bsc#1012628).
- HID: wacom: Correct NULL dereference on AES pen proximity
(bsc#1012628).
- HID: multitouch: Apply MT_QUIRK_CONFIDENCE quirk for multi-input
devices (bsc#1012628).
- media: Revert "media: videobuf2: Fix length check for single
plane dmabuf queueing" (bsc#1012628).
- media: v4l2-subdev.h: BIT() is not available in userspace
(bsc#1012628).
- RDMA/vmw_pvrdma: Fix network_hdr_type reported in WC
(bsc#1012628).
- kernel/io_uring: cancel io_uring before task works
(bsc#1012628).
- io_uring: inline io_uring_attempt_task_drop() (bsc#1012628).
- io_uring: add warn_once for io_uring_flush() (bsc#1012628).
- io_uring: stop SQPOLL submit on creator's death (bsc#1012628).
- io_uring: fix null-deref in io_disable_sqo_submit (bsc#1012628).
- io_uring: do sqo disable on install_fd error (bsc#1012628).
- io_uring: fix false positive sqo warning on flush (bsc#1012628).
- io_uring: fix uring_flush in exit_files() warning (bsc#1012628).
- io_uring: fix skipping disabling sqo on exec (bsc#1012628).
- io_uring: dont kill fasync under completion_lock (bsc#1012628).
- io_uring: fix sleeping under spin in __io_clean_op
(bsc#1012628).
- objtool: Don't fail on missing symbol table (bsc#1012628).
- mm/page_alloc: add a missing mm_page_alloc_zone_locked()
tracepoint (bsc#1012628).
- mm: fix a race on nr_swap_pages (bsc#1012628).
- tools: Factor HOSTCC, HOSTLD, HOSTAR definitions (bsc#1012628).
- printk: fix buffer overflow potential for print_text()
(bsc#1012628).
- printk: fix string termination for record_print_text()
(bsc#1012628).
- commit a3c8888
-------------------------------------------------------------------
Sat Jan 30 16:50:45 CET 2021 - afaerber@suse.com
- config: arm64: Enable Arm SP805 hardware watchdog (boo#1181607)
The Ten64 board with NXP LS1088A SoC is documented to have Arm SP805 based
watchdogs, so let's enable the driver for it.
- commit a0c37a5
-------------------------------------------------------------------
Fri Jan 29 08:59:18 CET 2021 - mkubecek@suse.cz
- series.conf: cleanup
- move to "almost mainline" section:
patches.suse/floppy-reintroduce-O_NDELAY-fix.patch
- commit 26dd464
-------------------------------------------------------------------
Thu Jan 28 12:07:05 CET 2021 - mgorman@suse.de
- series.conf: Move performance-related tuning parameters to separate section
This is in preparation for syncing between SLE-specific tunable changes and
the master tunings.
- commit 1019feb
-------------------------------------------------------------------
Thu Jan 28 11:34:53 CET 2021 - msuchanek@suse.de
- floppy: reintroduce O_NDELAY fix (boo#1181018).
- commit fcfe481
-------------------------------------------------------------------
Wed Jan 27 13:11:36 CET 2021 - jslaby@suse.cz
- Update config files.
Only refresh after 5.10.11.
- commit 90db4e6
-------------------------------------------------------------------
Wed Jan 27 12:45:02 CET 2021 - jslaby@suse.cz
- Linux 5.10.11 (bsc#1012628).
- scsi: target: tcmu: Fix use-after-free of se_cmd->priv
(bsc#1012628).
- mtd: rawnand: gpmi: fix dst bit offset when extracting raw
payload (bsc#1012628).
- mtd: rawnand: nandsim: Fix the logic when selecting Hamming
soft ECC engine (bsc#1012628).
- i2c: tegra: Wait for config load atomically while in ISR
(bsc#1012628).
- i2c: bpmp-tegra: Ignore unknown I2C_M flags (bsc#1012628).
- platform/x86: i2c-multi-instantiate: Don't create platform
device for INT3515 ACPI nodes (bsc#1012628).
- platform/x86: ideapad-laptop: Disable touchpad_switch for
ELAN0634 (bsc#1012628).
- ALSA: seq: oss: Fix missing error check in
snd_seq_oss_synth_make_info() (bsc#1012628).
- ALSA: hda/realtek - Limit int mic boost on Acer Aspire E5-575T
(bsc#1012628).
- ALSA: hda/via: Add minimum mute flag (bsc#1012628).
- crypto: xor - Fix divide error in do_xor_speed() (bsc#1012628).
- dm crypt: fix copy and paste bug in crypt_alloc_req_aead
(bsc#1012628).
- ACPI: scan: Make acpi_bus_get_device() clear return pointer
on error (bsc#1012628).
- btrfs: don't get an EINTR during drop_snapshot for reloc
(bsc#1012628).
- btrfs: do not double free backref nodes on error (bsc#1012628).
- btrfs: fix lockdep splat in btrfs_recover_relocation
(bsc#1012628).
- btrfs: don't clear ret in btrfs_start_dirty_block_groups
(bsc#1012628).
- btrfs: send: fix invalid clone operations when cloning from
the same file and root (bsc#1012628).
- fs: fix lazytime expiration handling in
__writeback_single_inode() (bsc#1012628).
- pinctrl: ingenic: Fix JZ4760 support (bsc#1012628).
- mmc: core: don't initialize block size from ext_csd if not
present (bsc#1012628).
- mmc: sdhci-of-dwcmshc: fix rpmb access (bsc#1012628).
- mmc: sdhci-xenon: fix 1.8v regulator stabilization
(bsc#1012628).
- mmc: sdhci-brcmstb: Fix mmc timeout errors on S5 suspend
(bsc#1012628).
- dm: avoid filesystem lookup in dm_get_dev_t() (bsc#1012628).
- dm integrity: fix a crash if "recalculate" used without
"internal_hash" (bsc#1012628).
- dm integrity: conditionally disable "recalculate" feature
(bsc#1012628).
- drm/atomic: put state on error path (bsc#1012628).
- drm/syncobj: Fix use-after-free (bsc#1012628).
- drm/amdgpu: remove gpu info firmware of green sardine
(bsc#1012628).
- drm/amd/display: DCN2X Find Secondary Pipe properly in MPO +
ODM Case (bsc#1012628).
- drm/i915/gt: Prevent use of engine->wa_ctx after error
(bsc#1012628).
- drm/i915: Check for rq->hwsp validity after acquiring RCU lock
(bsc#1012628).
- ASoC: Intel: haswell: Add missing pm_ops (bsc#1012628).
- ASoC: rt711: mutex between calibration and power state changes
(bsc#1012628).
- SUNRPC: Handle TCP socket sends with kernel_sendpage() again
(bsc#1012628).
- HID: multitouch: Enable multi-input for Synaptics
pointstick/touchpad device (bsc#1012628).
- HID: sony: select CONFIG_CRC32 (bsc#1012628).
- dm integrity: select CRYPTO_SKCIPHER (bsc#1012628).
- x86/hyperv: Fix kexec panic/hang issues (bsc#1012628).
- scsi: ufs: Relax the condition of
UFSHCI_QUIRK_SKIP_MANUAL_WB_FLUSH_CTRL (bsc#1012628).
- scsi: ufs: Correct the LUN used in eh_device_reset_handler()
callback (bsc#1012628).
- scsi: qedi: Correct max length of CHAP secret (bsc#1012628).
- scsi: scsi_debug: Fix memleak in scsi_debug_init()
(bsc#1012628).
- scsi: sd: Suppress spurious errors when WRITE SAME is being
disabled (bsc#1012628).
- riscv: Fix kernel time_init() (bsc#1012628).
- riscv: Fix sifive serial driver (bsc#1012628).
- riscv: Enable interrupts during syscalls with M-Mode
(bsc#1012628).
- HID: logitech-dj: add the G602 receiver (bsc#1012628).
- HID: Ignore battery for Elan touchscreen on ASUS UX550
(bsc#1012628).
- clk: tegra30: Add hda clock default rates to clock driver
(bsc#1012628).
- ALSA: hda/tegra: fix tegra-hda on tegra30 soc (bsc#1012628).
- riscv: cacheinfo: Fix using smp_processor_id() in preemptible
(bsc#1012628).
- arm64: make atomic helpers __always_inline (bsc#1012628).
- xen: Fix event channel callback via INTX/GSI (bsc#1012628).
- x86/xen: Add xen_no_vector_callback option to test PCI INTX
delivery (bsc#1012628).
- x86/xen: Fix xen_hvm_smp_init() when vector callback not
available (bsc#1012628).
- dts: phy: fix missing mdio device and probe failure of
vsc8541-01 device (bsc#1012628).
- dts: phy: add GPIO number and active state used for phy reset
(bsc#1012628).
- riscv: defconfig: enable gpio support for HiFive Unleashed
(bsc#1012628).
- drm/amdgpu/psp: fix psp gfx ctrl cmds (bsc#1012628).
- drm/amd/display: disable dcn10 pipe split by default
(bsc#1012628).
- HID: logitech-hidpp: Add product ID for MX Ergo in Bluetooth
mode (bsc#1012628).
- drm/amd/display: Fix to be able to stop crc calculation
(bsc#1012628).
- drm/nouveau/bios: fix issue shadowing expansion ROMs
(bsc#1012628).
- drm/nouveau/privring: ack interrupts the same way as RM
(bsc#1012628).
- drm/nouveau/i2c/gm200: increase width of aux semaphore owner
fields (bsc#1012628).
- drm/nouveau/mmu: fix vram heap sizing (bsc#1012628).
- drm/nouveau/kms/nv50-: fix case where notifier buffer is at
offset 0 (bsc#1012628).
- io_uring: flush timeouts that should already have expired
(bsc#1012628).
- libperf tests: If a test fails return non-zero (bsc#1012628).
- libperf tests: Fail when failing to get a tracepoint id
(bsc#1012628).
- RISC-V: Set current memblock limit (bsc#1012628).
- RISC-V: Fix maximum allowed phsyical memory for RV32
(bsc#1012628).
- x86/xen: fix 'nopvspin' build error (bsc#1012628).
- nfsd: Fixes for nfsd4_encode_read_plus_data() (bsc#1012628).
- nfsd: Don't set eof on a truncated READ_PLUS (bsc#1012628).
- gpiolib: cdev: fix frame size warning in gpio_ioctl()
(bsc#1012628).
- pinctrl: aspeed: g6: Fix PWMG0 pinctrl setting (bsc#1012628).
- pinctrl: mediatek: Fix fallback call path (bsc#1012628).
- RDMA/ucma: Do not miss ctx destruction steps in some cases
(bsc#1012628).
- btrfs: print the actual offset in btrfs_root_name (bsc#1012628).
- scsi: megaraid_sas: Fix MEGASAS_IOC_FIRMWARE regression
(bsc#1012628).
- scsi: ufs: ufshcd-pltfrm depends on HAS_IOMEM (bsc#1012628).
- scsi: ufs: Fix tm request when non-fatal error happens
(bsc#1012628).
- crypto: omap-sham - Fix link error without crypto-engine
(bsc#1012628).
- bpf: Prevent double bpf_prog_put call from
bpf_tracing_prog_attach (bsc#1012628).
- powerpc: Use the common INIT_DATA_SECTION macro in vmlinux.lds.S
(bsc#1012628).
- powerpc: Fix alignment bug within the init sections
(bsc#1012628).
- arm64: entry: remove redundant IRQ flag tracing (bsc#1012628).
- bpf: Reject too big ctx_size_in for raw_tp test run
(bsc#1012628).
- drm/amdkfd: Fix out-of-bounds read in
kdf_create_vcrat_image_cpu() (bsc#1012628).
- RDMA/umem: Avoid undefined behavior of rounddown_pow_of_two()
(bsc#1012628).
- RDMA/cma: Fix error flow in default_roce_mode_store
(bsc#1012628).
- printk: ringbuffer: fix line counting (bsc#1012628).
- printk: fix kmsg_dump_get_buffer length calulations
(bsc#1012628).
- iov_iter: fix the uaccess area in copy_compat_iovec_from_user
(bsc#1012628).
- i2c: octeon: check correct size of maximum RECV_LEN packet
(bsc#1012628).
- drm/vc4: Unify PCM card's driver_name (bsc#1012628).
- platform/x86: intel-vbtn: Drop HP Stream x360 Convertible PC
11 from allow-list (bsc#1012628).
- platform/x86: hp-wmi: Don't log a warning on
HPWMI_RET_UNKNOWN_COMMAND errors (bsc#1012628).
- gpio: sifive: select IRQ_DOMAIN_HIERARCHY rather than depend
on it (bsc#1012628).
- ALSA: hda: Balance runtime/system PM if direct-complete is
disabled (bsc#1012628).
- xsk: Clear pool even for inactive queues (bsc#1012628).
- selftests: net: fib_tests: remove duplicate log test
(bsc#1012628).
- can: dev: can_restart: fix use after free bug (bsc#1012628).
- can: vxcan: vxcan_xmit: fix use after free bug (bsc#1012628).
- can: peak_usb: fix use after free bugs (bsc#1012628).
- perf evlist: Fix id index for heterogeneous systems
(bsc#1012628).
- i2c: sprd: depend on COMMON_CLK to fix compile tests
(bsc#1012628).
- iio: common: st_sensors: fix possible infinite loop in
st_sensors_irq_thread (bsc#1012628).
- iio: ad5504: Fix setting power-down state (bsc#1012628).
- drivers: iio: temperature: Add delay after the addressed reset
command in mlx90632.c (bsc#1012628).
- iio: adc: ti_am335x_adc: remove omitted iio_kfifo_free()
(bsc#1012628).
- counter:ti-eqep: remove floor (bsc#1012628).
- powerpc/64s: fix scv entry fallback flush vs interrupt
(bsc#1012628).
- cifs: do not fail __smb_send_rqst if non-fatal signals are
pending (bsc#1012628).
- irqchip/mips-cpu: Set IPI domain parent chip (bsc#1012628).
- x86/fpu: Add kernel_fpu_begin_mask() to selectively initialize
state (bsc#1012628).
- x86/topology: Make __max_die_per_package available
unconditionally (bsc#1012628).
- x86/mmx: Use KFPU_387 for MMX string operations (bsc#1012628).
- x86/setup: don't remove E820_TYPE_RAM for pfn 0 (bsc#1012628).
- proc_sysctl: fix oops caused by incorrect command parameters
(bsc#1012628).
- mm: memcg/slab: optimize objcg stock draining (bsc#1012628).
- mm: memcg: fix memcg file_dirty numa stat (bsc#1012628).
- mm: fix numa stats for thp migration (bsc#1012628).
- io_uring: iopoll requests should also wake task ->in_idle state
(bsc#1012628).
- io_uring: fix SQPOLL IORING_OP_CLOSE cancelation state
(bsc#1012628).
- io_uring: fix short read retries for non-reg files
(bsc#1012628).
- intel_th: pci: Add Alder Lake-P support (bsc#1012628).
- stm class: Fix module init return on allocation failure
(bsc#1012628).
- serial: mvebu-uart: fix tx lost characters at power off
(bsc#1012628).
- ehci: fix EHCI host controller initialization sequence
(bsc#1012628).
- USB: ehci: fix an interrupt calltrace error (bsc#1012628).
- usb: gadget: aspeed: fix stop dma register setting
(bsc#1012628).
- USB: gadget: dummy-hcd: Fix errors in port-reset handling
(bsc#1012628).
- usb: udc: core: Use lock when write to soft_connect
(bsc#1012628).
- usb: bdc: Make bdc pci driver depend on BROKEN (bsc#1012628).
- usb: cdns3: imx: fix writing read-only memory issue
(bsc#1012628).
- usb: cdns3: imx: fix can't create core device the second time
issue (bsc#1012628).
- xhci: make sure TRB is fully written before giving it to the
controller (bsc#1012628).
- xhci: tegra: Delay for disabling LFPS detector (bsc#1012628).
- drivers core: Free dma_range_map when driver probe failed
(bsc#1012628).
- driver core: Fix device link device name collision
(bsc#1012628).
- driver core: Extend device_is_dependent() (bsc#1012628).
- drm/i915: s/intel_dp_sink_dpms/intel_dp_set_power/
(bsc#1012628).
- drm/i915: Only enable DFP 4:4:4->4:2:0 conversion when
outputting YCbCr 4:4:4 (bsc#1012628).
- x86/entry: Fix noinstr fail (bsc#1012628).
- x86/cpu/amd: Set __max_die_per_package on AMD (bsc#1012628).
- cls_flower: call nla_ok() before nla_next() (bsc#1012628).
- netfilter: rpfilter: mask ecn bits before fib lookup
(bsc#1012628).
- tools: gpio: fix %llu warning in gpio-event-mon.c (bsc#1012628).
- tools: gpio: fix %llu warning in gpio-watch.c (bsc#1012628).
- drm/i915/hdcp: Update CP property in update_pipe (bsc#1012628).
- sh: dma: fix kconfig dependency for G2_DMA (bsc#1012628).
- sh: Remove unused HAVE_COPY_THREAD_TLS macro (bsc#1012628).
- locking/lockdep: Cure noinstr fail (bsc#1012628).
- ASoC: SOF: Intel: fix page fault at probe if i915 init fails
(bsc#1012628).
- octeontx2-af: Fix missing check bugs in rvu_cgx.c (bsc#1012628).
- net: dsa: mv88e6xxx: also read STU state in
mv88e6250_g1_vtu_getnext (bsc#1012628).
- selftests/powerpc: Fix exit status of pkey tests (bsc#1012628).
- sh_eth: Fix power down vs. is_opened flag ordering
(bsc#1012628).
- nvme-pci: refactor nvme_unmap_data (bsc#1012628).
- nvme-pci: fix error unwind in nvme_map_data (bsc#1012628).
- cachefiles: Drop superfluous readpages aops NULL check
(bsc#1012628 bsc#1175245).
- lightnvm: fix memory leak when submit fails (bsc#1012628).
- skbuff: back tiny skbs with kmalloc() in __netdev_alloc_skb()
too (bsc#1012628).
- kasan: fix unaligned address is unhandled in
kasan_remove_zero_shadow (bsc#1012628).
- kasan: fix incorrect arguments passing in kasan_add_zero_shadow
(bsc#1012628).
- tcp: fix TCP socket rehash stats mis-accounting (bsc#1012628).
- net_sched: gen_estimator: support large ewma log (bsc#1012628).
- udp: mask TOS bits in udp_v4_early_demux() (bsc#1012628).
- ipv6: create multicast route with RTPROT_KERNEL (bsc#1012628).
- net_sched: avoid shift-out-of-bounds in tcindex_set_parms()
(bsc#1012628).
- net_sched: reject silly cell_log in qdisc_get_rtab()
(bsc#1012628).
- ipv6: set multicast flag on the multicast route (bsc#1012628).
- net: mscc: ocelot: allow offloading of bridge on top of LAG
(bsc#1012628).
- net: Disable NETIF_F_HW_TLS_RX when RXCSUM is disabled
(bsc#1012628).
- net: dsa: b53: fix an off by one in checking "vlan->vid"
(bsc#1012628).
- tcp: do not mess with cloned skbs in tcp_add_backlog()
(bsc#1012628).
- tcp: fix TCP_USER_TIMEOUT with zero window (bsc#1012628).
- net: mscc: ocelot: Fix multicast to the CPU port (bsc#1012628).
- net: core: devlink: use right genl user_ptr when handling port
param get/set (bsc#1012628).
- pinctrl: qcom: Allow SoCs to specify a GPIO function that's
not 0 (bsc#1012628).
- pinctrl: qcom: No need to read-modify-write the interrupt status
(bsc#1012628).
- pinctrl: qcom: Properly clear "intr_ack_high" interrupts when
unmasking (bsc#1012628).
- pinctrl: qcom: Don't clear pending interrupts when enabling
(bsc#1012628).
- x86/sev: Fix nonistr violation (bsc#1012628).
- tty: implement write_iter (bsc#1012628).
- tty: fix up hung_up_tty_write() conversion (bsc#1012628).
- net: systemport: free dev before on error path (bsc#1012628).
- x86/sev-es: Handle string port IO to kernel memory properly
(bsc#1012628).
- tcp: Fix potential use-after-free due to double kfree()
(bsc#1012628).
- ASoC: SOF: Intel: hda: Avoid checking jack on system suspend
(bsc#1012628).
- drm/i915/hdcp: Get conn while content_type changed
(bsc#1012628).
- bpf: Local storage helpers should check nullness of owner ptr
passed (bsc#1012628).
- kernfs: implement ->read_iter (bsc#1012628).
- kernfs: implement ->write_iter (bsc#1012628).
- kernfs: wire up ->splice_read and ->splice_write (bsc#1012628).
- interconnect: imx8mq: Use icc_sync_state (bsc#1012628).
- fs/pipe: allow sendfile() to pipe again (bsc#1012628).
- Commit 9bb48c82aced ("tty: implement write_iter") converted
the tty layer to use write_iter. Fix the redirected_tty_write
declaration also in n_tty and change the comparisons to use
write_iter instead of write. also in n_tty and change the
comparisons to use write_iter instead of write (bsc#1012628).
- mm: fix initialization of struct page for holes in memory layout
(bsc#1012628).
- Revert "mm: fix initialization of struct page for holes in
memory layout" (bsc#1012628).
- Delete
patches.suse/fs-cachefs-Drop-superfluous-readpages-aops-NULL-chec.patch.
- commit 7d05541
-------------------------------------------------------------------
Tue Jan 26 18:07:19 CET 2021 - tiwai@suse.de
- ALSA: hda/via: Apply the workaround generically for Clevo
machines (bsc#1181330).
- commit 87d962b
-------------------------------------------------------------------
Mon Jan 25 06:35:26 CET 2021 - mkubecek@suse.cz
- Update to 5.11-rc5
- eliminated 3 patches
- patches.suse/fs-cachefs-Drop-superfluous-readpages-aops-NULL-chec.patch
- patches.suse/irq-export-irq_check_status_bit-symbol.patch
- patches.suse/x86-xen-fix-nopvspin-build-error.patch
- refresh configs
- commit 1a51baa
-------------------------------------------------------------------
Sat Jan 23 16:43:57 CET 2021 - jslaby@suse.cz
- Linux 5.10.10 (bsc#1012628).
- spi: cadence: cache reference clock rate during probe
(bsc#1012628).
- spi: fsl: Fix driver breakage when SPI_CS_HIGH is not set in
spi->mode (bsc#1012628).
- cxgb4/chtls: Fix tid stuck due to wrong update of qid
(bsc#1012628).
- net: dsa: unbind all switches from tree when DSA master unbinds
(bsc#1012628).
- mac80211: check if atf has been disabled in
__ieee80211_schedule_txq (bsc#1012628).
- mac80211: do not drop tx nulldata packets on encrypted links
(bsc#1012628).
- drm/panel: otm8009a: allow using non-continuous dsi clock
(bsc#1012628).
- can: mcp251xfd: mcp251xfd_handle_rxif_one(): fix wrong NULL
pointer check (bsc#1012628).
- net: stmmac: use __napi_schedule() for PREEMPT_RT (bsc#1012628).
- rxrpc: Fix handling of an unsupported token type in rxrpc_read()
(bsc#1012628).
- net: dsa: clear devlink port type before unregistering slave
netdevs (bsc#1012628).
- net: phy: smsc: fix clk error handling (bsc#1012628).
- dt-bindings: net: renesas,etheravb: RZ/G2H needs
tx-internal-delay-ps (bsc#1012628).
- net: avoid 32 x truesize under-estimation for tiny skbs
(bsc#1012628).
- net: stmmac: fix taprio configuration when base_time is in
the past (bsc#1012628).
- net: stmmac: fix taprio schedule configuration (bsc#1012628).
- net: sit: unregister_netdevice on newlink's error path
(bsc#1012628).
- net: stmmac: Fixed mtu channged by cache aligned (bsc#1012628).
- i40e: fix potential NULL pointer dereferencing (bsc#1012628).
- rxrpc: Call state should be read with READ_ONCE() under some
circumstances (bsc#1012628).
- net: dcb: Accept RTM_GETDCB messages carrying set-like DCB
commands (bsc#1012628).
- net: dcb: Validate netlink message in DCB handler (bsc#1012628).
- esp: avoid unneeded kmap_atomic call (bsc#1012628).
- rndis_host: set proper input size for OID_GEN_PHYSICAL_MEDIUM
request (bsc#1012628).
- net: mvpp2: Remove Pause and Asym_Pause support (bsc#1012628).
- mlxsw: core: Increase critical threshold for ASIC thermal zone
(bsc#1012628).
- mlxsw: core: Add validation of transceiver temperature
thresholds (bsc#1012628).
- tipc: fix NULL deref in tipc_link_xmit() (bsc#1012628).
- net: ipv6: Validate GSO SKB before finish IPv6 processing
(bsc#1012628).
- netxen_nic: fix MSI/MSI-x interrupts (bsc#1012628).
- udp: Prevent reuseport_select_sock from reading uninitialized
socks (bsc#1012628).
- net: fix use-after-free when UDP GRO with shared fraglist
(bsc#1012628).
- net: ipa: modem: add missing SET_NETDEV_DEV() for proper sysfs
links (bsc#1012628).
- bpf: Fix helper bpf_map_peek_elem_proto pointing to wrong
callback (bsc#1012628).
- bpf: Support PTR_TO_MEM{,_OR_NULL} register spilling
(bsc#1012628).
- bpf: Don't leak memory in bpf getsockopt when optlen == 0
(bsc#1012628).
- nfsd4: readdirplus shouldn't return parent of export
(bsc#1012628).
- X.509: Fix crash caused by NULL pointer (bsc#1012628).
- bpf: Fix signed_{sub,add32}_overflows type handling
(bsc#1012628).
- drm/amdgpu/display: drop DCN support for aarch64 (bsc#1012628).
- Update config files.
- x86/hyperv: Initialize clockevents after LAPIC is initialized
(bsc#1012628).
- bpf: Fix selftest compilation on clang 11 (bsc#1012628).
- Revert "kconfig: remove 'kvmconfig' and 'xenconfig' shorthands"
(bsc#1012628).
- commit 167b75d
-------------------------------------------------------------------
Fri Jan 22 13:41:53 CET 2021 - tiwai@suse.de
- drm/gpu/nouveau/dispnv50: Restore pushing of all data
(boo#1181271).
- commit c3f7185
-------------------------------------------------------------------
Thu Jan 21 21:38:58 CET 2021 - tiwai@suse.de
- media: pwc: Fix the URB buffer allocation (bsc#1181133).
- commit a9c85b1
-------------------------------------------------------------------
Thu Jan 21 12:33:01 CET 2021 - tiwai@suse.de
- media: dvb-usb: Fix use-after-free access (bsc#1181104).
- media: dvb-usb: Fix memory leak at error in
dvb_usb_device_init() (bsc#1181104).
- media: dvb-usb: Fix use-after-free access (bsc#1181104).
- media: dvb-usb: Fix memory leak at error in
dvb_usb_device_init() (bsc#1181104).
- commit 8c718c9
-------------------------------------------------------------------
Wed Jan 20 12:12:12 CET 2021 - msuchanek@suse.de
- Exclude Symbols.list again.
Removing the exclude builds vanilla/linux-next builds.
Fixes: 55877625c800 ("kernel-binary.spec.in: Package the obj_install_dir as explicit filelist.")
- commit a1728f2
-------------------------------------------------------------------
Wed Jan 20 08:22:39 CET 2021 - jslaby@suse.cz
- Linux 5.10.9 (bsc#1012628).
- btrfs: reloc: fix wrong file extent type check to avoid false
ENOENT (bsc#1012628).
- btrfs: prevent NULL pointer dereference in extent_io_tree_panic
(bsc#1012628).
- ALSA: hda/realtek: fix right sounds and mute/micmute LEDs for
HP machines (bsc#1012628).
- ALSA: doc: Fix reference to mixart.rst (bsc#1012628).
- ASoC: AMD Renoir - add DMI entry for Lenovo ThinkPad X395
(bsc#1012628).
- ASoC: dapm: remove widget from dirty list on free (bsc#1012628).
- x86/hyperv: check cpu mask after interrupt has been disabled
(bsc#1012628).
- drm/amdgpu: add green_sardine device id (v2) (bsc#1012628).
- drm/amdgpu: fix DRM_INFO flood if display core is not supported
(bug 210921) (bsc#1012628).
- drm/amdgpu: add new device id for Renior (bsc#1012628).
- drm/i915: Allow the sysadmin to override security mitigations
(bsc#1012628).
- drm/i915/gt: Limit VFE threads based on GT (bsc#1012628).
- drm/i915/backlight: fix CPU mode backlight takeover on LPT
(bsc#1012628).
- drm/bridge: sii902x: Refactor init code into separate function
(bsc#1012628).
- dt-bindings: display: sii902x: Add supply bindings
(bsc#1012628).
- drm/bridge: sii902x: Enable I/O and core VCC supplies if present
(bsc#1012628).
- tracing/kprobes: Do the notrace functions check without kprobes
on ftrace (bsc#1012628).
- tools/bootconfig: Add tracing_on support to helper scripts
(bsc#1012628).
- ext4: use IS_ERR instead of IS_ERR_OR_NULL and set inode null
when IS_ERR (bsc#1012628).
- ext4: fix wrong list_splice in ext4_fc_cleanup (bsc#1012628).
- ext4: fix bug for rename with RENAME_WHITEOUT (bsc#1012628).
- cifs: check pointer before freeing (bsc#1012628).
- cifs: fix interrupted close commands (bsc#1012628).
- riscv: Drop a duplicated PAGE_KERNEL_EXEC (bsc#1012628).
- riscv: return -ENOSYS for syscall -1 (bsc#1012628).
- riscv: Fixup CONFIG_GENERIC_TIME_VSYSCALL (bsc#1012628).
- riscv: Fix KASAN memory mapping (bsc#1012628).
- mips: fix Section mismatch in reference (bsc#1012628).
- mips: lib: uncached: fix non-standard usage of variable 'sp'
(bsc#1012628).
- MIPS: boot: Fix unaligned access with
CONFIG_MIPS_RAW_APPENDED_DTB (bsc#1012628).
- MIPS: Fix malformed NT_FILE and NT_SIGINFO in 32bit coredumps
(bsc#1012628).
- MIPS: relocatable: fix possible boot hangup with KASLR enabled
(bsc#1012628).
- RDMA/ocrdma: Fix use after free in ocrdma_dealloc_ucontext_pd()
(bsc#1012628).
- ACPI: scan: Harden acpi_device_add() against device ID overflows
(bsc#1012628).
- xen/privcmd: allow fetching resource sizes (bsc#1012628).
- compiler.h: Raise minimum version of GCC to 5.1 for arm64
(bsc#1012628).
- mm/vmalloc.c: fix potential memory leak (bsc#1012628).
- mm/hugetlb: fix potential missing huge page size info
(bsc#1012628).
- mm/process_vm_access.c: include compat.h (bsc#1012628).
- dm raid: fix discard limits for raid1 (bsc#1012628).
- dm snapshot: flush merged data before committing metadata
(bsc#1012628).
- dm integrity: fix flush with external metadata device
(bsc#1012628).
- dm integrity: fix the maximum number of arguments (bsc#1012628).
- dm crypt: use GFP_ATOMIC when allocating crypto requests from
softirq (bsc#1012628).
- dm crypt: do not wait for backlogged crypto request completion
in softirq (bsc#1012628).
- dm crypt: do not call bio_endio() from the dm-crypt tasklet
(bsc#1012628).
- dm crypt: defer decryption to a tasklet if interrupts disabled
(bsc#1012628).
- stmmac: intel: change all EHL/TGL to auto detect phy addr
(bsc#1012628).
- r8152: Add Lenovo Powered USB-C Travel Hub (bsc#1012628).
- btrfs: tree-checker: check if chunk item end overflows
(bsc#1012628).
- ext4: don't leak old mountpoint samples (bsc#1012628).
- io_uring: don't take files/mm for a dead task (bsc#1012628).
- io_uring: drop mm and files after task_work_run (bsc#1012628).
- ARC: build: remove non-existing bootpImage from KBUILD_IMAGE
(bsc#1012628).
- ARC: build: add uImage.lzma to the top-level target
(bsc#1012628).
- ARC: build: add boot_targets to PHONY (bsc#1012628).
- ARC: build: move symlink creation to arch/arc/Makefile to
avoid race (bsc#1012628).
- ARM: omap2: pmic-cpcap: fix maximum voltage to be consistent
with defaults on xt875 (bsc#1012628).
- ath11k: fix crash caused by NULL rx_channel (bsc#1012628).
- netfilter: ipset: fixes possible oops in mtype_resize
(bsc#1012628).
- ath11k: qmi: try to allocate a big block of DMA memory first
(bsc#1012628).
- btrfs: fix async discard stall (bsc#1012628).
- btrfs: merge critical sections of discard lock in workfn
(bsc#1012628).
- btrfs: fix transaction leak and crash after RO remount caused
by qgroup rescan (bsc#1012628).
- regulator: bd718x7: Add enable times (bsc#1012628).
- ethernet: ucc_geth: fix definition and size of
ucc_geth_tx_global_pram (bsc#1012628).
- ARM: dts: ux500/golden: Set display max brightness
(bsc#1012628).
- habanalabs: adjust pci controller init to new firmware
(bsc#1012628).
- habanalabs/gaudi: retry loading TPC f/w on -EINTR (bsc#1012628).
- habanalabs: register to pci shutdown callback (bsc#1012628).
- staging: spmi: hisi-spmi-controller: Fix some error handling
paths (bsc#1012628).
- spi: altera: fix return value for altera_spi_txrx()
(bsc#1012628).
- habanalabs: Fix memleak in hl_device_reset (bsc#1012628).
- hwmon: (pwm-fan) Ensure that calculation doesn't discard big
period values (bsc#1012628).
- lib/raid6: Let $(UNROLL) rules work with macOS userland
(bsc#1012628).
- kconfig: remove 'kvmconfig' and 'xenconfig' shorthands
(bsc#1012628).
- spi: fix the divide by 0 error when calculating xfer waiting
time (bsc#1012628).
- io_uring: drop file refs after task cancel (bsc#1012628).
- bfq: Fix computation of shallow depth (bsc#1012628).
- arch/arc: add copy_user_page() to <asm/page.h> to fix build
error on ARC (bsc#1012628).
- misdn: dsp: select CONFIG_BITREVERSE (bsc#1012628).
- net: ethernet: fs_enet: Add missing MODULE_LICENSE
(bsc#1012628).
- selftests: fix the return value for UDP GRO test (bsc#1012628).
- nvme-pci: mark Samsung PM1725a as IGNORE_DEV_SUBNQN
(bsc#1012628).
- nvme: avoid possible double fetch in handling CQE (bsc#1012628).
- nvmet-rdma: Fix list_del corruption on queue establishment
failure (bsc#1012628).
- drm/amd/display: fix sysfs amdgpu_current_backlight_pwm NULL
pointer issue (bsc#1012628).
- drm/amdgpu: fix a GPU hang issue when remove device
(bsc#1012628).
- drm/amd/pm: fix the failure when change power profile for renoir
(bsc#1012628).
- drm/amdgpu: fix potential memory leak during navi12
deinitialization (bsc#1012628).
- usb: typec: Fix copy paste error for NVIDIA alt-mode description
(bsc#1012628).
- iommu/vt-d: Fix lockdep splat in sva bind()/unbind()
(bsc#1012628).
- ACPI: scan: add stub acpi_create_platform_device() for
!CONFIG_ACPI (bsc#1012628).
- drm/msm: Call msm_init_vram before binding the gpu
(bsc#1012628).
- ARM: picoxcell: fix missing interrupt-parent properties
(bsc#1012628).
- poll: fix performance regression due to out-of-line __put_user()
(bsc#1012628).
- rcu-tasks: Move RCU-tasks initialization to before
early_initcall() (bsc#1012628).
- bpf: Simplify task_file_seq_get_next() (bsc#1012628).
- bpf: Save correct stopping point in file seq iteration
(bsc#1012628).
- x86/sev-es: Fix SEV-ES OUT/IN immediate opcode vc handling
(bsc#1012628).
- cfg80211: select CONFIG_CRC32 (bsc#1012628).
- nvme-fc: avoid calling _nvme_fc_abort_outstanding_ios from
interrupt context (bsc#1012628).
- iommu/vt-d: Update domain geometry in iommu_ops.at(de)tach_dev
(bsc#1012628).
- net/mlx5e: CT: Use per flow counter when CT flow accounting
is enabled (bsc#1012628).
- net/mlx5: Fix passing zero to 'PTR_ERR' (bsc#1012628).
- net/mlx5: E-Switch, fix changing vf VLANID (bsc#1012628).
- blk-mq-debugfs: Add decode for BLK_MQ_F_TAG_HCTX_SHARED
(bsc#1012628).
- mm: fix clear_refs_write locking (bsc#1012628).
- mm: don't play games with pinned pages in clear_page_refs
(bsc#1012628).
- mm: don't put pinned pages into the swap cache (bsc#1012628).
- perf intel-pt: Fix 'CPU too large' error (bsc#1012628).
- dump_common_audit_data(): fix racy accesses to ->d_name
(bsc#1012628).
- ASoC: meson: axg-tdm-interface: fix loopback (bsc#1012628).
- ASoC: meson: axg-tdmin: fix axg skew offset (bsc#1012628).
- ASoC: Intel: fix error code cnl_set_dsp_D0() (bsc#1012628).
- nvmet-rdma: Fix NULL deref when setting pi_enable and traddr
INADDR_ANY (bsc#1012628).
- nvme: don't intialize hwmon for discovery controllers
(bsc#1012628).
- nvme-tcp: fix possible data corruption with bio merges
(bsc#1012628).
- nvme-tcp: Fix warning with CONFIG_DEBUG_PREEMPT (bsc#1012628).
- NFS4: Fix use-after-free in trace_event_raw_event_nfs4_set_lock
(bsc#1012628).
- pNFS: We want return-on-close to complete when evicting the
inode (bsc#1012628).
- pNFS: Mark layout for return if return-on-close was not sent
(bsc#1012628).
- pNFS: Stricter ordering of layoutget and layoutreturn
(bsc#1012628).
- NFS: Adjust fs_context error logging (bsc#1012628).
- NFS/pNFS: Don't call pnfs_free_bucket_lseg() before removing
the request (bsc#1012628).
- NFS/pNFS: Don't leak DS commits in pnfs_generic_retry_commit()
(bsc#1012628).
- NFS/pNFS: Fix a leak of the layout 'plh_outstanding' counter
(bsc#1012628).
- NFS: nfs_delegation_find_inode_server must first reference
the superblock (bsc#1012628).
- NFS: nfs_igrab_and_active must first reference the superblock
(bsc#1012628).
- scsi: ufs: Fix possible power drain during system suspend
(bsc#1012628).
- ext4: fix superblock checksum failure when setting password salt
(bsc#1012628).
- RDMA/restrack: Don't treat as an error allocation ID wrapping
(bsc#1012628).
- RDMA/usnic: Fix memleak in find_free_vf_and_create_qp_grp
(bsc#1012628).
- bnxt_en: Improve stats context resource accounting with RDMA
driver loaded (bsc#1012628).
- RDMA/mlx5: Fix wrong free of blue flame register on error
(bsc#1012628).
- IB/mlx5: Fix error unwinding when set_has_smi_cap fails
(bsc#1012628).
- umount(2): move the flag validity checks first (bsc#1012628).
- dm zoned: select CONFIG_CRC32 (bsc#1012628).
- drm/i915/dsi: Use unconditional msleep for the panel_on_delay
when there is no reset-deassert MIPI-sequence (bsc#1012628).
- drm/i915/icl: Fix initing the DSI DSC power refcount during
HW readout (bsc#1012628).
- drm/i915/gt: Restore clear-residual mitigations for Ivybridge,
Baytrail (bsc#1012628).
- mm, slub: consider rest of partial list if acquire_slab()
fails (bsc#1012628).
- riscv: Trace irq on only interrupt is enabled (bsc#1012628).
- iommu/vt-d: Fix unaligned addresses for
intel_flush_svm_range_dev() (bsc#1012628).
- net: sunrpc: interpret the return value of kstrtou32 correctly
(bsc#1012628).
- selftests: netfilter: Pass family parameter "-f" to conntrack
tool (bsc#1012628).
- dm: eliminate potential source of excessive kernel log noise
(bsc#1012628).
- ALSA: fireface: Fix integer overflow in transmit_midi_msg()
(bsc#1012628).
- ALSA: firewire-tascam: Fix integer overflow in midi_port_work()
(bsc#1012628).
- netfilter: conntrack: fix reading nf_conntrack_buckets
(bsc#1012628).
- netfilter: nf_nat: Fix memleak in nf_nat_init (bsc#1012628).
- Update config files.
- commit b7732a5
-------------------------------------------------------------------
Mon Jan 18 08:33:31 CET 2021 - mkubecek@suse.cz
- update patch metadata
- update upstream reference:
patches.suse/iwlwifi-dbg-Don-t-touch-the-tlv-data.patch
- commit e7f6170
-------------------------------------------------------------------
Mon Jan 18 08:29:37 CET 2021 - mkubecek@suse.cz
- x86/xen: fix 'nopvspin' build error.
(fix x86_64/debug and i586/debug builds)
- commit 813e08e
-------------------------------------------------------------------
Mon Jan 18 06:28:37 CET 2021 - mkubecek@suse.cz
- Update to 5.11-rc4
- update configs
- KPROBE_EVENTS_ON_NOTRACE=n (new on arm*, ppc64)
- commit 41414a9
-------------------------------------------------------------------
Sun Jan 17 15:55:16 CET 2021 - jslaby@suse.cz
- Linux 5.10.8 (bsc#1012628).
- powerpc/32s: Fix RTAS machine check with VMAP stack
(bsc#1012628).
- io_uring: synchronise IOPOLL on task_submit fail (bsc#1012628).
- io_uring: limit {io|sq}poll submit locking scope (bsc#1012628).
- io_uring: patch up IOPOLL overflow_flush sync (bsc#1012628).
- iommu/arm-smmu-qcom: Initialize SCTLR of the bypass context
(bsc#1012628).
- io_uring: Fix return value from alloc_fixed_file_ref_node
(bsc#1012628).
- btrfs: skip unnecessary searches for xattrs when logging an
inode (bsc#1012628).
- btrfs: fix deadlock when cloning inline extent and low on free
metadata space (bsc#1012628).
- btrfs: shrink delalloc pages instead of full inodes
(bsc#1012628).
- net: cdc_ncm: correct overhead in delayed_ndp_size
(bsc#1012628).
- net: hns3: fix incorrect handling of sctp6 rss tuple
(bsc#1012628).
- net: hns3: fix the number of queues actually used by ARQ
(bsc#1012628).
- net: hns3: fix a phy loopback fail issue (bsc#1012628).
- net: stmmac: dwmac-sun8i: Fix probe error handling
(bsc#1012628).
- net: stmmac: dwmac-sun8i: Balance internal PHY resource
references (bsc#1012628).
- net: stmmac: dwmac-sun8i: Balance internal PHY power
(bsc#1012628).
- net: stmmac: dwmac-sun8i: Balance syscon (de)initialization
(bsc#1012628).
- net: vlan: avoid leaks on register_vlan_dev() failures
(bsc#1012628).
- net/sonic: Fix some resource leaks in error handling paths
(bsc#1012628).
- net: bareudp: add missing error handling for
bareudp_link_config() (bsc#1012628).
- ptp: ptp_ines: prevent build when HAS_IOMEM is not set
(bsc#1012628).
- net: ipv6: fib: flush exceptions when purging route
(bsc#1012628).
- tools: selftests: add test for changing routes with PTMU
exceptions (bsc#1012628).
- net: fix pmtu check in nopmtudisc mode (bsc#1012628).
- net: ip: always refragment ip defragmented packets
(bsc#1012628).
- chtls: Fix hardware tid leak (bsc#1012628).
- chtls: Remove invalid set_tcb call (bsc#1012628).
- chtls: Fix panic when route to peer not configured
(bsc#1012628).
- chtls: Avoid unnecessary freeing of oreq pointer (bsc#1012628).
- chtls: Replace skb_dequeue with skb_peek (bsc#1012628).
- chtls: Added a check to avoid NULL pointer dereference
(bsc#1012628).
- chtls: Fix chtls resources release sequence (bsc#1012628).
- octeontx2-af: fix memory leak of lmac and lmac->name
(bsc#1012628).
- nexthop: Fix off-by-one error in error path (bsc#1012628).
- nexthop: Unlink nexthop group entry in error path (bsc#1012628).
- nexthop: Bounce NHA_GATEWAY in FDB nexthop groups (bsc#1012628).
- s390/qeth: fix deadlock during recovery (bsc#1012628).
- s390/qeth: fix locking for discipline setup / removal
(bsc#1012628).
- s390/qeth: fix L2 header access in qeth_l3_osa_features_check()
(bsc#1012628).
- net: dsa: lantiq_gswip: Exclude RMII from modes that report
1 GbE (bsc#1012628).
- net/mlx5: Use port_num 1 instead of 0 when delete a RoCE address
(bsc#1012628).
- net/mlx5e: ethtool, Fix restriction of autoneg with 56G
(bsc#1012628).
- net/mlx5e: In skb build skip setting mark in switchdev mode
(bsc#1012628).
- net/mlx5: Check if lag is supported before creating one
(bsc#1012628).
- ionic: start queues before announcing link up (bsc#1012628).
- HID: wacom: Fix memory leakage caused by kfifo_alloc
(bsc#1012628).
- fanotify: Fix sys_fanotify_mark() on native x86-32
(bsc#1012628).
- ARM: OMAP2+: omap_device: fix idling of devices during probe
(bsc#1012628).
- i2c: sprd: use a specific timeout to avoid system hang up issue
(bsc#1012628).
- dmaengine: dw-edma: Fix use after free in dw_edma_alloc_chunk()
(bsc#1012628).
- selftests/bpf: Clarify build error if no vmlinux (bsc#1012628).
- can: tcan4x5x: fix bittiming const, use common bittiming from
m_can driver (bsc#1012628).
- can: m_can: m_can_class_unregister(): remove erroneous
m_can_clk_stop() (bsc#1012628).
- can: kvaser_pciefd: select CONFIG_CRC32 (bsc#1012628).
- spi: spi-geni-qcom: Fail new xfers if xfer/cancel/abort pending
(bsc#1012628).
- cpufreq: powernow-k8: pass policy rather than use
cpufreq_cpu_get() (bsc#1012628).
- spi: spi-geni-qcom: Fix geni_spi_isr() NULL dereference in
timeout case (bsc#1012628).
- spi: stm32: FIFO threshold level - fix align packet size
(bsc#1012628).
- i2c: i801: Fix the i2c-mux gpiod_lookup_table not being properly
terminated (bsc#1012628).
- i2c: mediatek: Fix apdma and i2c hand-shake timeout
(bsc#1012628).
- bcache: set bcache device into read-only mode for
BCH_FEATURE_INCOMPAT_OBSO_LARGE_BUCKET (bsc#1012628).
- interconnect: imx: Add a missing of_node_put after
of_device_is_available (bsc#1012628).
- interconnect: qcom: fix rpmh link failures (bsc#1012628).
- dmaengine: mediatek: mtk-hsdma: Fix a resource leak in the
error handling path of the probe function (bsc#1012628).
- dmaengine: milbeaut-xdmac: Fix a resource leak in the error
handling path of the probe function (bsc#1012628).
- dmaengine: xilinx_dma: check dma_async_device_register return
value (bsc#1012628).
- dmaengine: xilinx_dma: fix incompatible param warning in
_child_probe() (bsc#1012628).
- dmaengine: xilinx_dma: fix mixed_enum_type coverity warning
(bsc#1012628).
- arm64: mm: Fix ARCH_LOW_ADDRESS_LIMIT when !CONFIG_ZONE_DMA
(bsc#1012628).
- qed: select CONFIG_CRC32 (bsc#1012628).
- phy: dp83640: select CONFIG_CRC32 (bsc#1012628).
- wil6210: select CONFIG_CRC32 (bsc#1012628).
- block: rsxx: select CONFIG_CRC32 (bsc#1012628).
- lightnvm: select CONFIG_CRC32 (bsc#1012628).
- zonefs: select CONFIG_CRC32 (bsc#1012628).
- iommu/vt-d: Fix misuse of ALIGN in qi_flush_piotlb()
(bsc#1012628).
- iommu/intel: Fix memleak in intel_irq_remapping_alloc
(bsc#1012628).
- bpftool: Fix compilation failure for net.o with older glibc
(bsc#1012628).
- nvme-tcp: Fix possible race of io_work and direct send
(bsc#1012628).
- net/mlx5e: Fix memleak in mlx5e_create_l2_table_groups
(bsc#1012628).
- net/mlx5e: Fix two double free cases (bsc#1012628).
- regmap: debugfs: Fix a memory leak when calling
regmap_attach_dev (bsc#1012628).
- wan: ds26522: select CONFIG_BITREVERSE (bsc#1012628).
- arm64: cpufeature: remove non-exist CONFIG_KVM_ARM_HOST
(bsc#1012628).
- regulator: qcom-rpmh-regulator: correct hfsmps515 definition
(bsc#1012628).
- net: mvpp2: disable force link UP during port init procedure
(bsc#1012628).
- drm/i915/dp: Track pm_qos per connector (bsc#1012628).
- net: mvneta: fix error message when MTU too large for XDP
(bsc#1012628).
- selftests: fib_nexthops: Fix wrong mausezahn invocation
(bsc#1012628).
- KVM: arm64: Don't access PMCR_EL0 when no PMU is available
(bsc#1012628).
- xsk: Fix race in SKB mode transmit with shared cq (bsc#1012628).
- xsk: Rollback reservation at NETDEV_TX_BUSY (bsc#1012628).
- block/rnbd-clt: avoid module unload race with close confirmation
(bsc#1012628).
- can: isotp: isotp_getname(): fix kernel information leak
(bsc#1012628).
- block: fix use-after-free in disk_part_iter_next (bsc#1012628).
- net: drop bogus skb with CHECKSUM_PARTIAL and offset beyond
end of trimmed packet (bsc#1012628).
- regmap: debugfs: Fix a reversed if statement in
regmap_debugfs_init() (bsc#1012628).
- tools headers UAPI: Sync linux/fscrypt.h with the kernel sources
(bsc#1012628).
- Update config files.
- commit 8611168
-------------------------------------------------------------------
Thu Jan 14 15:54:13 CET 2021 - dmueller@suse.com
- arm*: config: Disable CONFIG_CRYPTO_USER_API_ENABLE_OBSOLETE (bsc#1180928)
We don't need those deprecated ciphers to be enabled, as nothing
should be using them
- commit 936fdc1
-------------------------------------------------------------------
Wed Jan 13 13:21:20 CET 2021 - nsaenzjulienne@suse.de
- nvmem: Add driver to expose reserved memory as nvmem (jsc#SLE-SLE-16616).
- Update config files: Enable nvmem-rmem as module on arm64 & armv7+lpae, disable it otherwise
This is needed early to get boot-loader configuration working on RPi4;
an essential feature.
- commit c9a364d
-------------------------------------------------------------------
Wed Jan 13 13:21:08 CET 2021 - nsaenzjulienne@suse.de
- Update config files: Enable i2c_mux_pinctrl (jsc#SLE-15318)
- commit 709516b
-------------------------------------------------------------------
Wed Jan 13 07:08:43 CET 2021 - jslaby@suse.cz
- scsi: ufs: Fix -Wsometimes-uninitialized warning (git-fixes).
- commit 1c33a89
-------------------------------------------------------------------
Wed Jan 13 06:30:49 CET 2021 - jslaby@suse.cz
- Linux 5.10.7 (bsc#1012628).
- i40e: Fix Error I40E_AQ_RC_EINVAL when removing VFs
(bsc#1012628).
- iavf: fix double-release of rtnl_lock (bsc#1012628).
- net: mvpp2: Add TCAM entry to drop flow control pause frames
(bsc#1012628).
- net: mvpp2: prs: fix PPPoE with ipv6 packet parse (bsc#1012628).
- net: systemport: set dev->max_mtu to UMAC_MAX_MTU_SIZE
(bsc#1012628).
- ethernet: ucc_geth: fix use-after-free in ucc_geth_remove()
(bsc#1012628).
- ethernet: ucc_geth: set dev->max_mtu to 1518 (bsc#1012628).
- ionic: account for vlan tag len in rx buffer len (bsc#1012628).
- atm: idt77252: call pci_disable_device() on error path
(bsc#1012628).
- net: mvpp2: Fix GoP port 3 Networking Complex Control
configurations (bsc#1012628).
- net: stmmac: dwmac-meson8b: ignore the second clock input
(bsc#1012628).
- ibmvnic: fix login buffer memory leak (bsc#1012628).
- ibmvnic: continue fatal error reset after passive init
(bsc#1012628).
- net: ethernet: mvneta: Fix error handling in mvneta_probe
(bsc#1012628).
- qede: fix offload for IPIP tunnel packets (bsc#1012628).
- virtio_net: Fix recursive call to cpus_read_lock()
(bsc#1012628).
- net/ncsi: Use real net-device for response handler
(bsc#1012628).
- net: ethernet: Fix memleak in ethoc_probe (bsc#1012628).
- net-sysfs: take the rtnl lock when storing xps_cpus
(bsc#1012628).
- net-sysfs: take the rtnl lock when accessing xps_cpus_map and
num_tc (bsc#1012628).
- net-sysfs: take the rtnl lock when storing xps_rxqs
(bsc#1012628).
- net-sysfs: take the rtnl lock when accessing xps_rxqs_map and
num_tc (bsc#1012628).
- net: ethernet: ti: cpts: fix ethtool output when no ptp_clock
registered (bsc#1012628).
- tun: fix return value when the number of iovs exceeds
MAX_SKB_FRAGS (bsc#1012628).
- e1000e: Only run S0ix flows if shutdown succeeded (bsc#1012628).
- e1000e: bump up timeout to wait when ME un-configures ULP mode
(bsc#1012628).
- Revert "e1000e: disable s0ix entry and exit flows for ME
systems" (bsc#1012628).
- e1000e: Export S0ix flags to ethtool (bsc#1012628).
- bnxt_en: Check TQM rings for maximum supported value
(bsc#1012628).
- net: mvpp2: fix pkt coalescing int-threshold configuration
(bsc#1012628).
- bnxt_en: Fix AER recovery (bsc#1012628).
- ipv4: Ignore ECN bits for fib lookups in fib_compute_spec_dst()
(bsc#1012628).
- net: sched: prevent invalid Scell_log shift count (bsc#1012628).
- net: hns: fix return value check in __lb_other_process()
(bsc#1012628).
- erspan: fix version 1 check in gre_parse_header() (bsc#1012628).
- net: hdlc_ppp: Fix issues when mod_timer is called while timer
is running (bsc#1012628).
- bareudp: set NETIF_F_LLTX flag (bsc#1012628).
- bareudp: Fix use of incorrect min_headroom size (bsc#1012628).
- vhost_net: fix ubuf refcount incorrectly when sendmsg fails
(bsc#1012628).
- r8169: work around power-saving bug on some chip versions
(bsc#1012628).
- net: dsa: lantiq_gswip: Enable GSWIP_MII_CFG_EN also for
internal PHYs (bsc#1012628).
- net: dsa: lantiq_gswip: Fix GSWIP_MII_CFG(p) register access
(bsc#1012628).
- CDC-NCM: remove "connected" log message (bsc#1012628).
- ibmvnic: fix: NULL pointer dereference (bsc#1012628).
- net: usb: qmi_wwan: add Quectel EM160R-GL (bsc#1012628).
- selftests: mlxsw: Set headroom size of correct port
(bsc#1012628).
- stmmac: intel: Add PCI IDs for TGL-H platform (bsc#1012628).
- selftests/vm: fix building protection keys test (bsc#1012628).
- block: add debugfs stanza for QUEUE_FLAG_NOWAIT (bsc#1012628).
- workqueue: Kick a worker based on the actual activation of
delayed works (bsc#1012628).
- scsi: ufs: Fix wrong print message in dev_err() (bsc#1012628).
- scsi: ufs-pci: Fix restore from S4 for Intel controllers
(bsc#1012628).
- scsi: ufs-pci: Ensure UFS device is in PowerDown mode for
suspend-to-disk ->poweroff() (bsc#1012628).
- scsi: ufs-pci: Fix recovery from hibernate exit errors for
Intel controllers (bsc#1012628).
- scsi: ufs-pci: Enable UFSHCD_CAP_RPM_AUTOSUSPEND for Intel
controllers (bsc#1012628).
- scsi: block: Introduce BLK_MQ_REQ_PM (bsc#1012628).
- scsi: ide: Do not set the RQF_PREEMPT flag for sense requests
(bsc#1012628).
- scsi: ide: Mark power management requests with RQF_PM instead
of RQF_PREEMPT (bsc#1012628).
- scsi: scsi_transport_spi: Set RQF_PM for domain validation
commands (bsc#1012628).
- scsi: core: Only process PM requests if rpm_status != RPM_ACTIVE
(bsc#1012628).
- local64.h: make <asm/local64.h> mandatory (bsc#1012628).
- lib/genalloc: fix the overflow when size is too big
(bsc#1012628).
- depmod: handle the case of /sbin/depmod without /sbin in PATH
(bsc#1012628).
- scsi: ufs: Clear UAC for FFU and RPMB LUNs (bsc#1012628).
- kbuild: don't hardcode depmod path (bsc#1012628).
- Bluetooth: revert: hci_h5: close serdev device and free hu in
h5_close (bsc#1012628).
- scsi: block: Remove RQF_PREEMPT and BLK_MQ_REQ_PREEMPT
(bsc#1012628).
- scsi: block: Do not accept any requests while suspended
(bsc#1012628).
- crypto: ecdh - avoid buffer overflow in ecdh_set_secret()
(bsc#1012628).
- crypto: asym_tpm: correct zero out potential secrets
(bsc#1012628).
- powerpc: Handle .text.{hot,unlikely}.* in linker script
(bsc#1012628).
- Staging: comedi: Return -EFAULT if copy_to_user() fails
(bsc#1012628).
- staging: mt7621-dma: Fix a resource leak in an error handling
path (bsc#1012628).
- usb: gadget: enable super speed plus (bsc#1012628).
- USB: cdc-acm: blacklist another IR Droid device (bsc#1012628).
- USB: cdc-wdm: Fix use after free in
service_outstanding_interrupt() (bsc#1012628).
- usb: typec: intel_pmc_mux: Configure HPD first for HPD+IRQ
request (bsc#1012628).
- usb: dwc3: meson-g12a: disable clk on error handling path in
probe (bsc#1012628).
- usb: dwc3: gadget: Restart DWC3 gadget when enabling pullup
(bsc#1012628).
- usb: dwc3: gadget: Clear wait flag on dequeue (bsc#1012628).
- usb: dwc3: ulpi: Use VStsDone to detect PHY regs access
completion (bsc#1012628).
- usb: dwc3: ulpi: Replace CPU-based busyloop with Protocol-based
one (bsc#1012628).
- usb: dwc3: ulpi: Fix USB2.0 HS/FS/LS PHY suspend regression
(bsc#1012628).
- usb: chipidea: ci_hdrc_imx: add missing put_device() call in
usbmisc_get_init_data() (bsc#1012628).
- USB: xhci: fix U1/U2 handling for hardware with XHCI_INTEL_HOST
quirk set (bsc#1012628).
- usb: usbip: vhci_hcd: protect shift size (bsc#1012628).
- usb: uas: Add PNY USB Portable SSD to unusual_uas (bsc#1012628).
- USB: serial: iuu_phoenix: fix DMA from stack (bsc#1012628).
- USB: serial: option: add LongSung M5710 module support
(bsc#1012628).
- USB: serial: option: add Quectel EM160R-GL (bsc#1012628).
- USB: yurex: fix control-URB timeout handling (bsc#1012628).
- USB: usblp: fix DMA to stack (bsc#1012628).
- ALSA: usb-audio: Fix UBSAN warnings for MIDI jacks
(bsc#1012628).
- usb: gadget: select CONFIG_CRC32 (bsc#1012628).
- USB: Gadget: dummy-hcd: Fix shift-out-of-bounds bug
(bsc#1012628).
- usb: gadget: f_uac2: reset wMaxPacketSize (bsc#1012628).
- usb: gadget: function: printer: Fix a memory leak for interface
descriptor (bsc#1012628).
- usb: gadget: u_ether: Fix MTU size mismatch with RX packet size
(bsc#1012628).
- USB: gadget: legacy: fix return error code in acm_ms_bind()
(bsc#1012628).
- usb: gadget: Fix spinlock lockup on usb_function_deactivate
(bsc#1012628).
- usb: gadget: configfs: Preserve function ordering after bind
failure (bsc#1012628).
- usb: gadget: configfs: Fix use-after-free issue with udc_name
(bsc#1012628).
- USB: serial: keyspan_pda: remove unused variable (bsc#1012628).
- hwmon: (amd_energy) fix allocation of hwmon_channel_info config
(bsc#1012628).
- mm: make wait_on_page_writeback() wait for multiple pending
writebacks (bsc#1012628).
- x86/mm: Fix leak of pmd ptlock (bsc#1012628).
- KVM: x86/mmu: Use -1 to flag an undefined spte in
get_mmio_spte() (bsc#1012628).
- KVM: x86/mmu: Get root level from walkers when retrieving MMIO
SPTE (bsc#1012628).
- kvm: check tlbs_dirty directly (bsc#1012628).
- KVM: x86/mmu: Ensure TDP MMU roots are freed after yield
(bsc#1012628).
- x86/resctrl: Use an IPI instead of task_work_add() to update
PQR_ASSOC MSR (bsc#1012628).
- x86/resctrl: Don't move a task to the same resource group
(bsc#1012628).
- blk-iocost: fix NULL iocg deref from racing against
initialization (bsc#1012628).
- ALSA: hda/via: Fix runtime PM for Clevo W35xSS (bsc#1012628).
- ALSA: hda/conexant: add a new hda codec CX11970 (bsc#1012628).
- ALSA: hda/realtek - Fix speaker volume control on Lenovo C940
(bsc#1012628).
- ALSA: hda/realtek: Add mute LED quirk for more HP laptops
(bsc#1012628).
- ALSA: hda/realtek: Enable mute and micmute LED on HP EliteBook
850 G7 (bsc#1012628).
- ALSA: hda/realtek: Add two "Intel Reference board" SSID in
the ALC256 (bsc#1012628).
- iommu/vt-d: Move intel_iommu info from struct intel_svm to
struct intel_svm_dev (bsc#1012628).
- btrfs: qgroup: don't try to wait flushing if we're already
holding a transaction (bsc#1012628).
- btrfs: send: fix wrong file path when there is an inode with
a pending rmdir (bsc#1012628).
- Revert "device property: Keep secondary firmware node secondary
by type" (bsc#1012628).
- dmabuf: fix use-after-free of dmabuf's file->f_inode
(bsc#1012628).
- arm64: link with -z norelro for LLD or aarch64-elf
(bsc#1012628).
- drm/i915: clear the shadow batch (bsc#1012628).
- drm/i915: clear the gpu reloc batch (bsc#1012628).
- bcache: fix typo from SUUP to SUPP in features.h (bsc#1012628).
- bcache: check unsupported feature sets for bcache register
(bsc#1012628).
- bcache: introduce BCH_FEATURE_INCOMPAT_LOG_LARGE_BUCKET_SIZE
for large bucket (bsc#1012628).
- net/mlx5e: Fix SWP offsets when vlan inserted by driver
(bsc#1012628).
- ARM: dts: OMAP3: disable AES on N950/N9 (bsc#1012628).
- netfilter: x_tables: Update remaining dereference to RCU
(bsc#1012628).
- netfilter: ipset: fix shift-out-of-bounds in htable_bits()
(bsc#1012628).
- netfilter: xt_RATEEST: reject non-null terminated string from
userspace (bsc#1012628).
- netfilter: nft_dynset: report EOPNOTSUPP on missing set feature
(bsc#1012628).
- dmaengine: idxd: off by one in cleanup code (bsc#1012628).
- x86/mtrr: Correct the range check before performing MTRR type
lookups (bsc#1012628).
- KVM: x86: fix shift out of bounds reported by UBSAN
(bsc#1012628).
- xsk: Fix memory leak for failed bind (bsc#1012628).
- rtlwifi: rise completion at the last step of firmware callback
(bsc#1012628).
- scsi: target: Fix XCOPY NAA identifier lookup (bsc#1012628).
- commit 1ca962e
-------------------------------------------------------------------
Mon Jan 11 06:54:38 CET 2021 - mkubecek@suse.cz
- Update to 5.11-rc3
- update configs
- NULL_TTY=m
- AQTION=m (also on other architectures than x86_64 and arm64)
- commit 840b25f
-------------------------------------------------------------------
Sun Jan 10 09:48:22 CET 2021 - jslaby@suse.cz
- Linux 5.10.6 (bsc#1012628).
- Revert "drm/amd/display: Fix memory leaks in S3 resume"
(bsc#1012628).
- Revert "mtd: spinand: Fix OOB read" (bsc#1012628).
- rtc: pcf2127: move watchdog initialisation to a separate
function (bsc#1012628).
- rtc: pcf2127: only use watchdog when explicitly available
(bsc#1012628).
- dt-bindings: rtc: add reset-source property (bsc#1012628).
- kdev_t: always inline major/minor helper functions
(bsc#1012628).
- Bluetooth: Fix attempting to set RPA timeout when unsupported
(bsc#1012628).
- ALSA: hda/realtek - Modify Dell platform name (bsc#1012628).
- drm/i915/tgl: Fix Combo PHY DPLL fractional divider for 38.4MHz
ref clock (bsc#1012628).
- scsi: ufs: Allow an error return value from ->device_reset()
(bsc#1012628).
- scsi: ufs: Re-enable WriteBooster after device reset
(bsc#1012628).
- RDMA/core: remove use of dma_virt_ops (bsc#1012628).
- RDMA/siw,rxe: Make emulated devices virtual in the device tree
(bsc#1012628).
- fuse: fix bad inode (bsc#1012628).
- perf: Break deadlock involving exec_update_mutex (bsc#1012628).
- rwsem: Implement down_read_killable_nested (bsc#1012628).
- rwsem: Implement down_read_interruptible (bsc#1012628).
- exec: Transform exec_update_mutex into a rw_semaphore
(bsc#1012628).
- mwifiex: Fix possible buffer overflows in
mwifiex_cmd_802_11_ad_hoc_start (bsc#1012628).
- commit 5bdc505
-------------------------------------------------------------------
Sat Jan 9 12:51:37 CET 2021 - mbrugger@suse.com
- regulator: mt6323: Add OF match table (bsc#1180731).
- regulator: mt6358: Add OF match table (bsc#1180731).
- regulator: mt6360: Add OF match table (bsc#1180731).
- commit a930122
-------------------------------------------------------------------
Sat Jan 9 12:33:50 CET 2021 - mbrugger@suse.com
- regulator: mt6323: Add OF match table (bsc#1180731).
- regulator: mt6358: Add OF match table (bsc#1180731).
- regulator: mt6360: Add OF match table (bsc#1180731).
- commit b8fd94e
-------------------------------------------------------------------
Fri Jan 8 15:01:36 CET 2021 - tiwai@suse.de
- drm: bail out of nouveau_channel_new if channel init fails
(CVE-2020-25639 bsc#1176846).
- commit c1cbbd6
-------------------------------------------------------------------
Thu Jan 7 21:12:05 CET 2021 - mkubecek@suse.cz
- irq: export irq_check_status_bit symbol.
Fix aarch64 builds.
- commit 74f9771
-------------------------------------------------------------------
Thu Jan 7 01:16:04 CET 2021 - mkubecek@suse.cz
- config: refresh arm configs
- now available: DEBUG_INFO_BTF_MODULES=y
- commit e9c4359
-------------------------------------------------------------------
Thu Jan 7 01:10:59 CET 2021 - mkubecek@suse.cz
- iwlwifi: dbg: Don't touch the tlv data (bsc#1180344).
- commit cba8ab9
-------------------------------------------------------------------
Wed Jan 6 19:20:56 CET 2021 - jslaby@suse.cz
- drm/docs: Fix todo.rst (git-fixes).
- net/sched: sch_taprio: ensure to reset/destroy all child qdiscs
(git-fixes).
- commit 19815f3
-------------------------------------------------------------------
Wed Jan 6 19:16:56 CET 2021 - jslaby@suse.cz
- Linux 5.10.5 (bsc#1012628).
- net/sched: sch_taprio: reset child qdiscs before freeing them
(bsc#1012628).
- mptcp: fix security context on server socket (bsc#1012628).
- ethtool: fix error paths in ethnl_set_channels() (bsc#1012628).
- ethtool: fix string set id check (bsc#1012628).
- md/raid10: initialize r10_bio->read_slot before use
(bsc#1012628).
- drm/amd/display: Add get_dig_frontend implementation for DCEx
(bsc#1012628).
- io_uring: close a small race gap for files cancel (bsc#1012628).
- jffs2: Allow setting rp_size to zero during remounting
(bsc#1012628).
- jffs2: Fix NULL pointer dereference in rp_size fs option parsing
(bsc#1012628).
- spi: dw-bt1: Fix undefined devm_mux_control_get symbol
(bsc#1012628).
- opp: fix memory leak in _allocate_opp_table (bsc#1012628).
- opp: Call the missing clk_put() on error (bsc#1012628).
- scsi: block: Fix a race in the runtime power management code
(bsc#1012628).
- mm/hugetlb: fix deadlock in hugetlb_cow error path
(bsc#1012628).
- mm: memmap defer init doesn't work as expected (bsc#1012628).
- lib/zlib: fix inflating zlib streams on s390 (bsc#1012628).
- io_uring: don't assume mm is constant across submits
(bsc#1012628).
- io_uring: use bottom half safe lock for fixed file data
(bsc#1012628).
- io_uring: add a helper for setting a ref node (bsc#1012628).
- io_uring: fix io_sqe_files_unregister() hangs (bsc#1012628).
- uapi: move constants from <linux/kernel.h> to <linux/const.h>
(bsc#1012628).
- tools headers UAPI: Sync linux/const.h with the kernel headers
(bsc#1012628).
- cgroup: Fix memory leak when parsing multiple source parameters
(bsc#1012628).
- zlib: move EXPORT_SYMBOL() and MODULE_LICENSE() out of
dfltcc_syms.c (bsc#1012628).
- scsi: cxgb4i: Fix TLS dependency (bsc#1012628).
- Bluetooth: hci_h5: close serdev device and free hu in h5_close
(bsc#1012628).
- fbcon: Disable accelerated scrolling (bsc#1012628).
- reiserfs: add check for an invalid ih_entry_count (bsc#1012628).
- misc: vmw_vmci: fix kernel info-leak by initializing dbells
in vmci_ctx_get_chkpt_doorbells() (bsc#1012628).
- media: gp8psk: initialize stats at power control logic
(bsc#1012628).
- f2fs: fix shift-out-of-bounds in sanity_check_raw_super()
(bsc#1012628).
- ALSA: seq: Use bool for snd_seq_queue internal flags
(bsc#1012628).
- ALSA: rawmidi: Access runtime->avail always in spinlock
(bsc#1012628).
- bfs: don't use WARNING: string when it's just info
(bsc#1012628).
- ext4: check for invalid block size early when mounting a file
system (bsc#1012628).
- fcntl: Fix potential deadlock in send_sig{io, urg}()
(bsc#1012628).
- io_uring: check kthread stopped flag when sq thread is unparked
(bsc#1012628).
- rtc: sun6i: Fix memleak in sun6i_rtc_clk_init (bsc#1012628).
- module: set MODULE_STATE_GOING state when a module fails to load
(bsc#1012628).
- quota: Don't overflow quota file offsets (bsc#1012628).
- rtc: pl031: fix resource leak in pl031_probe (bsc#1012628).
- powerpc: sysdev: add missing iounmap() on error in
mpic_msgr_probe() (bsc#1012628).
- i3c master: fix missing destroy_workqueue() on error in
i3c_master_register (bsc#1012628).
- NFSv4: Fix a pNFS layout related use-after-free race when
freeing the inode (bsc#1012628).
- f2fs: avoid race condition for shrinker count (bsc#1012628).
- f2fs: fix race of pending_pages in decompression (bsc#1012628).
- module: delay kobject uevent until after module init call
(bsc#1012628).
- powerpc/64: irq replay remove decrementer overflow check
(bsc#1012628).
- fs/namespace.c: WARN if mnt_count has become negative
(bsc#1012628).
- watchdog: rti-wdt: fix reference leak in rti_wdt_probe
(bsc#1012628).
- um: random: Register random as hwrng-core device (bsc#1012628).
- um: ubd: Submit all data segments atomically (bsc#1012628).
- NFSv4.2: Don't error when exiting early on a READ_PLUS buffer
overflow (bsc#1012628).
- ceph: fix inode refcount leak when ceph_fill_inode on non-I_NEW
inode fails (bsc#1012628).
- drm/amd/display: updated wm table for Renoir (bsc#1012628).
- tick/sched: Remove bogus boot "safety" check (bsc#1012628).
- s390: always clear kernel stack backchain before calling
functions (bsc#1012628).
- io_uring: remove racy overflow list fast checks (bsc#1012628).
- ALSA: pcm: Clear the full allocated memory at hw_params
(bsc#1012628).
- dm verity: skip verity work if I/O error when system is shutting
down (bsc#1012628).
- ext4: avoid s_mb_prefetch to be zero in individual scenarios
(bsc#1012628).
- device-dax: Fix range release (bsc#1012628).
- Refresh patches.suse/supported-flag.
- commit 3bb334e
-------------------------------------------------------------------
Wed Jan 6 00:09:56 CET 2021 - dmueller@suse.com
- config.conf: Reenable armv6hl/armv7hl/arm64
- Update config files:
* Settings copied from x86_64 update
* arm specific options are =m except if debug or test, =y otherwise
- commit 1fc3034
-------------------------------------------------------------------
Tue Jan 5 15:29:49 CET 2021 - tiwai@suse.de
- Revert "drm/amd/display: Fixed Intermittent blue screen on
OLED panel" (bsc#1180404).
- commit 086fc4c
-------------------------------------------------------------------
Tue Jan 5 12:46:30 CET 2021 - tiwai@suse.de
- ALSA: hda/hdmi: Fix incorrect mutex unlock in
silent_stream_disable() (bsc#1180543).
- commit 010df91
-------------------------------------------------------------------
Mon Jan 4 06:56:34 CET 2021 - mkubecek@suse.cz
- Update to 5.11-rc2
- commit b4a462c
-------------------------------------------------------------------
Wed Dec 30 14:10:15 CET 2020 - jslaby@suse.cz
- drm/panfrost: Remove unused variables in panfrost_job_close()
(git-fixes).
- commit 4169c1f
-------------------------------------------------------------------
Wed Dec 30 13:33:35 CET 2020 - jslaby@suse.cz
- drm/panfrost: Don't corrupt the queue mutex on open/close
(git-fixes).
- RDMA/hns: Avoid filling sl in high 3 bits of vlan_id
(git-fixes).
- scsi: lpfc: Fix variable 'vport' set but not used in
lpfc_sli4_abts_err_handler() (git-fixes).
- commit 77d98b1
-------------------------------------------------------------------
Wed Dec 30 13:26:17 CET 2020 - jslaby@suse.cz
- Linux 5.10.4 (bsc#1012628).
- hwmon: (k10temp) Remove support for displaying voltage and
current on Zen CPUs (bsc#1012628).
- drm/gma500: fix double free of gma_connector (bsc#1012628).
- iio: adc: at91_adc: add Kconfig dep on the OF symbol and remove
of_match_ptr() (bsc#1012628).
- drm/aspeed: Fix Kconfig warning & subsequent build errors
(bsc#1012628).
- drm/mcde: Fix handling of platform_get_irq() error
(bsc#1012628).
- drm/tve200: Fix handling of platform_get_irq() error
(bsc#1012628).
- arm64: dts: renesas: hihope-rzg2-ex: Drop rxc-skew-ps from
ethernet-phy node (bsc#1012628).
- arm64: dts: renesas: cat875: Remove rxc-skew-ps from
ethernet-phy node (bsc#1012628).
- soc: renesas: rmobile-sysc: Fix some leaks in
rmobile_init_pm_domains() (bsc#1012628).
- soc: mediatek: Check if power domains can be powered on at
boot time (bsc#1012628).
- arm64: dts: mediatek: mt8183: fix gce incorrect mbox-cells value
(bsc#1012628).
- arm64: dts: ipq6018: update the reserved-memory node
(bsc#1012628).
- arm64: dts: qcom: sc7180: Fix one forgotten interconnect
reference (bsc#1012628).
- soc: qcom: geni: More properly switch to DMA mode (bsc#1012628).
- Revert "i2c: i2c-qcom-geni: Fix DMA transfer race"
(bsc#1012628).
- RDMA/bnxt_re: Set queue pair state when being queried
(bsc#1012628).
- rtc: pcf2127: fix pcf2127_nvmem_read/write() returns
(bsc#1012628).
- RDMA/bnxt_re: Fix entry size during SRQ create (bsc#1012628).
- selinux: fix error initialization in inode_doinit_with_dentry()
(bsc#1012628).
- ARM: dts: aspeed-g6: Fix the GPIO memory size (bsc#1012628).
- ARM: dts: aspeed: s2600wf: Fix VGA memory region location
(bsc#1012628).
- RDMA/core: Fix error return in _ib_modify_qp() (bsc#1012628).
- RDMA/rxe: Compute PSN windows correctly (bsc#1012628).
- x86/mm/ident_map: Check for errors from ident_pud_init()
(bsc#1012628).
- ARM: p2v: fix handling of LPAE translation in BE mode
(bsc#1012628).
- RDMA/rtrs-clt: Remove destroy_con_cq_qp in case route resolving
failed (bsc#1012628).
- RDMA/rtrs-clt: Missing error from rtrs_rdma_conn_established
(bsc#1012628).
- RDMA/rtrs-srv: Don't guard the whole __alloc_srv with srv_mutex
(bsc#1012628).
- x86/apic: Fix x2apic enablement without interrupt remapping
(bsc#1012628).
- ASoC: qcom: fix unsigned int bitwidth compared to less than zero
(bsc#1012628).
- sched/deadline: Fix sched_dl_global_validate() (bsc#1012628).
- sched: Reenable interrupts in do_sched_yield() (bsc#1012628).
- drm/amdgpu: fix incorrect enum type (bsc#1012628).
- crypto: talitos - Endianess in current_desc_hdr() (bsc#1012628).
- crypto: talitos - Fix return type of current_desc_hdr()
(bsc#1012628).
- crypto: inside-secure - Fix sizeof() mismatch (bsc#1012628).
- ASoC: sun4i-i2s: Fix lrck_period computation for I2S justified
mode (bsc#1012628).
- drm/msm: Add missing stub definition (bsc#1012628).
- ARM: dts: aspeed: tiogapass: Remove vuart (bsc#1012628).
- drm/amdgpu: fix build_coefficients() argument (bsc#1012628).
- powerpc/64: Set up a kernel stack for secondaries before
cpu_restore() (bsc#1012628).
- spi: img-spfi: fix reference leak in img_spfi_resume
(bsc#1012628).
- f2fs: call f2fs_get_meta_page_retry for nat page (bsc#1012628).
- RDMA/mlx5: Fix corruption of reg_pages in
mlx5_ib_rereg_user_mr() (bsc#1012628).
- perf test: Use generic event for expand_libpfm_events()
(bsc#1012628).
- drm/msm/dp: DisplayPort PHY compliance tests fixup
(bsc#1012628).
- drm/msm/dsi_pll_7nm: restore VCO rate during restore_state
(bsc#1012628).
- drm/msm/dsi_pll_10nm: restore VCO rate during restore_state
(bsc#1012628).
- drm/msm/dpu: fix clock scaling on non-sc7180 board
(bsc#1012628).
- spi: spi-mem: fix reference leak in spi_mem_access_start
(bsc#1012628).
- scsi: aacraid: Improve compat_ioctl handlers (bsc#1012628).
- pinctrl: core: Add missing #ifdef CONFIG_GPIOLIB (bsc#1012628).
- ASoC: pcm: DRAIN support reactivation (bsc#1012628).
- drm/bridge: tpd12s015: Fix irq registering in tpd12s015_probe
(bsc#1012628).
- crypto: arm64/poly1305-neon - reorder PAC authentication with
SP update (bsc#1012628).
- crypto: arm/aes-neonbs - fix usage of cbc(aes) fallback
(bsc#1012628).
- crypto: caam - fix printing on xts fallback allocation error
path (bsc#1012628).
- selinux: fix inode_doinit_with_dentry() LABEL_INVALID error
handling (bsc#1012628).
- nl80211/cfg80211: fix potential infinite loop (bsc#1012628).
- spi: stm32: fix reference leak in stm32_spi_resume
(bsc#1012628).
- bpf: Fix tests for local_storage (bsc#1012628).
- x86/mce: Correct the detection of invalid notifier priorities
(bsc#1012628).
- drm/edid: Fix uninitialized variable in drm_cvt_modes()
(bsc#1012628).
- ath11k: Initialize complete alpha2 for regulatory change
(bsc#1012628).
- ath11k: Fix number of rules in filtered ETSI regdomain
(bsc#1012628).
- ath11k: fix wmi init configuration (bsc#1012628).
- brcmfmac: Fix memory leak for unpaired brcmf_{alloc/free}
(bsc#1012628).
- arm64: dts: exynos: Include common syscon restart/poweroff
for Exynos7 (bsc#1012628).
- arm64: dts: exynos: Correct psci compatible used on Exynos7
(bsc#1012628).
- drm/panel: simple: Add flags to boe_nv133fhm_n61 (bsc#1012628).
- Bluetooth: Fix null pointer dereference in hci_event_packet()
(bsc#1012628).
- Bluetooth: Fix: LL PRivacy BLE device fails to connect
(bsc#1012628).
- Bluetooth: hci_h5: fix memory leak in h5_close (bsc#1012628).
- spi: stm32-qspi: fix reference leak in stm32 qspi operations
(bsc#1012628).
- spi: spi-ti-qspi: fix reference leak in ti_qspi_setup
(bsc#1012628).
- spi: mt7621: fix missing clk_disable_unprepare() on error in
mt7621_spi_probe (bsc#1012628).
- spi: tegra20-slink: fix reference leak in slink ops of tegra20
(bsc#1012628).
- spi: tegra20-sflash: fix reference leak in tegra_sflash_resume
(bsc#1012628).
- spi: tegra114: fix reference leak in tegra spi ops
(bsc#1012628).
- spi: bcm63xx-hsspi: fix missing clk_disable_unprepare() on
error in bcm63xx_hsspi_resume (bsc#1012628).
- spi: imx: fix reference leak in two imx operations
(bsc#1012628).
- ASoC: qcom: common: Fix refcounting in qcom_snd_parse_of()
(bsc#1012628).
- ath11k: Handle errors if peer creation fails (bsc#1012628).
- mwifiex: fix mwifiex_shutdown_sw() causing sw reset failure
(bsc#1012628).
- drm/msm/a6xx: Clear shadow on suspend (bsc#1012628).
- drm/msm/a5xx: Clear shadow on suspend (bsc#1012628).
- firmware: tegra: fix strncpy()/strncat() confusion
(bsc#1012628).
- drm/msm/dp: return correct connection status after suspend
(bsc#1012628).
- drm/msm/dp: skip checking LINK_STATUS_UPDATED bit (bsc#1012628).
- drm/msm/dp: do not notify audio subsystem if sink doesn't
support audio (bsc#1012628).
- selftests/run_kselftest.sh: fix dry-run typo (bsc#1012628).
- selftest/bpf: Add missed ip6ip6 test back (bsc#1012628).
- ASoC: wm8994: Fix PM disable depth imbalance on error
(bsc#1012628).
- ASoC: wm8998: Fix PM disable depth imbalance on error
(bsc#1012628).
- spi: sprd: fix reference leak in sprd_spi_remove (bsc#1012628).
- virtiofs fix leak in setup (bsc#1012628).
- ASoC: arizona: Fix a wrong free in wm8997_probe (bsc#1012628).
- RDMa/mthca: Work around -Wenum-conversion warning (bsc#1012628).
- ASoC: SOF: Intel: fix Kconfig dependency for
SND_INTEL_DSP_CONFIG (bsc#1012628).
- arm64: dts: ti: k3-am65*/j721e*: Fix unit address format error
for dss node (bsc#1012628).
- MIPS: BCM47XX: fix kconfig dependency bug for BCM47XX_BCMA
(bsc#1012628).
- drm/amdgpu: fix compute queue priority if num_kcq is less than 4
(bsc#1012628).
- soc: ti: omap-prm: Do not check rstst bit on deassert if
already deasserted (bsc#1012628).
- crypto: Kconfig - CRYPTO_MANAGER_EXTRA_TESTS requires the
manager (bsc#1012628).
- crypto: qat - fix status check in qat_hal_put_rel_rd_xfer()
(bsc#1012628).
- firmware: arm_scmi: Fix missing destroy_workqueue()
(bsc#1012628).
- drm/udl: Fix missing error code in udl_handle_damage()
(bsc#1012628).
- staging: greybus: codecs: Fix reference counter leak in error
handling (bsc#1012628).
- staging: gasket: interrupt: fix the missed eventfd_ctx_put()
in gasket_interrupt.c (bsc#1012628).
- scripts: kernel-doc: Restore anonymous enum parsing
(bsc#1012628).
- drm/amdkfd: Put ACPI table after using it (bsc#1012628).
- ionic: use mc sync for multicast filters (bsc#1012628).
- ionic: flatten calls to ionic_lif_rx_mode (bsc#1012628).
- ionic: change set_rx_mode from_ndo to can_sleep (bsc#1012628).
- media: tm6000: Fix sizeof() mismatches (bsc#1012628).
- media: platform: add missing put_device() call in
mtk_jpeg_clk_init() (bsc#1012628).
- media: mtk-vcodec: add missing put_device() call in
mtk_vcodec_init_dec_pm() (bsc#1012628).
- media: mtk-vcodec: add missing put_device() call in
mtk_vcodec_release_dec_pm() (bsc#1012628).
- media: mtk-vcodec: add missing put_device() call in
mtk_vcodec_init_enc_pm() (bsc#1012628).
- media: v4l2-fwnode: Return -EINVAL for invalid bus-type
(bsc#1012628).
- media: v4l2-fwnode: v4l2_fwnode_endpoint_parse caller must
init vep argument (bsc#1012628).
- media: ov5640: fix support of BT656 bus mode (bsc#1012628).
- media: staging: rkisp1: cap: fix runtime PM imbalance on error
(bsc#1012628).
- media: cedrus: fix reference leak in cedrus_start_streaming
(bsc#1012628).
- media: platform: add missing put_device() call in
mtk_jpeg_probe() and mtk_jpeg_remove() (bsc#1012628).
- media: venus: core: change clk enable and disable order in
resume and suspend (bsc#1012628).
- media: venus: core: vote for video-mem path (bsc#1012628).
- media: venus: core: vote with average bandwidth and peak
bandwidth as zero (bsc#1012628).
- RDMA/cma: Add missing error handling of listen_id (bsc#1012628).
- ASoC: meson: fix COMPILE_TEST error (bsc#1012628).
- spi: dw: fix build error by selecting MULTIPLEXER (bsc#1012628).
- scsi: core: Fix VPD LUN ID designator priorities (bsc#1012628).
- media: venus: put dummy vote on video-mem path after last
session release (bsc#1012628).
- media: solo6x10: fix missing snd_card_free in error handling
case (bsc#1012628).
- video: fbdev: atmel_lcdfb: fix return error code in
atmel_lcdfb_of_init() (bsc#1012628).
- mmc: sdhci: tegra: fix wrong unit with busy_timeout
(bsc#1012628).
- drm/omap: dmm_tiler: fix return error code in omap_dmm_probe()
(bsc#1012628).
- drm/meson: Free RDMA resources after tearing down DRM
(bsc#1012628).
- drm/meson: Unbind all connectors on module removal
(bsc#1012628).
- drm/meson: dw-hdmi: Register a callback to disable the regulator
(bsc#1012628).
- drm/meson: dw-hdmi: Ensure that clocks are enabled before
touching the TOP registers (bsc#1012628).
- ASoC: intel: SND_SOC_INTEL_KEEMBAY should depend on ARCH_KEEMBAY
(bsc#1012628).
- iommu/vt-d: include conditionally on CONFIG_INTEL_IOMMU_SVM
(bsc#1012628).
- Input: ads7846 - fix race that causes missing releases
(bsc#1012628).
- Input: ads7846 - fix integer overflow on Rt calculation
(bsc#1012628).
- Input: ads7846 - fix unaligned access on 7845 (bsc#1012628).
- bus: mhi: core: Remove double locking from mhi_driver_remove()
(bsc#1012628).
- bus: mhi: core: Fix null pointer access when parsing MHI
configuration (bsc#1012628).
- usb/max3421: fix return error code in max3421_probe()
(bsc#1012628).
- spi: mxs: fix reference leak in mxs_spi_probe (bsc#1012628).
- selftests/bpf: Fix broken riscv build (bsc#1012628).
- powerpc: Avoid broken GCC __attribute__((optimize))
(bsc#1012628).
- powerpc/feature: Fix CPU_FTRS_ALWAYS by removing
CPU_FTRS_GENERIC_32 (bsc#1012628).
- ARM: dts: tacoma: Fix node vs reg mismatch for flash memory
(bsc#1012628).
- Revert "powerpc/pseries/hotplug-cpu: Remove double free in
error path" (bsc#1012628).
- powerpc/powernv/sriov: fix unsigned int win compared to less
than zero (bsc#1012628).
- mfd: htc-i2cpld: Add the missed i2c_put_adapter() in
htcpld_register_chip_i2c() (bsc#1012628).
- mfd: MFD_SL28CPLD should depend on ARCH_LAYERSCAPE
(bsc#1012628).
- mfd: stmfx: Fix dev_err_probe() call in stmfx_chip_init()
(bsc#1012628).
- mfd: cpcap: Fix interrupt regression with regmap clear_ack
(bsc#1012628).
- EDAC/mce_amd: Use struct cpuinfo_x86.cpu_die_id for AMD NodeId
(bsc#1012628).
- scsi: ufs: Avoid to call REQ_CLKS_OFF to CLKS_OFF (bsc#1012628).
- scsi: ufs: Fix clkgating on/off (bsc#1012628).
- rcu: Allow rcu_irq_enter_check_tick() from NMI (bsc#1012628).
- rcu,ftrace: Fix ftrace recursion (bsc#1012628).
- rcu/tree: Defer kvfree_rcu() allocation to a clean context
(bsc#1012628).
- crypto: crypto4xx - Replace bitwise OR with logical OR in
crypto4xx_build_pd (bsc#1012628).
- crypto: omap-aes - Fix PM disable depth imbalance in
omap_aes_probe (bsc#1012628).
- crypto: sun8i-ce - fix two error path's memory leak
(bsc#1012628).
- spi: fix resource leak for drivers without .remove callback
(bsc#1012628).
- drm/meson: dw-hdmi: Disable clocks on driver teardown
(bsc#1012628).
- drm/meson: dw-hdmi: Enable the iahb clock early enough
(bsc#1012628).
- PCI: Disable MSI for Pericom PCIe-USB adapter (bsc#1012628).
- PCI: brcmstb: Initialize "tmp" before use (bsc#1012628).
- soc: ti: knav_qmss: fix reference leak in knav_queue_probe
(bsc#1012628).
- soc: ti: Fix reference imbalance in knav_dma_probe
(bsc#1012628).
- drivers: soc: ti: knav_qmss_queue: Fix error return code in
knav_queue_probe (bsc#1012628).
- soc: qcom: initialize local variable (bsc#1012628).
- arm64: dts: qcom: sm8250: correct compatible for sm8250-mtp
(bsc#1012628).
- arm64: dts: qcom: msm8916-samsung-a2015: Disable muic i2c pin
bias (bsc#1012628).
- Input: omap4-keypad - fix runtime PM error handling
(bsc#1012628).
- clk: meson: Kconfig: fix dependency for G12A (bsc#1012628).
- staging: mfd: hi6421-spmi-pmic: fix error return code in
hi6421_spmi_pmic_probe() (bsc#1012628).
- ath11k: Fix the rx_filter flag setting for peer rssi stats
(bsc#1012628).
- RDMA/cxgb4: Validate the number of CQEs (bsc#1012628).
- soundwire: Fix DEBUG_LOCKS_WARN_ON for uninitialized attribute
(bsc#1012628).
- pinctrl: sunxi: fix irq bank map for the Allwinner A100 pin
controller (bsc#1012628).
- memstick: fix a double-free bug in memstick_check (bsc#1012628).
- ARM: dts: at91: sam9x60: add pincontrol for USB Host
(bsc#1012628).
- ARM: dts: at91: sama5d4_xplained: add pincontrol for USB Host
(bsc#1012628).
- ARM: dts: at91: sama5d3_xplained: add pincontrol for USB Host
(bsc#1012628).
- mmc: pxamci: Fix error return code in pxamci_probe
(bsc#1012628).
- brcmfmac: fix error return code in brcmf_cfg80211_connect()
(bsc#1012628).
- orinoco: Move context allocation after processing the skb
(bsc#1012628).
- qtnfmac: fix error return code in qtnf_pcie_probe()
(bsc#1012628).
- rsi: fix error return code in rsi_reset_card() (bsc#1012628).
- cw1200: fix missing destroy_workqueue() on error in
cw1200_init_common (bsc#1012628).
- dmaengine: mv_xor_v2: Fix error return code in mv_xor_v2_probe()
(bsc#1012628).
- arm64: dts: qcom: sdm845: Limit ipa iommu streams (bsc#1012628).
- leds: netxbig: add missing put_device() call in
netxbig_leds_get_of_pdata() (bsc#1012628).
- leds: lp50xx: Fix an error handling path in 'lp50xx_probe_dt()'
(bsc#1012628).
- leds: turris-omnia: check for LED_COLOR_ID_RGB instead
LED_COLOR_ID_MULTI (bsc#1012628).
- arm64: tegra: Fix DT binding for IO High Voltage entry
(bsc#1012628).
- RDMA/cma: Fix deadlock on &lock in rdma_cma_listen_on_all()
error unwind (bsc#1012628).
- soundwire: qcom: Fix build failure when slimbus is module
(bsc#1012628).
- drm/imx/dcss: fix rotations for Vivante tiled formats
(bsc#1012628).
- media: siano: fix memory leak of debugfs members in
smsdvb_hotplug (bsc#1012628).
- platform/x86: mlx-platform: Remove PSU EEPROM from default
platform configuration (bsc#1012628).
- platform/x86: mlx-platform: Remove PSU EEPROM from MSN274x
platform configuration (bsc#1012628).
- arm64: dts: qcom: sc7180: limit IPA iommu streams (bsc#1012628).
- RDMA/hns: Only record vlan info for HIP08 (bsc#1012628).
- RDMA/hns: Fix missing fields in address vector (bsc#1012628).
- RDMA/hns: Avoid setting loopback indicator when smac is same
as dmac (bsc#1012628).
- serial: 8250-mtk: Fix reference leak in mtk8250_probe
(bsc#1012628).
- samples: bpf: Fix lwt_len_hist reusing previous BPF map
(bsc#1012628).
- media: imx214: Fix stop streaming (bsc#1012628).
- mips: cdmm: fix use-after-free in mips_cdmm_bus_discover
(bsc#1012628).
- media: max2175: fix max2175_set_csm_mode() error code
(bsc#1012628).
- slimbus: qcom-ngd-ctrl: Avoid sending power requests without
QMI (bsc#1012628).
- RDMA/core: Track device memory MRs (bsc#1012628).
- drm/mediatek: Use correct aliases name for ovl (bsc#1012628).
- HSI: omap_ssi: Don't jump to free ID in ssi_add_controller()
(bsc#1012628).
- ARM: dts: Remove non-existent i2c1 from 98dx3236 (bsc#1012628).
- arm64: dts: armada-3720-turris-mox: update ethernet-phy handle
name (bsc#1012628).
- power: supply: bq25890: Use the correct range for IILIM register
(bsc#1012628).
- arm64: dts: rockchip: Set dr_mode to "host" for OTG on
rk3328-roc-cc (bsc#1012628).
- power: supply: max17042_battery: Fix current_{avg,now} hiding
with no current sense (bsc#1012628).
- power: supply: axp288_charger: Fix HP Pavilion x2 10 DMI
matching (bsc#1012628).
- power: supply: bq24190_charger: fix reference leak
(bsc#1012628).
- genirq/irqdomain: Don't try to free an interrupt that has no
mapping (bsc#1012628).
- arm64: dts: ls1028a: fix ENETC PTP clock input (bsc#1012628).
- arm64: dts: ls1028a: fix FlexSPI clock input (bsc#1012628).
- arm64: dts: freescale: sl28: combine SPI MTD partitions
(bsc#1012628).
- phy: tegra: xusb: Fix usb_phy device driver field (bsc#1012628).
- arm64: dts: qcom: c630: Polish i2c-hid devices (bsc#1012628).
- arm64: dts: qcom: c630: Fix pinctrl pins properties
(bsc#1012628).
- PCI: Bounds-check command-line resource alignment requests
(bsc#1012628).
- PCI: Fix overflow in command-line resource alignment requests
(bsc#1012628).
- PCI: iproc: Fix out-of-bound array accesses (bsc#1012628).
- PCI: iproc: Invalidate correct PAXB inbound windows
(bsc#1012628).
- arm64: dts: meson: fix spi-max-frequency on Khadas VIM2
(bsc#1012628).
- arm64: dts: meson-sm1: fix typo in opp table (bsc#1012628).
- soc: amlogic: canvas: add missing put_device() call in
meson_canvas_get() (bsc#1012628).
- scsi: hisi_sas: Fix up probe error handling for v3 hw
(bsc#1012628).
- scsi: pm80xx: Do not sleep in atomic context (bsc#1012628).
- spi: spi-fsl-dspi: Use max_native_cs instead of num_chipselect
to set SPI_MCR (bsc#1012628).
- ARM: dts: at91: at91sam9rl: fix ADC triggers (bsc#1012628).
- RDMA/hns: Fix 0-length sge calculation error (bsc#1012628).
- RDMA/hns: Bugfix for calculation of extended sge (bsc#1012628).
- mailbox: arm_mhu_db: Fix mhu_db_shutdown by replacing kfree
with devm_kfree (bsc#1012628).
- soundwire: master: use pm_runtime_set_active() on add
(bsc#1012628).
- platform/x86: dell-smbios-base: Fix error return code in
dell_smbios_init (bsc#1012628).
- ASoC: Intel: Boards: tgl_max98373: update TDM slot_width
(bsc#1012628).
- media: max9271: Fix GPIO enable/disable (bsc#1012628).
- media: rdacm20: Enable GPIO1 explicitly (bsc#1012628).
- media: i2c: imx219: Selection compliance fixes (bsc#1012628).
- ath11k: Don't cast ath11k_skb_cb to ieee80211_tx_info.control
(bsc#1012628).
- ath11k: Reset ath11k_skb_cb before setting new flags
(bsc#1012628).
- ath11k: Fix an error handling path (bsc#1012628).
- ath10k: Fix the parsing error in service available event
(bsc#1012628).
- ath10k: Fix an error handling path (bsc#1012628).
- ath10k: Release some resources in an error handling path
(bsc#1012628).
- SUNRPC: rpc_wake_up() should wake up tasks in the correct order
(bsc#1012628).
- NFSv4.2: condition READDIR's mask for security label based on
LSM state (bsc#1012628).
- SUNRPC: xprt_load_transport() needs to support the netid "rdma6"
(bsc#1012628).
- NFSv4: Fix the alignment of page data in the getdeviceinfo reply
(bsc#1012628).
- net: sunrpc: Fix 'snprintf' return value check in
'do_xprt_debugfs' (bsc#1012628).
- lockd: don't use interval-based rebinding over TCP
(bsc#1012628).
- NFS: switch nfsiod to be an UNBOUND workqueue (bsc#1012628).
- selftests/seccomp: Update kernel config (bsc#1012628).
- vfio-pci: Use io_remap_pfn_range() for PCI IO memory
(bsc#1012628).
- hwmon: (ina3221) Fix PM usage counter unbalance in
ina3221_write_enable (bsc#1012628).
- f2fs: fix double free of unicode map (bsc#1012628).
- media: tvp5150: Fix wrong return value of tvp5150_parse_dt()
(bsc#1012628).
- media: saa7146: fix array overflow in vidioc_s_audio()
(bsc#1012628).
- powerpc/perf: Fix crash with is_sier_available when pmu is
not set (bsc#1012628).
- powerpc/64: Fix an EMIT_BUG_ENTRY in head_64.S (bsc#1012628).
- powerpc/xmon: Fix build failure for 8xx (bsc#1012628).
- powerpc/perf: Fix to update radix_scope_qual in power10
(bsc#1012628).
- powerpc/perf: Update the PMU group constraints for l2l3 events
in power10 (bsc#1012628).
- powerpc/perf: Fix the PMU group constraints for threshold
events in power10 (bsc#1012628).
- clocksource/drivers/orion: Add missing clk_disable_unprepare()
on error path (bsc#1012628).
- clocksource/drivers/cadence_ttc: Fix memory leak in
ttc_setup_clockevent() (bsc#1012628).
- clocksource/drivers/ingenic: Fix section mismatch (bsc#1012628).
- clocksource/drivers/riscv: Make RISCV_TIMER depends on RISCV_SBI
(bsc#1012628).
- arm64: mte: fix prctl(PR_GET_TAGGED_ADDR_CTRL) if TCF0=NONE
(bsc#1012628).
- iio: hrtimer-trigger: Mark hrtimer to expire in hard interrupt
context (bsc#1012628).
- libbpf: Sanitise map names before pinning (bsc#1012628).
- ARM: dts: at91: sam9x60ek: remove bypass property (bsc#1012628).
- ARM: dts: at91: sama5d2: map securam as device (bsc#1012628).
- scripts: kernel-doc: fix parsing function-like typedefs
(bsc#1012628).
- bpf: Fix bpf_put_raw_tracepoint()'s use of __module_address()
(bsc#1012628).
- selftests/bpf: Fix invalid use of strncat in test_sockmap
(bsc#1012628).
- pinctrl: falcon: add missing put_device() call in
pinctrl_falcon_probe() (bsc#1012628).
- soc: rockchip: io-domain: Fix error return code in
rockchip_iodomain_probe() (bsc#1012628).
- arm64: dts: rockchip: Fix UART pull-ups on rk3328 (bsc#1012628).
- memstick: r592: Fix error return in r592_probe() (bsc#1012628).
- MIPS: Don't round up kernel sections size for memblock_add()
(bsc#1012628).
- mt76: mt7663s: fix a possible ple quota underflow (bsc#1012628).
- mt76: mt7915: set fops_sta_stats.owner to THIS_MODULE
(bsc#1012628).
- mt76: set fops_tx_stats.owner to THIS_MODULE (bsc#1012628).
- mt76: dma: fix possible deadlock running mt76_dma_cleanup
(bsc#1012628).
- net/mlx5: Properly convey driver version to firmware
(bsc#1012628).
- mt76: fix memory leak if device probing fails (bsc#1012628).
- mt76: fix tkip configuration for mt7615/7663 devices
(bsc#1012628).
- ASoC: jz4740-i2s: add missed checks for clk_get() (bsc#1012628).
- ASoC: q6afe-clocks: Add missing parent clock rate (bsc#1012628).
- dm ioctl: fix error return code in target_message (bsc#1012628).
- ASoC: cros_ec_codec: fix uninitialized memory read
(bsc#1012628).
- ASoC: atmel: mchp-spdifrx needs COMMON_CLK (bsc#1012628).
- ASoC: qcom: fix QDSP6 dependencies, attempt #3 (bsc#1012628).
- phy: mediatek: allow compile-testing the hdmi phy (bsc#1012628).
- phy: renesas: rcar-gen3-usb2: disable runtime pm in case of
failure (bsc#1012628).
- memory: ti-emif-sram: only build for ARMv7 (bsc#1012628).
- memory: jz4780_nemc: Fix potential NULL dereference in
jz4780_nemc_probe() (bsc#1012628).
- drm/msm: a5xx: Make preemption reset case reentrant
(bsc#1012628).
- drm/msm: add IOMMU_SUPPORT dependency (bsc#1012628).
- clocksource/drivers/arm_arch_timer: Use stable count reader
in erratum sne (bsc#1012628).
- clocksource/drivers/arm_arch_timer: Correct fault programming
of CNTKCTL_EL1.EVNTI (bsc#1012628).
- cpufreq: ap806: Add missing MODULE_DEVICE_TABLE (bsc#1012628).
- cpufreq: highbank: Add missing MODULE_DEVICE_TABLE
(bsc#1012628).
- cpufreq: mediatek: Add missing MODULE_DEVICE_TABLE
(bsc#1012628).
- cpufreq: qcom: Add missing MODULE_DEVICE_TABLE (bsc#1012628).
- cpufreq: st: Add missing MODULE_DEVICE_TABLE (bsc#1012628).
- cpufreq: sun50i: Add missing MODULE_DEVICE_TABLE (bsc#1012628).
- cpufreq: loongson1: Add missing MODULE_ALIAS (bsc#1012628).
- cpufreq: scpi: Add missing MODULE_ALIAS (bsc#1012628).
- cpufreq: vexpress-spc: Add missing MODULE_ALIAS (bsc#1012628).
- cpufreq: imx: fix NVMEM_IMX_OCOTP dependency (bsc#1012628).
- macintosh/adb-iop: Always wait for reply message from IOP
(bsc#1012628).
- macintosh/adb-iop: Send correct poll command (bsc#1012628).
- staging: bcm2835: fix vchiq_mmal dependencies (bsc#1012628).
- staging: greybus: audio: Fix possible leak free widgets in
gbaudio_dapm_free_controls (bsc#1012628).
- spi: dw: Fix error return code in dw_spi_bt1_probe()
(bsc#1012628).
- Bluetooth: btusb: Add the missed release_firmware() in
btusb_mtk_setup_firmware() (bsc#1012628).
- Bluetooth: btmtksdio: Add the missed release_firmware() in
mtk_setup_firmware() (bsc#1012628).
- Bluetooth: sco: Fix crash when using BT_SNDMTU/BT_RCVMTU option
(bsc#1012628).
- block/rnbd-clt: Dynamically alloc buffer for pathname &
blk_symlink_name (bsc#1012628).
- block/rnbd: fix a null pointer dereference on
dev->blk_symlink_name (bsc#1012628).
- Bluetooth: btusb: Fix detection of some fake CSR controllers
with a bcdDevice val of 0x0134 (bsc#1012628).
- platform/x86: intel-vbtn: Fix SW_TABLET_MODE always reporting
1 on some HP x360 models (bsc#1012628).
- adm8211: fix error return code in adm8211_probe() (bsc#1012628).
- mtd: spi-nor: sst: fix BPn bits for the SST25VF064C
(bsc#1012628).
- mtd: spi-nor: ignore errors in spi_nor_unlock_all()
(bsc#1012628).
- mtd: spi-nor: atmel: remove global protection flag
(bsc#1012628).
- mtd: spi-nor: atmel: fix unlock_all() for AT25FS010/040
(bsc#1012628).
- arm64: dts: meson: g12b: odroid-n2: fix PHY deassert timing
requirements (bsc#1012628).
- arm64: dts: meson: fix PHY deassert timing requirements
(bsc#1012628).
- ARM: dts: meson: fix PHY deassert timing requirements
(bsc#1012628).
- arm64: dts: meson: g12a: x96-max: fix PHY deassert timing
requirements (bsc#1012628).
- arm64: dts: meson: g12b: w400: fix PHY deassert timing
requirements (bsc#1012628).
- clk: fsl-sai: fix memory leak (bsc#1012628).
- scsi: qedi: Fix missing destroy_workqueue() on error in
__qedi_probe (bsc#1012628).
- scsi: pm80xx: Fix error return in pm8001_pci_probe()
(bsc#1012628).
- scsi: iscsi: Fix inappropriate use of put_device()
(bsc#1012628).
- seq_buf: Avoid type mismatch for seq_buf_init (bsc#1012628).
- scsi: fnic: Fix error return code in fnic_probe() (bsc#1012628).
- platform/x86: mlx-platform: Fix item counter assignment for
MSN2700, MSN24xx systems (bsc#1012628).
- platform/x86: mlx-platform: Fix item counter assignment for
MSN2700/ComEx system (bsc#1012628).
- ARM: 9030/1: entry: omit FP emulation for UND exceptions taken
in kernel mode (bsc#1012628).
- powerpc/pseries/hibernation: drop pseries_suspend_begin()
from suspend ops (bsc#1012628).
- powerpc/pseries/hibernation: remove redundant cacheinfo update
(bsc#1012628).
- powerpc/powermac: Fix low_sleep_handler with CONFIG_VMAP_STACK
(bsc#1012628).
- drm/mediatek: avoid dereferencing a null hdmi_phy on an error
message (bsc#1012628).
- ASoC: amd: change clk_get() to devm_clk_get() and add missed
checks (bsc#1012628).
- coresight: remove broken __exit annotations (bsc#1012628).
- ASoC: max98390: Fix error codes in max98390_dsm_init()
(bsc#1012628).
- powerpc/mm: sanity_check_fault() should work for all, not only
BOOK3S (bsc#1012628).
- usb: ehci-omap: Fix PM disable depth umbalance in
ehci_hcd_omap_probe (bsc#1012628).
- usb: oxu210hp-hcd: Fix memory leak in oxu_create (bsc#1012628).
- speakup: fix uninitialized flush_lock (bsc#1012628).
- nfsd: Fix message level for normal termination (bsc#1012628).
- NFSD: Fix 5 seconds delay when doing inter server copy
(bsc#1012628).
- nfs_common: need lock during iterate through the list
(bsc#1012628).
- x86/kprobes: Restore BTF if the single-stepping is cancelled
(bsc#1012628).
- scsi: qla2xxx: Fix FW initialization error on big endian
machines (bsc#1012628).
- scsi: qla2xxx: Fix N2N and NVMe connect retry failure
(bsc#1012628).
- platform/chrome: cros_ec_spi: Don't overwrite spi::mode
(bsc#1012628).
- misc: pci_endpoint_test: fix return value of error branch
(bsc#1012628).
- bus: fsl-mc: add back accidentally dropped error check
(bsc#1012628).
- bus: fsl-mc: fix error return code in fsl_mc_object_allocate()
(bsc#1012628).
- fsi: Aspeed: Add mutex to protect HW access (bsc#1012628).
- s390/cio: fix use-after-free in ccw_device_destroy_console
(bsc#1012628).
- iwlwifi: dbg-tlv: fix old length in is_trig_data_contained()
(bsc#1012628).
- iwlwifi: mvm: hook up missing RX handlers (bsc#1012628).
- erofs: avoid using generic_block_bmap (bsc#1012628).
- clk: renesas: r8a779a0: Fix R and OSC clocks (bsc#1012628).
- can: m_can: m_can_config_endisable(): remove double clearing
of clock stop request bit (bsc#1012628).
- powerpc/sstep: Emulate prefixed instructions only when
CPU_FTR_ARCH_31 is set (bsc#1012628).
- powerpc/sstep: Cover new VSX instructions under CONFIG_VSX
(bsc#1012628).
- slimbus: qcom: fix potential NULL dereference in
qcom_slim_prg_slew() (bsc#1012628).
- ALSA: hda/hdmi: fix silent stream for first playback to DP
(bsc#1012628).
- RDMA/core: Do not indicate device ready when device enablement
fails (bsc#1012628).
- RDMA/uverbs: Fix incorrect variable type (bsc#1012628).
- remoteproc/mediatek: change MT8192 CFG register base
(bsc#1012628).
- remoteproc/mtk_scp: surround DT device IDs with CONFIG_OF
(bsc#1012628).
- remoteproc: q6v5-mss: fix error handling in q6v5_pds_enable
(bsc#1012628).
- remoteproc: qcom: fix reference leak in adsp_start
(bsc#1012628).
- remoteproc: qcom: pas: fix error handling in adsp_pds_enable
(bsc#1012628).
- remoteproc: k3-dsp: Fix return value check in
k3_dsp_rproc_of_get_memories() (bsc#1012628).
- remoteproc: qcom: Fix potential NULL dereference in
adsp_init_mmio() (bsc#1012628).
- remoteproc/mediatek: unprepare clk if scp_before_load fails
(bsc#1012628).
- clk: qcom: gcc-sc7180: Use floor ops for sdcc clks
(bsc#1012628).
- clk: tegra: Fix duplicated SE clock entry (bsc#1012628).
- mtd: rawnand: gpmi: fix reference count leak in gpmi ops
(bsc#1012628).
- mtd: rawnand: meson: Fix a resource leak in init (bsc#1012628).
- mtd: rawnand: gpmi: Fix the random DMA timeout issue
(bsc#1012628).
- samples/bpf: Fix possible hang in xdpsock with multiple threads
(bsc#1012628).
- fs: Handle I_DONTCACHE in iput_final() instead of
generic_drop_inode() (bsc#1012628).
- extcon: max77693: Fix modalias string (bsc#1012628).
- crypto: atmel-i2c - select CONFIG_BITREVERSE (bsc#1012628).
- mac80211: don't set set TDLS STA bandwidth wider than possible
(bsc#1012628).
- mac80211: fix a mistake check for rx_stats update (bsc#1012628).
- ASoC: wm_adsp: remove "ctl" from list on error in
wm_adsp_create_control() (bsc#1012628).
- irqchip/alpine-msi: Fix freeing of interrupts on allocation
error path (bsc#1012628).
- irqchip/ti-sci-inta: Fix printing of inta id on probe success
(bsc#1012628).
- irqchip/ti-sci-intr: Fix freeing of irqs (bsc#1012628).
- dmaengine: ti: k3-udma: Correct normal channel offset when
uchan_cnt is not 0 (bsc#1012628).
- RDMA/hns: Limit the length of data copied between kernel and
userspace (bsc#1012628).
- RDMA/hns: Normalization the judgment of some features
(bsc#1012628).
- RDMA/hns: Do shift on traffic class when using RoCEv2
(bsc#1012628).
- gpiolib: irq hooks: fix recursion in gpiochip_irq_unmask
(bsc#1012628).
- ath11k: Fix incorrect tlvs in scan start command (bsc#1012628).
- irqchip/qcom-pdc: Fix phantom irq when changing between
rising/falling (bsc#1012628).
- watchdog: armada_37xx: Add missing dependency on HAS_IOMEM
(bsc#1012628).
- watchdog: sirfsoc: Add missing dependency on HAS_IOMEM
(bsc#1012628).
- watchdog: sprd: remove watchdog disable from resume fail path
(bsc#1012628).
- watchdog: sprd: check busy bit before new loading rather than
after that (bsc#1012628).
- watchdog: Fix potential dereferencing of null pointer
(bsc#1012628).
- ubifs: Fix error return code in ubifs_init_authentication()
(bsc#1012628).
- um: Monitor error events in IRQ controller (bsc#1012628).
- um: tty: Fix handling of close in tty lines (bsc#1012628).
- um: chan_xterm: Fix fd leak (bsc#1012628).
- sunrpc: fix xs_read_xdr_buf for partial pages receive
(bsc#1012628).
- RDMA/mlx5: Fix MR cache memory leak (bsc#1012628).
- RDMA/cma: Don't overwrite sgid_attr after device is released
(bsc#1012628).
- nfc: s3fwrn5: Release the nfc firmware (bsc#1012628).
- drm: mxsfb: Silence -EPROBE_DEFER while waiting for bridge
(bsc#1012628).
- powerpc/perf: Fix Threshold Event Counter Multiplier width
for P10 (bsc#1012628).
- powerpc/ps3: use dma_mapping_error() (bsc#1012628).
- perf test: Fix metric parsing test (bsc#1012628).
- drm/amdgpu: fix regression in vbios reservation handling on
headless (bsc#1012628).
- mm/gup: reorganize internal_get_user_pages_fast() (bsc#1012628).
- mm/gup: prevent gup_fast from racing with COW during fork
(bsc#1012628).
- mm/gup: combine put_compound_head() and unpin_user_page()
(bsc#1012628).
- mm: memcg/slab: fix return of child memcg objcg for root memcg
(bsc#1012628).
- mm: memcg/slab: fix use after free in obj_cgroup_charge
(bsc#1012628).
- mm/rmap: always do TTU_IGNORE_ACCESS (bsc#1012628).
- sparc: fix handling of page table constructor failure
(bsc#1012628).
- mm/vmalloc: Fix unlock order in s_stop() (bsc#1012628).
- mm/vmalloc.c: fix kasan shadow poisoning size (bsc#1012628).
- mm,memory_failure: always pin the page in madvise_inject_error
(bsc#1012628).
- hugetlb: fix an error code in hugetlb_reserve_pages()
(bsc#1012628).
- mm: don't wake kswapd prematurely when watermark boosting is
disabled (bsc#1012628).
- proc: fix lookup in /proc/net subdirectories after setns(2)
(bsc#1012628).
- checkpatch: fix unescaped left brace (bsc#1012628).
- s390/test_unwind: fix CALL_ON_STACK tests (bsc#1012628).
- lan743x: fix rx_napi_poll/interrupt ping-pong (bsc#1012628).
- ice, xsk: clear the status bits for the next_to_use descriptor
(bsc#1012628).
- i40e, xsk: clear the status bits for the next_to_use descriptor
(bsc#1012628).
- net: dsa: qca: ar9331: fix sleeping function called from
invalid context bug (bsc#1012628).
- dpaa2-eth: fix the size of the mapped SGT buffer (bsc#1012628).
- net: bcmgenet: Fix a resource leak in an error handling path
in the probe functin (bsc#1012628).
- net: mscc: ocelot: Fix a resource leak in the error handling
path of the probe function (bsc#1012628).
- net: allwinner: Fix some resources leak in the error handling
path of the probe and in the remove function (bsc#1012628).
- block/rnbd-clt: Get rid of warning regarding size argument in
strlcpy (bsc#1012628).
- block/rnbd-clt: Fix possible memleak (bsc#1012628).
- NFS/pNFS: Fix a typo in ff_layout_resend_pnfs_read()
(bsc#1012628).
- net: korina: fix return value (bsc#1012628).
- devlink: use _BITUL() macro instead of BIT() in the UAPI header
(bsc#1012628).
- libnvdimm/label: Return -ENXIO for no slot in __blk_label_update
(bsc#1012628).
- powerpc/32s: Fix cleanup_cpu_mmu_context() compile bug
(bsc#1012628).
- watchdog: qcom: Avoid context switch in restart handler
(bsc#1012628).
- watchdog: coh901327: add COMMON_CLK dependency (bsc#1012628).
- clk: ti: Fix memleak in ti_fapll_synth_setup (bsc#1012628).
- pwm: zx: Add missing cleanup in error path (bsc#1012628).
- pwm: lp3943: Dynamically allocate PWM chip base (bsc#1012628).
- pwm: imx27: Fix overflow for bigger periods (bsc#1012628).
- pwm: sun4i: Remove erroneous else branch (bsc#1012628).
- io_uring: cancel only requests of current task (bsc#1012628).
- tools build: Add missing libcap to test-all.bin target
(bsc#1012628).
- =?UTF-8?q?perf=20record:=20Fix=20memory=20leak=20when=20u?=
=?UTF-8?q?sing=20'--user-regs=3D=3F'=20to=20list=20registers?=
(bsc#1012628).
- qlcnic: Fix error code in probe (bsc#1012628).
- nfp: move indirect block cleanup to flower app stop callback
(bsc#1012628).
- vdpa/mlx5: Use write memory barrier after updating CQ index
(bsc#1012628).
- virtio_ring: Cut and paste bugs in
vring_create_virtqueue_packed() (bsc#1012628).
- virtio_net: Fix error code in probe() (bsc#1012628).
- virtio_ring: Fix two use after free bugs (bsc#1012628).
- vhost scsi: fix error return code in vhost_scsi_set_endpoint()
(bsc#1012628).
- epoll: check for events when removing a timed out thread from
the wait queue (bsc#1012628).
- clk: at91: sama7g5: fix compilation error (bsc#1012628).
- clk: at91: sam9x60: remove atmel,osc-bypass support
(bsc#1012628).
- clk: s2mps11: Fix a resource leak in error handling paths in
the probe function (bsc#1012628).
- clk: sunxi-ng: Make sure divider tables have sentinel
(bsc#1012628).
- clk: vc5: Use "idt,voltage-microvolt" instead of
"idt,voltage-microvolts" (bsc#1012628).
- kconfig: fix return value of do_error_if() (bsc#1012628).
- powerpc/boot: Fix build of dts/fsl (bsc#1012628).
- powerpc/smp: Add __init to init_big_cores() (bsc#1012628).
- ARM: 9044/1: vfp: use undef hook for VFP support detection
(bsc#1012628).
- ARM: 9036/1: uncompress: Fix dbgadtb size parameter name
(bsc#1012628).
- perf probe: Fix memory leak when synthesizing SDT probes
(bsc#1012628).
- io_uring: fix racy IOPOLL flush overflow (bsc#1012628).
- io_uring: cancel reqs shouldn't kill overflow list
(bsc#1012628).
- Smack: Handle io_uring kernel thread privileges (bsc#1012628).
- proc mountinfo: make splice available again (bsc#1012628).
- io_uring: fix io_cqring_events()'s noflush (bsc#1012628).
- io_uring: fix racy IOPOLL completions (bsc#1012628).
- io_uring: always let io_iopoll_complete() complete polled io
(bsc#1012628).
- vfio/pci: Move dummy_resources_list init in vfio_pci_probe()
(bsc#1012628).
- vfio/pci/nvlink2: Do not attempt NPU2 setup on POWER8NVL NPU
(bsc#1012628).
- media: gspca: Fix memory leak in probe (bsc#1012628).
- io_uring: fix io_wqe->work_list corruption (bsc#1012628).
- io_uring: fix 0-iov read buffer select (bsc#1012628).
- io_uring: hold uring_lock while completing failed polled io
in io_wq_submit_work() (bsc#1012628).
- io_uring: fix ignoring xa_store errors (bsc#1012628).
- io_uring: fix double io_uring free (bsc#1012628).
- io_uring: make ctx cancel on exit targeted to actual ctx
(bsc#1012628).
- media: sunxi-cir: ensure IR is handled when it is continuous
(bsc#1012628).
- media: netup_unidvb: Don't leak SPI master in probe error path
(bsc#1012628).
- media: ipu3-cio2: Remove traces of returned buffers
(bsc#1012628).
- media: ipu3-cio2: Return actual subdev format (bsc#1012628).
- media: ipu3-cio2: Serialise access to pad format (bsc#1012628).
- media: ipu3-cio2: Validate mbus format in setting subdev format
(bsc#1012628).
- media: ipu3-cio2: Make the field on subdev format
V4L2_FIELD_NONE (bsc#1012628).
- Input: cyapa_gen6 - fix out-of-bounds stack access
(bsc#1012628).
- ALSA: hda/ca0132 - Change Input Source enum strings
(bsc#1012628).
- ACPI: NFIT: Fix input validation of bus-family (bsc#1012628).
- PM: ACPI: PCI: Drop acpi_pm_set_bridge_wakeup() (bsc#1012628).
- Revert "ACPI / resources: Use AE_CTRL_TERMINATE to terminate
resources walks" (bsc#1012628).
- ACPI: PNP: compare the string length in the matching_id()
(bsc#1012628).
- ALSA: hda: Fix regressions on clear and reconfig sysfs
(bsc#1012628).
- ALSA: hda/ca0132 - Fix AE-5 rear headphone pincfg (bsc#1012628).
- ALSA: hda/realtek: make bass spk volume adjustable on a yoga
laptop (bsc#1012628).
- ALSA: hda/realtek - Enable headset mic of ASUS X430UN with
ALC256 (bsc#1012628).
- ALSA: hda/realtek - Enable headset mic of ASUS Q524UQK with
ALC255 (bsc#1012628).
- ALSA: hda/realtek - Add supported for more Lenovo ALC285
Headset Button (bsc#1012628).
- ALSA: pcm: oss: Fix a few more UBSAN fixes (bsc#1012628).
- ALSA/hda: apply jack fixup for the Acer Veriton
N4640G/N6640G/N2510G (bsc#1012628).
- ALSA: hda/realtek: Add quirk for MSI-GP73 (bsc#1012628).
- ALSA: hda/realtek: Apply jack fixup for Quanta NL3
(bsc#1012628).
- ALSA: hda/realtek: Remove dummy lineout on Acer TravelMate
P648/P658 (bsc#1012628).
- ALSA: hda/realtek - Supported Dell fixed type headset
(bsc#1012628).
- ALSA: usb-audio: Add VID to support native DSD reproduction
on FiiO devices (bsc#1012628).
- ALSA: usb-audio: Disable sample read check if firmware doesn't
give back (bsc#1012628).
- ALSA: usb-audio: Add alias entry for ASUS PRIME TRX40 PRO-S
(bsc#1012628).
- ALSA: core: memalloc: add page alignment for iram (bsc#1012628).
- s390/smp: perform initial CPU reset also for SMT siblings
(bsc#1012628).
- s390/kexec_file: fix diag308 subcode when loading crash kernel
(bsc#1012628).
- s390/idle: add missing mt_cycles calculation (bsc#1012628).
- s390/idle: fix accounting with machine checks (bsc#1012628).
- s390/dasd: fix hanging device offline processing (bsc#1012628).
- s390/dasd: prevent inconsistent LCU device data (bsc#1012628).
- s390/dasd: fix list corruption of pavgroup group list
(bsc#1012628).
- s390/dasd: fix list corruption of lcu list (bsc#1012628).
- binder: add flag to clear buffer on txn complete (bsc#1012628).
- ASoC: cx2072x: Fix doubly definitions of Playback and Capture
streams (bsc#1012628).
- ASoC: AMD Renoir - add DMI table to avoid the ACP mic probe
(broken BIOS) (bsc#1012628).
- ASoC: AMD Raven/Renoir - fix the PCI probe (PCI revision)
(bsc#1012628).
- staging: comedi: mf6x4: Fix AI end-of-conversion detection
(bsc#1012628).
- z3fold: simplify freeing slots (bsc#1012628).
- z3fold: stricter locking and more careful reclaim (bsc#1012628).
- perf/x86/intel: Add event constraint for
CYCLE_ACTIVITY.STALLS_MEM_ANY (bsc#1012628).
- perf/x86/intel: Fix rtm_abort_event encoding on Ice Lake
(bsc#1012628).
- perf/x86/intel/lbr: Fix the return type of get_lbr_cycles()
(bsc#1012628).
- powerpc/perf: Exclude kernel samples while counting events in
user space (bsc#1012628).
- cpufreq: intel_pstate: Use most recent guaranteed performance
values (bsc#1012628).
- crypto: ecdh - avoid unaligned accesses in ecdh_set_secret()
(bsc#1012628).
- crypto: arm/aes-ce - work around Cortex-A57/A72 silion errata
(bsc#1012628).
- m68k: Fix WARNING splat in pmac_zilog driver (bsc#1012628).
- Documentation: seqlock: s/LOCKTYPE/LOCKNAME/g (bsc#1012628).
- EDAC/i10nm: Use readl() to access MMIO registers (bsc#1012628).
- EDAC/amd64: Fix PCI component registration (bsc#1012628).
- cpuset: fix race between hotplug work and later CPU offline
(bsc#1012628).
- dyndbg: fix use before null check (bsc#1012628).
- USB: serial: mos7720: fix parallel-port state restore
(bsc#1012628).
- USB: serial: digi_acceleport: fix write-wakeup deadlocks
(bsc#1012628).
- USB: serial: keyspan_pda: fix dropped unthrottle interrupts
(bsc#1012628).
- USB: serial: keyspan_pda: fix write deadlock (bsc#1012628).
- USB: serial: keyspan_pda: fix stalled writes (bsc#1012628).
- USB: serial: keyspan_pda: fix write-wakeup use-after-free
(bsc#1012628).
- USB: serial: keyspan_pda: fix tx-unthrottle use-after-free
(bsc#1012628).
- USB: serial: keyspan_pda: fix write unthrottling (bsc#1012628).
- btrfs: do not shorten unpin len for caching block groups
(bsc#1012628).
- btrfs: update last_byte_to_unpin in switch_commit_roots
(bsc#1012628).
- btrfs: fix race when defragmenting leads to unnecessary IO
(bsc#1012628).
- ext4: fix an IS_ERR() vs NULL check (bsc#1012628).
- ext4: fix a memory leak of ext4_free_data (bsc#1012628).
- ext4: fix deadlock with fs freezing and EA inodes (bsc#1012628).
- ext4: don't remount read-only with errors=continue on reboot
(bsc#1012628).
- RISC-V: Fix usage of memblock_enforce_memory_limit
(bsc#1012628).
- arm64: dts: ti: k3-am65: mark dss as dma-coherent (bsc#1012628).
- arm64: dts: marvell: keep SMMU disabled by default for Armada
7040 and 8040 (bsc#1012628).
- KVM: arm64: Introduce handling of AArch32 TTBCR2 traps
(bsc#1012628).
- KVM: x86: reinstate vendor-agnostic check on SPEC_CTRL cpuid
bits (bsc#1012628).
- KVM: SVM: Remove the call to sev_platform_status() during setup
(bsc#1012628).
- iommu/arm-smmu: Allow implementation specific write_s2cr
(bsc#1012628).
- iommu/arm-smmu-qcom: Read back stream mappings (bsc#1012628).
- iommu/arm-smmu-qcom: Implement S2CR quirk (bsc#1012628).
- ARM: dts: pandaboard: fix pinmux for gpio user button of
Pandaboard ES (bsc#1012628).
- ARM: dts: at91: sama5d2: fix CAN message ram offset and size
(bsc#1012628).
- ARM: tegra: Populate OPP table for Tegra20 Ventana
(bsc#1012628).
- xprtrdma: Fix XDRBUF_SPARSE_PAGES support (bsc#1012628).
- powerpc/32: Fix vmap stack - Properly set r1 before activating
MMU on syscall too (bsc#1012628).
- powerpc: Fix incorrect stw{, ux, u, x} instructions in
__set_pte_at (bsc#1012628).
- powerpc/rtas: Fix typo of ibm,open-errinjct in RTAS filter
(bsc#1012628).
- powerpc/bitops: Fix possible undefined behaviour with fls()
and fls64() (bsc#1012628).
- powerpc/feature: Add CPU_FTR_NOEXECUTE to G2_LE (bsc#1012628).
- powerpc/xmon: Change printk() to pr_cont() (bsc#1012628).
- powerpc/8xx: Fix early debug when SMC1 is relocated
(bsc#1012628).
- powerpc/mm: Fix verification of MMU_FTR_TYPE_44x (bsc#1012628).
- powerpc/powernv/npu: Do not attempt NPU2 setup on POWER8NVL NPU
(bsc#1012628).
- powerpc/powernv/memtrace: Don't leak kernel memory to user space
(bsc#1012628).
- powerpc/powernv/memtrace: Fix crashing the kernel when enabling
concurrently (bsc#1012628).
- ovl: make ioctl() safe (bsc#1012628).
- ima: Don't modify file descriptor mode on the fly (bsc#1012628).
- um: Remove use of asprinf in umid.c (bsc#1012628).
- um: Fix time-travel mode (bsc#1012628).
- ceph: fix race in concurrent __ceph_remove_cap invocations
(bsc#1012628).
- SMB3: avoid confusing warning message on mount to Azure
(bsc#1012628).
- SMB3.1.1: remove confusing mount warning when no SPNEGO info
on negprot rsp (bsc#1012628).
- SMB3.1.1: do not log warning message if server doesn't populate
salt (bsc#1012628).
- ubifs: wbuf: Don't leak kernel memory to flash (bsc#1012628).
- jffs2: Fix GC exit abnormally (bsc#1012628).
- jffs2: Fix ignoring mounting options problem during remounting
(bsc#1012628).
- fsnotify: generalize handle_inode_event() (bsc#1012628).
- inotify: convert to handle_inode_event() interface
(bsc#1012628).
- fsnotify: fix events reported to watching parent and child
(bsc#1012628).
- jfs: Fix array index bounds check in dbAdjTree (bsc#1012628).
- drm/panfrost: Fix job timeout handling (bsc#1012628).
- drm/panfrost: Move the GPU reset bits outside the timeout
handler (bsc#1012628).
- platform/x86: mlx-platform: remove an unused variable
(bsc#1012628).
- drm/amd/display: Fix memory leaks in S3 resume (bsc#1012628).
- drm/dp_aux_dev: check aux_dev before use in
drm_dp_aux_dev_get_by_minor() (bsc#1012628).
- drm/i915: Fix mismatch between misplaced vma check and vma
insert (bsc#1012628).
- iio: ad_sigma_delta: Don't put SPI transfer buffer on the stack
(bsc#1012628).
- spi: pxa2xx: Fix use-after-free on unbind (bsc#1012628).
- spi: spi-sh: Fix use-after-free on unbind (bsc#1012628).
- spi: atmel-quadspi: Fix use-after-free on unbind (bsc#1012628).
- spi: spi-mtk-nor: Don't leak SPI master in probe error path
(bsc#1012628).
- spi: ar934x: Don't leak SPI master in probe error path
(bsc#1012628).
- spi: davinci: Fix use-after-free on unbind (bsc#1012628).
- spi: fsl: fix use of spisel_boot signal on MPC8309
(bsc#1012628).
- spi: gpio: Don't leak SPI master in probe error path
(bsc#1012628).
- spi: mxic: Don't leak SPI master in probe error path
(bsc#1012628).
- spi: npcm-fiu: Disable clock in probe error path (bsc#1012628).
- spi: pic32: Don't leak DMA channels in probe error path
(bsc#1012628).
- spi: rb4xx: Don't leak SPI master in probe error path
(bsc#1012628).
- spi: rpc-if: Fix use-after-free on unbind (bsc#1012628).
- spi: sc18is602: Don't leak SPI master in probe error path
(bsc#1012628).
- spi: spi-geni-qcom: Fix use-after-free on unbind (bsc#1012628).
- spi: spi-qcom-qspi: Fix use-after-free on unbind (bsc#1012628).
- spi: st-ssc4: Fix unbalanced pm_runtime_disable() in probe
error path (bsc#1012628).
- spi: synquacer: Disable clock in probe error path (bsc#1012628).
- spi: mt7621: Disable clock in probe error path (bsc#1012628).
- spi: mt7621: Don't leak SPI master in probe error path
(bsc#1012628).
- spi: atmel-quadspi: Disable clock in probe error path
(bsc#1012628).
- spi: atmel-quadspi: Fix AHB memory accesses (bsc#1012628).
- soc: qcom: smp2p: Safely acquire spinlock without IRQs
(bsc#1012628).
- mtd: spinand: Fix OOB read (bsc#1012628).
- mtd: parser: cmdline: Fix parsing of part-names with colons
(bsc#1012628).
- mtd: core: Fix refcounting for unpartitioned MTDs (bsc#1012628).
- mtd: rawnand: qcom: Fix DMA sync on FLASH_STATUS register read
(bsc#1012628).
- mtd: rawnand: meson: fix meson_nfc_dma_buffer_release()
arguments (bsc#1012628).
- scsi: qla2xxx: Fix crash during driver load on big endian
machines (bsc#1012628).
- scsi: lpfc: Fix invalid sleeping context in
lpfc_sli4_nvmet_alloc() (bsc#1012628).
- scsi: lpfc: Fix scheduling call while in softirq context in
lpfc_unreg_rpi (bsc#1012628).
- scsi: lpfc: Re-fix use after free in lpfc_rq_buf_free()
(bsc#1012628).
- openat2: reject RESOLVE_BENEATH|RESOLVE_IN_ROOT (bsc#1012628).
- iio: buffer: Fix demux update (bsc#1012628).
- iio: adc: rockchip_saradc: fix missing clk_disable_unprepare()
on error in rockchip_saradc_resume (bsc#1012628).
- iio: imu: st_lsm6dsx: fix edge-trigger interrupts (bsc#1012628).
- iio:light:rpr0521: Fix timestamp alignment and prevent data leak
(bsc#1012628).
- iio:light:st_uvis25: Fix timestamp alignment and prevent data
leak (bsc#1012628).
- iio:magnetometer:mag3110: Fix alignment and data leak issues
(bsc#1012628).
- iio:pressure:mpl3115: Force alignment of buffer (bsc#1012628).
- iio:imu:bmi160: Fix too large a buffer (bsc#1012628).
- iio:imu:bmi160: Fix alignment and data leak issues
(bsc#1012628).
- iio:adc:ti-ads124s08: Fix buffer being too long (bsc#1012628).
- iio:adc:ti-ads124s08: Fix alignment and data leak issues
(bsc#1012628).
- md/cluster: block reshape with remote resync job (bsc#1012628).
- md/cluster: fix deadlock when node is doing resync job
(bsc#1012628).
- pinctrl: sunxi: Always call chained_irq_{enter, exit} in
sunxi_pinctrl_irq_handler (bsc#1012628).
- clk: ingenic: Fix divider calculation with div tables
(bsc#1012628).
- clk: mvebu: a3700: fix the XTAL MODE pin to MPP1_9
(bsc#1012628).
- clk: tegra: Do not return 0 on failure (bsc#1012628).
- counter: microchip-tcb-capture: Fix CMR value check
(bsc#1012628).
- device-dax/core: Fix memory leak when rmmod dax.ko
(bsc#1012628).
- dma-buf/dma-resv: Respect num_fences when initializing the
shared fence list (bsc#1012628).
- driver: core: Fix list corruption after device_del()
(bsc#1012628).
- xen-blkback: set ring->xenblkd to NULL after kthread_stop()
(bsc#1012628).
- xen/xenbus: Allow watches discard events before queueing
(bsc#1012628).
- xen/xenbus: Add 'will_handle' callback support in
xenbus_watch_path() (bsc#1012628).
- xen/xenbus/xen_bus_type: Support will_handle watch callback
(bsc#1012628).
- xen/xenbus: Count pending messages for each watch (bsc#1012628).
- xenbus/xenbus_backend: Disallow pending watch messages
(bsc#1012628).
- memory: jz4780_nemc: Fix an error pointer vs NULL check in
probe() (bsc#1012628).
- memory: renesas-rpc-if: Fix a node reference leak in
rpcif_probe() (bsc#1012628).
- memory: renesas-rpc-if: Return correct value to the caller of
rpcif_manual_xfer() (bsc#1012628).
- memory: renesas-rpc-if: Fix unbalanced pm_runtime_enable in
rpcif_{enable,disable}_rpm (bsc#1012628).
- libnvdimm/namespace: Fix reaping of invalidated
block-window-namespace labels (bsc#1012628).
- platform/x86: intel-vbtn: Allow switch events on Acer Switch
Alpha 12 (bsc#1012628).
- tracing: Disable ftrace selftests when any tracer is running
(bsc#1012628).
- mt76: add back the SUPPORTS_REORDERING_BUFFER flag
(bsc#1012628).
- of: fix linker-section match-table corruption (bsc#1012628).
- PCI: Fix pci_slot_release() NULL pointer dereference
(bsc#1012628).
- regulator: axp20x: Fix DLDO2 voltage control register mask
for AXP22x (bsc#1012628).
- remoteproc: sysmon: Ensure remote notification ordering
(bsc#1012628).
- thermal/drivers/cpufreq_cooling: Update cpufreq_state only if
state has changed (bsc#1012628).
- rtc: ep93xx: Fix NULL pointer dereference in
ep93xx_rtc_read_time (bsc#1012628).
- Revert: "ring-buffer: Remove HAVE_64BIT_ALIGNED_ACCESS"
(bsc#1012628).
- null_blk: Fix zone size initialization (bsc#1012628).
- null_blk: Fail zone append to conventional zones (bsc#1012628).
- drm/edid: fix objtool warning in drm_cvt_modes() (bsc#1012628).
- x86/CPU/AMD: Save AMD NodeId as cpu_die_id (bsc#1012628).
- Update config files.
- commit 84f94bc
-------------------------------------------------------------------
Mon Dec 28 10:12:46 CET 2020 - mkubecek@suse.cz
- Update to 5.11-rc1
- eliminated 63 patches (61 stable, 2 other)
- patches.kernel.org/*
- patches.suse/clk-bcm-dvp-add-module_device_table.patch
- patches.suse/drm-amdgpu-only-set-DP-subconnector-type-on-DP-and-e.patch
- disable ARM architectures (need config update)
- refresh
- patches.suse/btrfs-fs-super.c-add-new-super-block-devices-super_block_d.patch
- patches.suse/btrfs-btrfs-use-the-new-VFS-super_block_dev.patch
(renamed to patches.suse/btrfs-use-the-new-VFS-super_block_dev.patch
to ease frequent refreshes)
- patches.suse/kernel-add-product-identifying-information-to-kernel-build.patch
- patches.suse/supported-flag
- new config options:
- Processor type and features
- CONFIG_XEN_PVHVM_GUEST=y
- CONFIG_X86_SGX=n
- General architecture-dependent options
- CONFIG_SECCOMP_CACHE_DEBUG=n
- Memory Management options
- CONFIG_GUP_TEST=n
- Networking support
- CONFIG_NFT_REJECT_NETDEV=n
- CONFIG_BRIDGE_CFM=n
- CONFIG_NET_DSA_TAG_HELLCREEK=n
- CONFIG_CAN_M_CAN_PCI=n
- CONFIG_NFC_S3FWRN82_UART=n
- File systems
- CONFIG_PSTORE_DEFAULT_KMSG_BYTES=10240
- CONFIG_CIFS_SWN_UPCALL=n
- Cryptographic API
- CONFIG_CRYPTO_DEV_QAT_4XXX=n
- Library routines
- CONFIG_DMA_MAP_BENCHMARK=n
- Kernel hacking
- CONFIG_DEBUG_KMAP_LOCAL_FORCE_MAP=n
- CONFIG_FTRACE_RECORD_RECURSION=n
- CONFIG_RING_BUFFER_VALIDATE_TIME_DELTAS=n
- Memory Technology Device (MTD) support
- CONFIG_MTD_NAND_ECC_SW_HAMMING=y
- CONFIG_MTD_SPI_NOR_SWP_DISABLE=n
- CONFIG_MTD_SPI_NOR_SWP_DISABLE_ON_VOLATILE=y
- CONFIG_MTD_SPI_NOR_SWP_KEEP=n
- Block devices
- CONFIG_DM_MULTIPATH_IOA=n
- CONFIG_ZRAM_DEF_COMP_LZORLE=y
- Network device support
- CONFIG_MHI_NET=n
- CONFIG_NET_DSA_HIRSCHMANN_HELLCREEK=n
- CONFIG_USB_RTL8153_ECM=m
- Input device support
- CONFIG_INPUT_DA7280_HAPTICS=n
- CONFIG_AMD_SFH_HID=n
- Pin controllers
- CONFIG_PINCTRL_ALDERLAKE=n
- CONFIG_PINCTRL_ELKHARTLAKE=n
- CONFIG_PINCTRL_LAKEFIELD=n
- Hardware Monitoring support
- CONFIG_SENSORS_CORSAIR_PSU=n
- CONFIG_SENSORS_LTC2992=n
- CONFIG_SENSORS_MAX127=n
- CONFIG_SENSORS_PM6764TR=n
- CONFIG_SENSORS_Q54SJ108A2=n
- CONFIG_SENSORS_SBTSI=n
- Multimedia support
- CONFIG_VIDEO_OV02A10=n
- CONFIG_VIDEO_OV9734=n
- CONFIG_VIDEO_CCS=n
- Sound card support
- CONFIG_SND_SOC_ADI=n
- CONFIG_SND_SOC_FSL_XCVR=n
- CONFIG_SND_SOC_SOF_BAYTRAIL_SUPPORT=n
- CONFIG_SND_SOC_SOF_ALDERLAKE_SUPPORT=n
- CONFIG_SND_SOC_ADAU1372_I2C=n
- CONFIG_SND_SOC_ADAU1372_SPI=n
- CONFIG_SND_SOC_PCM5102A=n
- CONFIG_SND_SOC_SIMPLE_MUX=n
- CONFIG_SND_SOC_NAU8315=n
- CONFIG_SND_SOC_LPASS_WSA_MACRO=n
- CONFIG_SND_SOC_LPASS_VA_MACRO=n
- CONFIG_SND_SOC_ADI_AXI_I2S=m
- CONFIG_SND_SOC_ADI_AXI_SPDIF=m
- X86 Platform Specific Device Drivers
- CONFIG_UV_SYSFS=n
- CONFIG_AMD_PMC=n
- CONFIG_DELL_WMI_SYSMAN=n
- CONFIG_INTEL_PMT_CLASS=n
- CONFIG_INTEL_PMT_TELEMETRY=n
- CONFIG_INTEL_PMT_CRASHLOG=n
- Misc drivers
- CONFIG_MHI_BUS_PCI_GENERIC=n
- CONFIG_SERIAL_BCM63XX=n
- CONFIG_MIPI_I3C_HCI=n
- CONFIG_PTP_1588_CLOCK_OCP=n
- CONFIG_MFD_INTEL_PMT=n
- CONFIG_EDAC_IGEN6=n
- CONFIG_INTEL_IDXD_SVM=n
- CONFIG_LCD2S=n
- CONFIG_VDPA_SIM_NET=n
- CONFIG_EXTCON_USBC_TUSB320=n
- CONFIG_PWM_DWC=n
- CONFIG_USB4_DMA_TEST=n
- CONFIG_SURFACE_PLATFORMS=y
- CONFIG_SURFACE_GPE=n
- OF dependent drivers (i386, ppc64/ppc64le, riscv64)
- MTD_NAND_INTEL_LGM=m
- PINCTRL_MICROCHIP_SGPIO=n
- REGULATOR_DA9121=m
- REGULATOR_PF8X00=m
- DRM_PANEL_ABT_Y030XX067A=n
- DRM_PANEL_NOVATEK_NT36672A=n
- DRM_PANEL_SAMSUNG_SOFEF00=n
- DRM_PANEL_TDO_TL070WSH30=n
- DRM_LONTIUM_LT9611UXC=n
- DRM_ANALOGIX_ANX7625=n
- RTC_DRV_GOLDFISH=m
- LITEX_SOC_CONTROLLER=n
- PWM_ATMEL_TCB=m
- i386
- PWM_INTEL_LGM=m
- DEBUG_KMAP_LOCAL=n
- s390x
- DEBUG_USER_ASCE=n
- riscv64
- IRQ_TIME_ACCOUNTING
- POWER_RESET_REGULATOR=y
- commit acbbbf7
-------------------------------------------------------------------
Mon Dec 28 00:04:41 CET 2020 - mkubecek@suse.cz
- rpm: drop /usr/bin/env in interpreter specification
OBS checks don't like /usr/bin/env in script interpreter lines but upstream
developers tend to use it. A proper solution would be fixing the depedency
extraction and drop the OBS check error but that's unlikely to happen so
that we have to work around the problem on our side and rewrite the
interpreter lines in scripts before collecting files for packages instead.
- commit 0ec5324
-------------------------------------------------------------------
Sun Dec 27 13:04:45 CET 2020 - tiwai@suse.de
- media: uvcvideo: Accept invalid bFormatIndex and bFrameIndex
values (bsc#1180117).
- commit 8684dfe
-------------------------------------------------------------------
Sun Dec 27 12:59:33 CET 2020 - tiwai@suse.de
- iwlwifi: dbg: Don't touch the tlv data (bsc#1180344).
- commit cd8100a
-------------------------------------------------------------------
Sat Dec 26 17:00:45 CET 2020 - jslaby@suse.cz
- Linux 5.10.3 (bsc#1012628).
- md: fix a warning caused by a race between concurrent
md_ioctl()s (bsc#1012628).
- nl80211: validate key indexes for cfg80211_registered_device
(bsc#1012628).
- crypto: af_alg - avoid undefined behavior accessing salg_name
(bsc#1012628).
- media: msi2500: assign SPI bus number dynamically (bsc#1012628).
- fs: quota: fix array-index-out-of-bounds bug by passing correct
argument to vfs_cleanup_quota_inode() (bsc#1012628).
- quota: Sanity-check quota file headers on load (bsc#1012628).
- Bluetooth: Fix slab-out-of-bounds read in
hci_le_direct_adv_report_evt() (bsc#1012628).
- f2fs: prevent creating duplicate encrypted filenames
(bsc#1012628).
- ext4: prevent creating duplicate encrypted filenames
(bsc#1012628).
- ubifs: prevent creating duplicate encrypted filenames
(bsc#1012628).
- fscrypt: add fscrypt_is_nokey_name() (bsc#1012628).
- fscrypt: remove kernel-internal constants from UAPI header
(bsc#1012628).
- serial_core: Check for port state when tty is in error state
(bsc#1012628).
- HID: i2c-hid: add Vero K147 to descriptor override
(bsc#1012628).
- scsi: megaraid_sas: Check user-provided offsets (bsc#1012628).
- f2fs: init dirty_secmap incorrectly (bsc#1012628).
- f2fs: fix to seek incorrect data offset in inline data file
(bsc#1012628).
- coresight: etm4x: Handle TRCVIPCSSCTLR accesses (bsc#1012628).
- coresight: etm4x: Fix accesses to TRCPROCSELR (bsc#1012628).
- coresight: etm4x: Fix accesses to TRCCIDCTLR1 (bsc#1012628).
- coresight: etm4x: Fix accesses to TRCVMIDCTLR1 (bsc#1012628).
- coresight: etm4x: Skip setting LPOVERRIDE bit for qcom,
skip-power-up (bsc#1012628).
- coresight: etb10: Fix possible NULL ptr dereference in
etb_enable_perf() (bsc#1012628).
- coresight: tmc-etr: Fix barrier packet insertion for perf buffer
(bsc#1012628).
- coresight: tmc-etr: Check if page is valid before dma_map_page()
(bsc#1012628).
- coresight: tmc-etf: Fix NULL ptr dereference in
tmc_enable_etf_sink_perf() (bsc#1012628).
- ARM: dts: exynos: fix USB 3.0 pins supply being turned off on
Odroid XU (bsc#1012628).
- ARM: dts: exynos: fix USB 3.0 VBUS control and over-current
pins on Exynos5410 (bsc#1012628).
- ARM: dts: exynos: fix roles of USB 3.0 ports on Odroid XU
(bsc#1012628).
- usb: chipidea: ci_hdrc_imx: Pass DISABLE_DEVICE_STREAMING flag
to imx6ul (bsc#1012628).
- USB: gadget: f_rndis: fix bitrate for SuperSpeed and above
(bsc#1012628).
- usb: gadget: f_fs: Re-use SS descriptors for SuperSpeedPlus
(bsc#1012628).
- USB: gadget: f_midi: setup SuperSpeed Plus descriptors
(bsc#1012628).
- USB: gadget: f_acm: add support for SuperSpeed Plus
(bsc#1012628).
- USB: serial: option: add interface-number sanity check to flag
handling (bsc#1012628).
- usb: mtu3: fix memory corruption in mtu3_debugfs_regset()
(bsc#1012628).
- soc/tegra: fuse: Fix index bug in get_process_id (bsc#1012628).
- exfat: Avoid allocating upcase table using kcalloc()
(bsc#1012628).
- x86/split-lock: Avoid returning with interrupts enabled
(bsc#1012628).
- net: ipconfig: Avoid spurious blank lines in boot log
(bsc#1012628).
- commit 246b3e0
-------------------------------------------------------------------
Thu Dec 24 14:20:33 CET 2020 - nsaenzjulienne@suse.de
- reset: raspberrypi: Don't reset USB if already up (bsc#1180336).
- commit cbfc03c
-------------------------------------------------------------------
Tue Dec 22 09:23:41 CET 2020 - mkubecek@suse.cz
- series.conf: cleanup
- update upstream reference and move to "almost mainline" section:
patches.suse/clk-bcm-dvp-add-module_device_table.patch
- commit 24deb54
-------------------------------------------------------------------
Mon Dec 21 15:55:44 CET 2020 - mkubecek@suse.cz
- config: refresh
- drop USB_SISUSBVGA_CON (no longer accessible)
- commit c403c88
-------------------------------------------------------------------
Mon Dec 21 15:31:57 CET 2020 - jslaby@suse.cz
- Linux 5.10.2 (bsc#1012628).
- serial: 8250_omap: Avoid FIFO corruption caused by MDR1 access
(bsc#1012628).
- ALSA: pcm: oss: Fix potential out-of-bounds shift (bsc#1012628).
- USB: sisusbvga: Make console support depend on BROKEN
(bsc#1012628).
- USB: UAS: introduce a quirk to set no_write_same (bsc#1012628).
- xhci-pci: Allow host runtime PM as default for Intel Maple
Ridge xHCI (bsc#1012628).
- xhci-pci: Allow host runtime PM as default for Intel Alpine
Ridge LP (bsc#1012628).
- usb: xhci: Set quirk for XHCI_SG_TRB_CACHE_SIZE_QUIRK
(bsc#1012628).
- xhci: Give USB2 ports time to enter U3 in bus suspend
(bsc#1012628).
- ALSA: usb-audio: Fix control 'access overflow' errors from chmap
(bsc#1012628).
- ALSA: usb-audio: Fix potential out-of-bounds shift
(bsc#1012628).
- USB: add RESET_RESUME quirk for Snapscan 1212 (bsc#1012628).
- USB: dummy-hcd: Fix uninitialized array use in init()
(bsc#1012628).
- USB: legotower: fix logical error in recent commit
(bsc#1012628).
- ktest.pl: Fix the logic for truncating the size of the log
file for email (bsc#1012628).
- ktest.pl: If size of log is too big to email, email error
message (bsc#1012628).
- ptrace: Prevent kernel-infoleak in ptrace_get_syscall_info()
(bsc#1012628).
- commit 0c7d1c1
-------------------------------------------------------------------
Mon Dec 21 13:15:37 CET 2020 - nsaenzjulienne@suse.de
- clk: bcm: dvp: Add MODULE_DEVICE_TABLE() (bsc#1180260).
- commit fa7a177
-------------------------------------------------------------------
Fri Dec 18 17:51:20 CET 2020 - tiwai@suse.de
- drm/amdgpu: only set DP subconnector type on DP and eDP
connectors (bsc#1180227).
- commit 74c3250
-------------------------------------------------------------------
Fri Dec 18 10:16:39 CET 2020 - jslaby@suse.cz
- Update config files.
Just to match my env.
- commit 588066a
-------------------------------------------------------------------
Mon Dec 14 23:10:27 CET 2020 - mkubecek@suse.cz
- Linux 5.10.1 (bsc#1012628).
- Revert "dm raid: fix discard limits for raid1 and raid10"
(bsc#1012628).
- Revert "md: change mddev 'chunk_sectors' from int to unsigned"
(bsc#1012628).
- commit bc79fb6
-------------------------------------------------------------------
Mon Dec 14 14:52:17 CET 2020 - guillaume.gardet@arm.com
- lpae: disable CONFIG_QCOM_PIL_INFO to fix build on armv7
- commit 0c08c2e
-------------------------------------------------------------------
Mon Dec 14 00:14:11 CET 2020 - mkubecek@suse.cz
- Update to 5.10 final
- eliminated 1 patch
- patches.suse/revert-mm-filemap-add-static-for-function-__add_to_p.patch
- update configs
- NFS_V4_2_READ_PLUS=n (recommended default, unsafe feature)
- commit ff9060b
-------------------------------------------------------------------
Sun Dec 13 18:14:34 CET 2020 - dmueller@suse.com
- config.conf: Reenable armv6hl/armv7hl/arm64
- Update config files:
* Settings copied from x86_64 update
* arm specific options are =m except if debug or test, =y otherwise
- commit cc424ba
-------------------------------------------------------------------
Sat Dec 12 07:57:32 CET 2020 - jslaby@suse.cz
- drm/i915/gt: Declare gen9 has 64 mocs entries! (git-fixes).
- commit c648a46
-------------------------------------------------------------------
Sat Dec 12 07:55:40 CET 2020 - jslaby@suse.cz
- Linux 5.9.14 (bsc#1012628).
- usb: gadget: f_fs: Use local copy of descriptors for userspace
copy (bsc#1012628).
- USB: serial: kl5kusb105: fix memleak on open (bsc#1012628).
- USB: serial: ch341: add new Product ID for CH341A (bsc#1012628).
- USB: serial: ch341: sort device-id entries (bsc#1012628).
- USB: serial: option: add Fibocom NL668 variants (bsc#1012628).
- USB: serial: option: add support for Thales Cinterion EXS82
(bsc#1012628).
- USB: serial: option: fix Quectel BG96 matching (bsc#1012628).
- speakup: Reject setting the speakup line discipline outside
of speakup (bsc#1012628).
- ALSA: hda/realtek: Fix bass speaker DAC assignment on Asus
Zephyrus G14 (bsc#1012628).
- ALSA: hda/realtek: Add mute LED quirk to yet another HP x360
model (bsc#1012628).
- ALSA: hda/realtek: Enable headset of ASUS UX482EG & B9400CEA
with ALC294 (bsc#1012628).
- ALSA: hda/realtek - Add new codec supported for ALC897
(bsc#1012628).
- ALSA: hda/realtek - Fixed Dell AIO wrong sound tone
(bsc#1012628).
- ALSA: hda/generic: Add option to enforce preferred_dacs pairs
(bsc#1012628).
- ring-buffer: Update write stamp with the correct ts
(bsc#1012628).
- ring-buffer: Set the right timestamp in the slow path of
__rb_reserve_next() (bsc#1012628).
- ring-buffer: Always check to put back before stamp when crossing
pages (bsc#1012628).
- ftrace: Fix updating FTRACE_FL_TRAMP (bsc#1012628).
- ftrace: Fix DYNAMIC_FTRACE_WITH_DIRECT_CALLS dependency
(bsc#1012628).
- cifs: allow syscalls to be restarted in __smb_send_rqst()
(bsc#1012628).
- cifs: fix potential use-after-free in cifs_echo_request()
(bsc#1012628).
- cifs: refactor create_sd_buf() and and avoid corrupting the
buffer (bsc#1012628).
- cifs: add NULL check for ses->tcon_ipc (bsc#1012628).
- gfs2: Upgrade shared glocks for atime updates (bsc#1012628).
- gfs2: Fix deadlock between gfs2_{create_inode,inode_lookup}
and delete_work_func (bsc#1012628).
- s390/pci: fix CPU address in MSI for directed IRQ (bsc#1012628).
- i2c: imx: Fix reset of I2SR_IAL flag (bsc#1012628).
- i2c: imx: Check for I2SR_IAL after every byte (bsc#1012628).
- i2c: imx: Don't generate STOP condition if arbitration has
been lost (bsc#1012628).
- tracing: Fix userstacktrace option for instances (bsc#1012628).
- thunderbolt: Fix use-after-free in remove_unplugged_switch()
(bsc#1012628).
- drm/omap: sdi: fix bridge enable/disable (bsc#1012628).
- drm/amdgpu/vcn3.0: stall DPG when WPTR/RPTR reset (bsc#1012628).
- drm/amdgpu/vcn3.0: remove old DPG workaround (bsc#1012628).
- drm/i915/gt: Retain default context state across shrinking
(bsc#1012628).
- drm/i915/gt: Limit frequency drop to RPe on parking
(bsc#1012628).
- drm/i915/gt: Program mocs:63 for cache eviction on gen9
(bsc#1012628).
- KVM: PPC: Book3S HV: XIVE: Fix vCPU id sanity check
(bsc#1012628).
- scsi: mpt3sas: Fix ioctl timeout (bsc#1012628).
- io_uring: fix recvmsg setup with compat buf-select
(bsc#1012628).
- dm writecache: advance the number of arguments when reporting
max_age (bsc#1012628).
- dm writecache: fix the maximum number of arguments
(bsc#1012628).
- powerpc/64s/powernv: Fix memory corruption when saving SLB
entries on MCE (bsc#1012628).
- genirq/irqdomain: Add an irq_create_mapping_affinity() function
(bsc#1012628).
- powerpc/pseries: Pass MSI affinity to irq_create_mapping()
(bsc#1012628).
- dm: fix bug with RCU locking in dm_blk_report_zones
(bsc#1012628).
- dm: fix double RCU unlock in dm_dax_zero_page_range() error path
(bsc#1012628).
- dm: remove invalid sparse __acquires and __releases annotations
(bsc#1012628).
- x86/uprobes: Do not use prefixes.nbytes when looping over
prefixes.bytes (bsc#1012628).
- coredump: fix core_pattern parse error (bsc#1012628).
- mm: list_lru: set shrinker map bit when child nr_items is not
zero (bsc#1012628).
- mm/swapfile: do not sleep with a spin lock held (bsc#1012628).
- hugetlb_cgroup: fix offline of hugetlb cgroup with reservations
(bsc#1012628).
- Revert "amd/amdgpu: Disable VCN DPG mode for Picasso"
(bsc#1012628).
- iommu/amd: Set DTE[IntTabLen] to represent 512 IRTEs
(bsc#1012628).
- mm: memcg/slab: fix obj_cgroup_charge() return value handling
(bsc#1012628).
- lib/syscall: fix syscall registers retrieval on 32-bit platforms
(bsc#1012628).
- can: af_can: can_rx_unregister(): remove WARN() statement from
list operation sanity check (bsc#1012628).
- gfs2: check for empty rgrp tree in gfs2_ri_update (bsc#1012628).
- netfilter: ipset: prevent uninit-value in hash_ip6_add
(bsc#1012628).
- ASoC: wm_adsp: fix error return code in wm_adsp_load()
(bsc#1012628).
- gfs2: Fix deadlock dumping resource group glocks (bsc#1012628).
- gfs2: Don't freeze the file system during unmount (bsc#1012628).
- rtw88: debug: Fix uninitialized memory in debugfs code
(bsc#1012628).
- i2c: qcom: Fix IRQ error misassignement (bsc#1012628).
- i2c: qup: Fix error return code in qup_i2c_bam_schedule_desc()
(bsc#1012628).
- dm writecache: remove BUG() and fail gracefully instead
(bsc#1012628).
- Input: i8042 - fix error return code in i8042_setup_aux()
(bsc#1012628).
- netfilter: nf_tables: avoid false-postive lockdep splat
(bsc#1012628).
- netfilter: nftables_offload: set address type in control
dissector (bsc#1012628).
- netfilter: nftables_offload: build mask based from the matching
bytes (bsc#1012628).
- x86/insn-eval: Use new for_each_insn_prefix() macro to loop
over prefixes bytes (bsc#1012628).
- Revert "geneve: pull IP header before ECN decapsulation"
(bsc#1012628).
- bpf: Fix propagation of 32-bit signed bounds from 64-bit bounds
(bsc#1012628).
- commit 960bcea
-------------------------------------------------------------------
Fri Dec 11 07:22:27 CET 2020 - jslaby@suse.cz
- Update patches.suse/tty-Fix-pgrp-locking-in-tiocspgrp.patch
(bsc#1179745 CVE-2020-29661).
- Update patches.suse/tty-Fix-session-locking.patch (bsc#1179745
CVE-2020-29660).
Add CVE numbers.
- commit 1329f77
-------------------------------------------------------------------
Thu Dec 10 19:13:26 CET 2020 - ohering@suse.de
- config: disable IP_PNP
The kernel IP autoconfiguration can only be used in practice when driver of
the network device to be configured is also built into vmlinux. As we build
all network device drivers as modules, there is no point keeping IP_PNP
enabled.
- commit 7e286c2
-------------------------------------------------------------------
Thu Dec 10 12:52:13 CET 2020 - mkubecek@suse.cz
- config: refresh
- only update CC_VERSION_TEXT after gcc update
- commit 012c071
-------------------------------------------------------------------
Thu Dec 10 11:03:39 CET 2020 - mgorman@suse.de
- Update config files to disable CONFIG_DEBUG_SECTION_MISMATCH (bsc#1177403)
- commit a0a476d
-------------------------------------------------------------------
Tue Dec 8 17:17:27 CET 2020 - mkubecek@suse.cz
- revert "mm/filemap: add static for
function __add_to_page_cache_locked"
(http://lkml.kernel.org/r/20201207081556.pwxmhgdxayzbofpi@lion.mk-sys.cz).
- fix build on ppc64, ppc64le and riscv64
- commit 9688120
-------------------------------------------------------------------
Tue Dec 8 12:18:06 CET 2020 - jslaby@suse.cz
- Linux 5.9.13 (bsc#1012628).
- devlink: Hold rtnl lock while reading netdev attributes
(bsc#1012628).
- devlink: Make sure devlink instance and port are in same net
namespace (bsc#1012628).
- ipv6: addrlabel: fix possible memory leak in ip6addrlbl_net_init
(bsc#1012628).
- net/af_iucv: set correct sk_protocol for child sockets
(bsc#1012628).
- net: openvswitch: fix TTL decrement action netlink message
format (bsc#1012628).
- net/tls: missing received data after fast remote close
(bsc#1012628).
- net/tls: Protect from calling tls_dev_del for TLS RX twice
(bsc#1012628).
- rose: Fix Null pointer dereference in rose_send_frame()
(bsc#1012628).
- sock: set sk_err to ee_errno on dequeue from errq (bsc#1012628).
- tcp: Set INET_ECN_xmit configuration in
tcp_reinit_congestion_control (bsc#1012628).
- tun: honor IOCB_NOWAIT flag (bsc#1012628).
- usbnet: ipheth: fix connectivity with iOS 14 (bsc#1012628).
- vsock/virtio: discard packets only when socket is really closed
(bsc#1012628).
- mptcp: fix NULL ptr dereference on bad MPJ (bsc#1012628).
- net/packet: fix packet receive on L3 devices without visible
hard header (bsc#1012628).
- netfilter: bridge: reset skb->pkt_type after
NF_INET_POST_ROUTING traversal (bsc#1012628).
- ipv4: Fix tos mask in inet_rtm_getroute() (bsc#1012628).
- dt-bindings: net: correct interrupt flags in examples
(bsc#1012628).
- chelsio/chtls: fix panic during unload reload chtls
(bsc#1012628).
- ibmvnic: Ensure that SCRQ entry reads are correctly ordered
(bsc#1012628).
- ibmvnic: Fix TX completion error handling (bsc#1012628).
- tipc: fix incompatible mtu of transmission (bsc#1012628).
- inet_ecn: Fix endianness of checksum update when setting ECT(1)
(bsc#1012628).
- geneve: pull IP header before ECN decapsulation (bsc#1012628).
- net: ip6_gre: set dev->hard_header_len when using header_ops
(bsc#1012628).
- dpaa_eth: copy timestamp fields to new skb in A-050385
workaround (bsc#1012628).
- cxgb3: fix error return code in t3_sge_alloc_qset()
(bsc#1012628).
- net: pasemi: fix error return code in pasemi_mac_open()
(bsc#1012628).
- vxlan: fix error return code in __vxlan_dev_create()
(bsc#1012628).
- chelsio/chtls: fix a double free in chtls_setkey()
(bsc#1012628).
- net: mvpp2: Fix error return code in mvpp2_open() (bsc#1012628).
- net: skbuff: ensure LSE is pullable before decrementing the
MPLS ttl (bsc#1012628).
- net: openvswitch: ensure LSE is pullable before reading it
(bsc#1012628).
- net/sched: act_mpls: ensure LSE is pullable before reading it
(bsc#1012628).
- net/mlx5: DR, Proper handling of unsupported Connect-X6DX SW
steering (bsc#1012628).
- net/mlx5: Fix wrong address reclaim when command interface is
down (bsc#1012628).
- net: mlx5e: fix fs_tcp.c build when IPV6 is not enabled
(bsc#1012628).
- ALSA: usb-audio: US16x08: fix value count for level meters
(bsc#1012628).
- Input: xpad - support Ardwiino Controllers (bsc#1012628).
- Input: i8042 - add ByteSpeed touchpad to noloop table
(bsc#1012628).
- Input: atmel_mxt_ts - fix lost interrupts (bsc#1012628).
- tracing: Fix alignment of static buffer (bsc#1012628).
- tracing: Remove WARN_ON in start_thread() (bsc#1012628).
- uapi: fix statx attribute value overlap for DAX & MOUNT_ROOT
(bsc#1012628).
- drm/i915/gt: Fixup tgl mocs for PTE tracking (bsc#1012628).
- commit 3dfd18b
-------------------------------------------------------------------
Tue Dec 8 07:10:41 CET 2020 - jslaby@suse.cz
- tty: Fix ->session locking (bsc#1179745).
- tty: Fix ->pgrp locking in tiocspgrp() (bsc#1179745).
- commit 9455f8b
-------------------------------------------------------------------
Mon Dec 7 16:57:41 CET 2020 - mkubecek@suse.cz
- net/x25: prevent a couple of overflows (bsc#1178590).
- commit 2a06597
-------------------------------------------------------------------
Mon Dec 7 00:02:21 CET 2020 - mkubecek@suse.cz
- Update to 5.10-rc7
- refresh
- patches.rpmify/Kconfig-make-CONFIG_CC_CAN_LINK-always-true.patch
- refresh configs
- commit baa1207
-------------------------------------------------------------------
Wed Dec 2 10:24:35 CET 2020 - jslaby@suse.cz
- Linux 5.9.12 (bsc#1012628).
- io_uring: get an active ref_node from files_data (bsc#1012628).
- io_uring: order refnode recycling (bsc#1012628).
- spi: bcm-qspi: Fix use-after-free on unbind (bsc#1012628).
- spi: bcm2835: Fix use-after-free on unbind (bsc#1012628).
- ipv4: use IS_ENABLED instead of ifdef (bsc#1012628).
- IB/hfi1: Ensure correct mm is used at all times (bsc#1012628).
- RDMA/i40iw: Address an mmap handler exploit in i40iw
(bsc#1012628).
- btrfs: fix missing delalloc new bit for new delalloc ranges
(bsc#1012628).
- btrfs: tree-checker: add missing return after error in root_item
(bsc#1012628).
- btrfs: tree-checker: add missing returns after data_ref
alignment checks (bsc#1012628).
- btrfs: don't access possibly stale fs_info data for printing
duplicate device (bsc#1012628).
- btrfs: fix lockdep splat when reading qgroup config on mount
(bsc#1012628).
- rtc: pcf2127: fix a bug when not specify interrupts property
(bsc#1012628).
- s390: fix fpu restore in entry.S (bsc#1012628).
- mm: fix VM_BUG_ON(PageTail) and BUG_ON(PageWriteback)
(bsc#1012628).
- smb3: Call cifs reconnect from demultiplex thread (bsc#1012628).
- smb3: Avoid Mid pending list corruption (bsc#1012628).
- smb3: Handle error case during offload read path (bsc#1012628).
- cifs: fix a memleak with modefromsid (bsc#1012628).
- powerpc/64s: Fix KVM system reset handling when
CONFIG_PPC_PSERIES=y (bsc#1012628).
- powerpc/64s/exception: KVM Fix for host DSI being taken in
HPT guest MMU context (bsc#1012628).
- KVM: PPC: Book3S HV: XIVE: Fix possible oops when accessing
ESB page (bsc#1012628).
- KVM: arm64: vgic-v3: Drop the reporting of GICR_TYPER.Last
for userspace (bsc#1012628).
- KVM: x86: handle !lapic_in_kernel case in kvm_cpu_*_extint
(bsc#1012628).
- KVM: x86: Fix split-irqchip vs interrupt injection window
request (bsc#1012628).
- iommu/vt-d: Don't read VCCAP register unless it exists
(bsc#1012628).
- firmware: xilinx: Use hash-table for api feature check
(bsc#1012628).
- drm/amdgpu: fix SI UVD firmware validate resume fail
(bsc#1012628).
- io_uring: fix ITER_BVEC check (bsc#1012628).
- trace: fix potenial dangerous pointer (bsc#1012628).
- arm64: tegra: Correct the UART for Jetson Xavier NX
(bsc#1012628).
- arm64: tegra: Fix USB_VBUS_EN0 regulator on Jetson TX1
(bsc#1012628).
- arm64: pgtable: Fix pte_accessible() (bsc#1012628).
- arm64: pgtable: Ensure dirty bit is preserved across
pte_wrprotect() (bsc#1012628).
- drm/amdgpu: fix a page fault (bsc#1012628).
- drm/amdgpu: update golden setting for sienna_cichlid
(bsc#1012628).
- drm/amd/amdgpu: fix null pointer in runtime pm (bsc#1012628).
- drm/amd/display: Avoid HDCP initialization in devices without
output (bsc#1012628).
- HID: uclogic: Add ID for Trust Flex Design Tablet (bsc#1012628).
- HID: ite: Replace ABS_MISC 120/121 events with touchpad on/off
keypresses (bsc#1012628).
- HID: cypress: Support Varmilo Keyboards' media hotkeys
(bsc#1012628).
- HID: add support for Sega Saturn (bsc#1012628).
- Input: i8042 - allow insmod to succeed on devices without an
i8042 controller (bsc#1012628).
- HID: hid-sensor-hub: Fix issue with devices with no report ID
(bsc#1012628).
- staging: ralink-gdma: fix kconfig dependency bug for DMA_RALINK
(bsc#1012628).
- HID: add HID_QUIRK_INCREMENT_USAGE_ON_DUPLICATE for Gamevice
devices (bsc#1012628).
- dmaengine: xilinx_dma: use readl_poll_timeout_atomic variant
(bsc#1012628).
- x86/xen: don't unbind uninitialized lock_kicker_irq
(bsc#1012628).
- kunit: fix display of failed expectations for strings
(bsc#1012628).
- HID: logitech-hidpp: Add HIDPP_CONSUMER_VENDOR_KEYS quirk for
the Dinovo Edge (bsc#1012628).
- HID: Add Logitech Dinovo Edge battery quirk (bsc#1012628).
- proc: don't allow async path resolution of /proc/self components
(bsc#1012628).
- nvme: free sq/cq dbbuf pointers when dbbuf set fails
(bsc#1012628).
- io_uring: handle -EOPNOTSUPP on path resolution (bsc#1012628).
- net: stmmac: dwmac_lib: enlarge dma reset timeout (bsc#1012628).
- vdpasim: fix "mac_pton" undefined error (bsc#1012628).
- vhost: add helper to check if a vq has been setup (bsc#1012628).
- vhost scsi: alloc cmds per vq instead of session (bsc#1012628).
- vhost scsi: fix cmd completion race (bsc#1012628).
- cpuidle: tegra: Annotate tegra_pm_set_cpu_in_lp2() with
RCU_NONIDLE (bsc#1012628).
- dmaengine: pl330: _prep_dma_memcpy: Fix wrong burst size
(bsc#1012628).
- scsi: libiscsi: Fix NOP race condition (bsc#1012628).
- scsi: target: iscsi: Fix cmd abort fabric stop race
(bsc#1012628).
- lockdep: Put graph lock/unlock under lock_recursion protection
(bsc#1012628).
- perf/x86: fix sysfs type mismatches (bsc#1012628).
- xtensa: uaccess: Add missing __user to strncpy_from_user()
prototype (bsc#1012628).
- x86/dumpstack: Do not try to access user space code of other
tasks (bsc#1012628).
- net: dsa: mv88e6xxx: Wait for EEPROM done after HW reset
(bsc#1012628).
- bus: ti-sysc: Fix reset status check for modules with quirks
(bsc#1012628).
- bus: ti-sysc: Fix bogus resetdone warning on enable for cpsw
(bsc#1012628).
- ARM: OMAP2+: Manage MPU state properly for
omap_enter_idle_coupled() (bsc#1012628).
- phy: tegra: xusb: Fix dangling pointer on probe failure
(bsc#1012628).
- iwlwifi: mvm: use the HOT_SPOT_CMD to cancel an AUX ROC
(bsc#1012628).
- iwlwifi: mvm: properly cancel a session protection for P2P
(bsc#1012628).
- iwlwifi: mvm: write queue_sync_state only for sync
(bsc#1012628).
- KVM: s390: pv: Mark mm as protected after the set secure
parameters and improve cleanup (bsc#1012628).
- KVM: s390: remove diag318 reset code (bsc#1012628).
- batman-adv: set .owner to THIS_MODULE (bsc#1012628).
- usb: cdns3: gadget: fix some endian issues (bsc#1012628).
- usb: cdns3: gadget: calculate TD_SIZE based on TD (bsc#1012628).
- phy: qualcomm: usb: Fix SuperSpeed PHY OF dependency
(bsc#1012628).
- phy: qualcomm: Fix 28 nm Hi-Speed USB PHY OF dependency
(bsc#1012628).
- arch: pgtable: define MAX_POSSIBLE_PHYSMEM_BITS where needed
(bsc#1012628).
- bus: ti-sysc: suppress err msg for timers used as
clockevent/source (bsc#1012628).
- ARM: dts: dra76x: m_can: fix order of clocks (bsc#1012628).
- scsi: ufs: Fix race between shutdown and runtime resume flow
(bsc#1012628).
- bnxt_en: fix error return code in bnxt_init_one() (bsc#1012628).
- bnxt_en: fix error return code in bnxt_init_board()
(bsc#1012628).
- video: hyperv_fb: Fix the cache type when mapping the VRAM
(bsc#1012628).
- bnxt_en: Release PCI regions when DMA mask setup fails during
probe (bsc#1012628).
- block/keyslot-manager: prevent crash when num_slots=1
(bsc#1012628).
- cxgb4: fix the panic caused by non smac rewrite (bsc#1012628).
- dpaa2-eth: select XGMAC_MDIO for MDIO bus support (bsc#1012628).
- s390/qeth: make af_iucv TX notification call more robust
(bsc#1012628).
- s390/qeth: fix af_iucv notification race (bsc#1012628).
- s390/qeth: fix tear down of async TX buffers (bsc#1012628).
- drm/mediatek: dsi: Modify horizontal front/back porch byte
formula (bsc#1012628).
- bonding: wait for sysfs kobject destruction before freeing
struct slave (bsc#1012628).
- ibmvnic: fix call_netdevice_notifiers in do_reset (bsc#1012628).
- ibmvnic: notify peers when failover and migration happen
(bsc#1012628).
- powerpc/64s: Fix allnoconfig build since uaccess flush
(bsc#1012628).
- iommu: Check return of __iommu_attach_device() (bsc#1012628).
- IB/mthca: fix return value of error branch in mthca_init_cq()
(bsc#1012628).
- i40e: Fix removing driver while bare-metal VFs pass traffic
(bsc#1012628).
- firmware: xilinx: Fix SD DLL node reset issue (bsc#1012628).
- spi: imx: fix the unbalanced spi runtime pm management
(bsc#1012628).
- io_uring: fix shift-out-of-bounds when round up cq size
(bsc#1012628).
- aquantia: Remove the build_skb path (bsc#1012628).
- nfc: s3fwrn5: use signed integer for parsing GPIO numbers
(bsc#1012628).
- net: ena: handle bad request id in ena_netdev (bsc#1012628).
- net: ena: set initial DMA width to avoid intel iommu issue
(bsc#1012628).
- net: ena: fix packet's addresses for rx_offset feature
(bsc#1012628).
- ibmvnic: fix NULL pointer dereference in reset_sub_crq_queues
(bsc#1012628).
- ibmvnic: fix NULL pointer dereference in ibmvic_reset_crq
(bsc#1012628).
- ibmvnic: enhance resetting status check during module exit
(bsc#1012628).
- optee: add writeback to valid memory type (bsc#1012628).
- x86/tboot: Don't disable swiotlb when iommu is forced on
(bsc#1012628).
- arm64: tegra: Wrong AON HSP reg property size (bsc#1012628).
- efi/efivars: Set generic ops before loading SSDT (bsc#1012628).
- efivarfs: revert "fix memory leak in efivarfs_create()"
(bsc#1012628).
- efi: EFI_EARLYCON should depend on EFI (bsc#1012628).
- riscv: Explicitly specify the build id style in vDSO Makefile
again (bsc#1012628).
- RISC-V: Add missing jump label initialization (bsc#1012628).
- RISC-V: fix barrier() use in <vdso/processor.h> (bsc#1012628).
- net: stmmac: fix incorrect merge of patch upstream
(bsc#1012628).
- enetc: Let the hardware auto-advance the taprio base-time of 0
(bsc#1012628).
- ptp: clockmatrix: bug fix for idtcm_strverscmp (bsc#1012628).
- drm/nouveau: fix relocations applying logic and a double-free
(bsc#1012628).
- can: gs_usb: fix endianess problem with candleLight firmware
(bsc#1012628).
- platform/x86: thinkpad_acpi: Send tablet mode switch at wakeup
time (bsc#1012628).
- platform/x86: toshiba_acpi: Fix the wrong variable assignment
(bsc#1012628).
- RDMA/hns: Fix wrong field of SRQ number the device supports
(bsc#1012628).
- RDMA/hns: Fix retry_cnt and rnr_cnt when querying QP
(bsc#1012628).
- RDMA/hns: Bugfix for memory window mtpt configuration
(bsc#1012628).
- can: m_can: m_can_open(): remove IRQF_TRIGGER_FALLING from
request_threaded_irq()'s flags (bsc#1012628).
- can: m_can: fix nominal bitiming tseg2 min for version >= 3.1
(bsc#1012628).
- perf record: Synthesize cgroup events only if needed
(bsc#1012628).
- perf stat: Use proper cpu for shadow stats (bsc#1012628).
- perf probe: Fix to die_entrypc() returns error correctly
(bsc#1012628).
- USB: core: Change %pK for __user pointers to %px (bsc#1012628).
- usb: gadget: f_midi: Fix memleak in f_midi_alloc (bsc#1012628).
- USB: core: Fix regression in Hercules audio card (bsc#1012628).
- USB: quirks: Add USB_QUIRK_DISCONNECT_SUSPEND quirk for Lenovo
A630Z TIO built-in usb-audio card (bsc#1012628).
- usb: gadget: Fix memleak in gadgetfs_fill_super (bsc#1012628).
- irqchip/exiu: Fix the index of fwspec for IRQ type
(bsc#1012628).
- x86/mce: Do not overwrite no_way_out if mce_end() fails
(bsc#1012628).
- x86/speculation: Fix prctl() when
spectre_v2_user={seccomp,prctl},ibpb (bsc#1012628).
- x86/resctrl: Remove superfluous kernfs_get() calls to prevent
refcount leak (bsc#1012628).
- x86/resctrl: Add necessary kernfs_put() calls to prevent
refcount leak (bsc#1012628).
- drm/amdgpu: add rlc iram and dram firmware support
(bsc#1012628).
- commit 46922da
-------------------------------------------------------------------
Tue Dec 1 12:02:07 CET 2020 - msuchanek@suse.de
- rpm/kernel-{source,binary}.spec: do not include ghost symlinks
(boo#1179082).
- commit 76a9256
-------------------------------------------------------------------
Tue Dec 1 09:43:02 CET 2020 - jslaby@suse.cz
- Update config files.
Refresh after SOUNDWIRE removal.
- commit fa3b6ea
-------------------------------------------------------------------
Mon Nov 30 13:58:44 CET 2020 - ohering@suse.de
- scripts/lib/SUSE/MyBS.pm: properly close prjconf Macros: section
- commit 965157e
-------------------------------------------------------------------
Mon Nov 30 11:39:07 CET 2020 - tiwai@suse.de
- Update config files: make CONFIG_SOUNDWIRE=m (bsc#1179201)
Also turned it off for unrelated platforms
- commit a60b1c2
-------------------------------------------------------------------
Mon Nov 30 06:53:17 CET 2020 - mkubecek@suse.cz
- Update to 5.10-rc6
- eliminated 1 patch
- patches.suse/btrfs-qgroup-don-t-commit-transaction-when-we-have-a.patch
- refresh configs
- headers only (Factory updated gcc and binutils)
- commit 248e2b4
-------------------------------------------------------------------
Thu Nov 26 16:02:20 CET 2020 - jslaby@suse.cz
- Update
patches.kernel.org/5.9.11-181-sched-Fix-data-race-in-wakeup.patch
(bsc#1012628 bsc#1175893).
Add a bsc.
- commit d5a5c02
-------------------------------------------------------------------
Thu Nov 26 14:07:00 CET 2020 - nsaenzjulienne@suse.de
- dt-bindings: pwm: Add binding for RPi firmware PWM bus
(jsc#SLE-16543).
- staging: vchiq: Release firmware handle on unbind
(jsc#SLE-16543).
- soc: bcm: raspberrypi-power: Release firmware handle on unbind
(jsc#SLE-16543).
- - pwm: Add Raspberry Pi Firmware based PWM bus (jsc#SLE-16543).
- Update configs: Enable pwm driver on arm64/arm32
- supported.conf: Enable pwm driver
- dt-bindings: pwm: Add binding for RPi firmware PWM bus
(jsc#SLE-16543).
- staging: vchiq: Release firmware handle on unbind
(jsc#SLE-16543).
- soc: bcm: raspberrypi-power: Release firmware handle on unbind
(jsc#SLE-16543).
- reset: raspberrypi: Release firmware handle on unbind
(jsc#SLE-16543).
- - pwm: Add Raspberry Pi Firmware based PWM bus (jsc#SLE-16543).
- Update configs: Enable pwm driver on arm64
- supported.conf: Enable pwm driver
- input: raspberrypi-ts: Release firmware handle when not needed
(jsc#SLE-16543).
- gpio: raspberrypi-exp: Release firmware handle on unbind
(jsc#SLE-16543).
- firmware: raspberrypi: Keep count of all consumers
(jsc#SLE-16543).
- firmware: raspberrypi: Introduce devm_rpi_firmware_get()
(jsc#SLE-16543).
- clk: bcm: rpi: Release firmware handle on unbind
(jsc#SLE-16543).
- input: raspberrypi-ts: Release firmware handle when not needed
(jsc#SLE-16543).
- gpio: raspberrypi-exp: Release firmware handle on unbind
(jsc#SLE-16543).
- firmware: raspberrypi: Keep count of all consumers
(jsc#SLE-16543).
- firmware: raspberrypi: Introduce devm_rpi_firmware_get()
(jsc#SLE-16543).
- clk: bcm: rpi: Release firmware handle on unbind
(jsc#SLE-16543).
- commit e8d4edd
-------------------------------------------------------------------
Tue Nov 24 20:32:13 CET 2020 - jslaby@suse.cz
- spi: bcm2835aux: Restore err assignment in bcm2835aux_spi_probe
(git-fixes).
- commit 91426ef
-------------------------------------------------------------------
Tue Nov 24 20:19:11 CET 2020 - jslaby@suse.cz
- Linux 5.9.11 (bsc#1012628).
- ah6: fix error return code in ah6_input() (bsc#1012628).
- atm: nicstar: Unmap DMA on send error (bsc#1012628).
- bnxt_en: read EEPROM A2h address using page 0 (bsc#1012628).
- devlink: Add missing genlmsg_cancel() in
devlink_nl_sb_port_pool_fill() (bsc#1012628).
- enetc: Workaround for MDIO register access issue (bsc#1012628).
- Exempt multicast addresses from five-second neighbor lifetime
(bsc#1012628).
- inet_diag: Fix error path to cancel the meseage in
inet_req_diag_fill() (bsc#1012628).
- ipv6: Fix error path to cancel the meseage (bsc#1012628).
- lan743x: fix issue causing intermittent kernel log warnings
(bsc#1012628).
- lan743x: prevent entire kernel HANG on open, for some platforms
(bsc#1012628).
- mlxsw: core: Use variable timeout for EMAD retries
(bsc#1012628).
- net: b44: fix error return code in b44_init_one() (bsc#1012628).
- net: bridge: add missing counters to ndo_get_stats64 callback
(bsc#1012628).
- netdevsim: set .owner to THIS_MODULE (bsc#1012628).
- net: dsa: mv88e6xxx: Avoid VTU corruption on 6097 (bsc#1012628).
- net: ethernet: mtk-star-emac: fix error return code in
mtk_star_enable() (bsc#1012628).
- net: ethernet: mtk-star-emac: return ok when xmit drops
(bsc#1012628).
- net: ethernet: ti: am65-cpts: update ret when ptp_clock is ERROR
(bsc#1012628).
- net: ethernet: ti: cpsw: fix cpts irq after suspend
(bsc#1012628).
- net: ethernet: ti: cpsw: fix error return code in cpsw_probe()
(bsc#1012628).
- net: ftgmac100: Fix crash when removing driver (bsc#1012628).
- net: Have netpoll bring-up DSA management interface
(bsc#1012628).
- net: ipa: lock when freeing transaction (bsc#1012628).
- netlabel: fix our progress tracking in
netlbl_unlabel_staticlist() (bsc#1012628).
- netlabel: fix an uninitialized warning in
netlbl_unlabel_staticlist() (bsc#1012628).
- net: lantiq: Wait for the GPHY firmware to be ready
(bsc#1012628).
- net/mlx4_core: Fix init_hca fields offset (bsc#1012628).
- net/mlx5e: Fix refcount leak on kTLS RX resync (bsc#1012628).
- net/ncsi: Fix netlink registration (bsc#1012628).
- net: phy: mscc: remove non-MACSec compatible phy (bsc#1012628).
- net: qualcomm: rmnet: Fix incorrect receive packet handling
during cleanup (bsc#1012628).
- net/smc: fix direct access to ib_gid_addr->ndev in
smc_ib_determine_gid() (bsc#1012628).
- net: stmmac: Use rtnl_lock/unlock on
netif_set_real_num_rx_queues() call (bsc#1012628).
- net/tls: fix corrupted data in recvmsg (bsc#1012628).
- net: x25: Increase refcnt of "struct x25_neigh" in
x25_rx_call_request (bsc#1012628).
- page_frag: Recover from memory pressure (bsc#1012628).
- qed: fix error return code in qed_iwarp_ll2_start()
(bsc#1012628).
- qed: fix ILT configuration of SRC block (bsc#1012628).
- qlcnic: fix error return code in qlcnic_83xx_restart_hw()
(bsc#1012628).
- sctp: change to hold/put transport for proto_unreach_timer
(bsc#1012628).
- tcp: only postpone PROBE_RTT if RTT is < current min_rtt
estimate (bsc#1012628).
- vsock: forward all packets to the host when no H2G is registered
(bsc#1012628).
- net/mlx5e: Fix check if netdev is bond slave (bsc#1012628).
- net/mlx5: Add handling of port type in rule deletion
(bsc#1012628).
- net/mlx5: Clear bw_share upon VF disable (bsc#1012628).
- net/mlx5: Disable QoS when min_rates on all VFs are zero
(bsc#1012628).
- PM: runtime: Add pm_runtime_resume_and_get to deal with usage
counter (bsc#1012628).
- net: fec: Fix reference count leak in fec series ops
(bsc#1012628).
- bnxt_en: Fix counter overflow logic (bsc#1012628).
- bnxt_en: Free port stats during firmware reset (bsc#1012628).
- net: mvneta: fix possible memory leak in
mvneta_swbm_add_rx_fragment (bsc#1012628).
- net/tls: Fix wrong record sn in async mode of device resync
(bsc#1012628).
- net: usb: qmi_wwan: Set DTR quirk for MR400 (bsc#1012628).
- Revert "Revert "gpio: omap: Fix lost edge wake-up interrupts""
(bsc#1012628).
- tools, bpftool: Avoid array index warnings (bsc#1012628).
- habanalabs/gaudi: mask WDT error in QMAN (bsc#1012628).
- pinctrl: rockchip: enable gpio pclk for rockchip_gpio_to_irq
(bsc#1012628).
- scsi: ufs: Fix unbalanced scsi_block_reqs_cnt caused by
ufshcd_hold() (bsc#1012628).
- scsi: ufs: Try to save power mode change and UIC cmd completion
timeout (bsc#1012628).
- pinctrl: mcp23s08: Print error message when regmap init fails
(bsc#1012628).
- selftests: kvm: Fix the segment descriptor layout to match
the actual layout (bsc#1012628).
- ACPI: button: Add DMI quirk for Medion Akoya E2228T
(bsc#1012628).
- arm64: errata: Fix handling of 1418040 with late CPU onlining
(bsc#1012628).
- arm64: psci: Avoid printing in cpu_psci_cpu_die() (bsc#1012628).
- arm64: smp: Tell RCU about CPUs that fail to come online
(bsc#1012628).
- um: Call pgtable_pmd_page_dtor() in __pmd_free_tlb()
(bsc#1012628).
- vfs: remove lockdep bogosity in __sb_start_write (bsc#1012628).
- gfs2: fix possible reference leak in gfs2_check_blk_type
(bsc#1012628).
- hwmon: (pwm-fan) Fix RPM calculation (bsc#1012628).
- gfs2: Fix case in which ail writes are done to jdata holes
(bsc#1012628).
- arm64: Add MIDR value for KRYO2XX gold/silver CPU cores
(bsc#1012628).
- arm64: kpti: Add KRYO2XX gold/silver CPU cores to kpti safelist
(bsc#1012628).
- arm64: cpu_errata: Apply Erratum 845719 to KRYO2XX Silver
(bsc#1012628).
- usb: dwc2: Avoid leaving the error_debugfs label unused
(bsc#1012628).
- arm64: dts: allwinner: beelink-gs1: Enable both RGMII RX/TX
delay (bsc#1012628).
- arm64: dts: allwinner: Pine H64: Enable both RGMII RX/TX delay
(bsc#1012628).
- arm64: dts: allwinner: a64: OrangePi Win: Fix ethernet node
(bsc#1012628).
- arm64: dts: allwinner: a64: Pine64 Plus: Fix ethernet node
(bsc#1012628).
- arm64: dts: allwinner: h5: OrangePi PC2: Fix ethernet node
(bsc#1012628).
- ARM: dts: sun8i: r40: bananapi-m2-ultra: Fix ethernet node
(bsc#1012628).
- Revert "arm: sun8i: orangepi-pc-plus: Set EMAC activity LEDs
to active high" (bsc#1012628).
- ARM: dts: sun6i: a31-hummingbird: Enable RGMII RX/TX delay on
Ethernet PHY (bsc#1012628).
- ARM: dts: sun7i: cubietruck: Enable RGMII RX/TX delay on
Ethernet PHY (bsc#1012628).
- ARM: dts: sun7i: bananapi-m1-plus: Enable RGMII RX/TX delay
on Ethernet PHY (bsc#1012628).
- ARM: dts: sun8i: h3: orangepi-plus2e: Enable RGMII RX/TX delay
on Ethernet PHY (bsc#1012628).
- ARM: dts: sun8i: a83t: Enable both RGMII RX/TX delay on Ethernet
PHY (bsc#1012628).
- ARM: dts: sun9i: Enable both RGMII RX/TX delay on Ethernet PHY
(bsc#1012628).
- ARM: dts: sunxi: bananapi-m2-plus: Enable RGMII RX/TX delay
on Ethernet PHY (bsc#1012628).
- arm64: dts: allwinner: h5: libretech-all-h5-cc: Enable RGMII
RX/TX delay on PHY (bsc#1012628).
- arm64: dts: allwinner: a64: bananapi-m64: Enable RGMII RX/TX
delay on PHY (bsc#1012628).
- Input: adxl34x - clean up a data type in adxl34x_probe()
(bsc#1012628).
- MIPS: export has_transparent_hugepage() for modules
(bsc#1012628).
- dmaengine: idxd: fix wq config registers offset programming
(bsc#1012628).
- arm64: dts: allwinner: h5: OrangePi Prime: Fix ethernet node
(bsc#1012628).
- arm64: dts: fsl: fix endianness issue of rcpm (bsc#1012628).
- arm64: dts: imx8mm-beacon-som: Fix Choppy BT audio
(bsc#1012628).
- arm64: dts imx8mn: Remove non-existent USB OTG2 (bsc#1012628).
- arm: dts: imx6qdl-udoo: fix rgmii phy-mode for ksz9031 phy
(bsc#1012628).
- ARM: dts: vf610-zii-dev-rev-b: Fix MDIO over clocking
(bsc#1012628).
- ARM: dts: imx6q-prti6q: fix PHY address (bsc#1012628).
- swiotlb: using SIZE_MAX needs limits.h included (bsc#1012628).
- tee: amdtee: fix memory leak due to reset of global shm list
(bsc#1012628).
- tee: amdtee: synchronize access to shm list (bsc#1012628).
- dmaengine: xilinx_dma: Fix usage of xilinx_aximcdma_tx_segment
(bsc#1012628).
- dmaengine: xilinx_dma: Fix SG capability check for MCDMA
(bsc#1012628).
- ARM: dts: stm32: Fix TA3-GPIO-C key on STM32MP1 DHCOM PDK2
(bsc#1012628).
- ARM: dts: stm32: Fix LED5 on STM32MP1 DHCOM PDK2 (bsc#1012628).
- ARM: dts: stm32: Define VIO regulator supply on DHCOM
(bsc#1012628).
- ARM: dts: stm32: Enable thermal sensor support on
stm32mp15xx-dhcor (bsc#1012628).
- ARM: dts: stm32: Keep VDDA LDO1 always on on DHCOM
(bsc#1012628).
- arm64: dts: imx8mm: fix voltage for 1.6GHz CPU operating point
(bsc#1012628).
- ARM: dts: imx50-evk: Fix the chip select 1 IOMUX (bsc#1012628).
- dmaengine: ti: omap-dma: Block PM if SDMA is busy to fix audio
(bsc#1012628).
- kunit: tool: unmark test_data as binary blobs (bsc#1012628).
- rcu: Don't invoke try_invoke_on_locked_down_task() with irqs
disabled (bsc#1012628).
- spi: fix client driver breakages when using GPIO descriptors
(bsc#1012628).
- Input: resistive-adc-touch - fix kconfig dependency on
IIO_BUFFER (bsc#1012628).
- Input: elan_i2c - fix firmware update on newer ICs
(bsc#1012628).
- rfkill: Fix use-after-free in rfkill_resume() (bsc#1012628).
- RDMA/pvrdma: Fix missing kfree() in pvrdma_register_device()
(bsc#1012628).
- RMDA/sw: Don't allow drivers using dma_virt_ops on highmem
configs (bsc#1012628).
- perf lock: Correct field name "flags" (bsc#1012628).
- perf lock: Don't free "lock_seq_stat" if read_count isn't zero
(bsc#1012628).
- SUNRPC: Fix oops in the rpc_xdr_buf event class (bsc#1012628).
- drm: bridge: dw-hdmi: Avoid resetting force in the detect
function (bsc#1012628).
- tools, bpftool: Add missing close before bpftool net attach exit
(bsc#1012628).
- IB/hfi1: Fix error return code in hfi1_init_dd() (bsc#1012628).
- ip_tunnels: Set tunnel option flag when tunnel metadata is
present (bsc#1012628).
- can: af_can: prevent potential access of uninitialized member
in can_rcv() (bsc#1012628).
- can: af_can: prevent potential access of uninitialized member
in canfd_rcv() (bsc#1012628).
- can: dev: can_restart(): post buffer from the right context
(bsc#1012628).
- can: ti_hecc: Fix memleak in ti_hecc_probe (bsc#1012628).
- can: mcba_usb: mcba_usb_start_xmit(): first fill skb, then
pass to can_put_echo_skb() (bsc#1012628).
- can: peak_usb: fix potential integer overflow on shift of a int
(bsc#1012628).
- can: flexcan: fix failure handling of pm_runtime_get_sync()
(bsc#1012628).
- can: tcan4x5x: replace depends on REGMAP_SPI with depends on
SPI (bsc#1012628).
- can: tcan4x5x: tcan4x5x_can_probe(): add missing error checking
for devm_regmap_init() (bsc#1012628).
- can: tcan4x5x: tcan4x5x_can_remove(): fix order of
deregistration (bsc#1012628).
- can: m_can: m_can_handle_state_change(): fix state change
(bsc#1012628).
- can: m_can: m_can_class_free_dev(): introduce new function
(bsc#1012628).
- can: m_can: Fix freeing of can device from peripherials
(bsc#1012628).
- can: m_can: m_can_stop(): set device to software init mode
before closing (bsc#1012628).
- dmaengine: idxd: fix mapping of portal size (bsc#1012628).
- ASoC: Intel: KMB: Fix S24_LE configuration (bsc#1012628).
- ASoC: qcom: lpass-platform: Fix memory leak (bsc#1012628).
- spi: cadence-quadspi: Fix error return code in cqspi_probe
(bsc#1012628).
- selftests/bpf: Fix error return code in run_getsockopt_test()
(bsc#1012628).
- MIPS: Alchemy: Fix memleak in alchemy_clk_setup_cpu
(bsc#1012628).
- drm/sun4i: dw-hdmi: fix error return code in
sun8i_dw_hdmi_bind() (bsc#1012628).
- net/mlx5: E-Switch, Fail mlx5_esw_modify_vport_rate if qos
disabled (bsc#1012628).
- bpf, sockmap: Fix partial copy_page_to_iter so progress can
still be made (bsc#1012628).
- bpf, sockmap: Ensure SO_RCVBUF memory is observed on ingress
redirect (bsc#1012628).
- can: kvaser_pciefd: Fix KCAN bittiming limits (bsc#1012628).
- can: kvaser_usb: kvaser_usb_hydra: Fix KCAN bittiming limits
(bsc#1012628).
- dmaengine: fix error codes in channel_register() (bsc#1012628).
- iommu/vt-d: Move intel_iommu_gfx_mapped to Intel IOMMU header
(bsc#1012628).
- iommu/vt-d: Avoid panic if iommu init fails in tboot system
(bsc#1012628).
- can: flexcan: flexcan_chip_start(): fix erroneous
flexcan_transceiver_enable() during bus-off recovery
(bsc#1012628).
- can: m_can: process interrupt only when not runtime suspended
(bsc#1012628).
- xfs: fix the minrecs logic when dealing with inode root child
blocks (bsc#1012628).
- xfs: strengthen rmap record flags checking (bsc#1012628).
- xfs: directory scrub should check the null bestfree entries too
(bsc#1012628).
- xfs: ensure inobt record walks always make forward progress
(bsc#1012628).
- xfs: return corresponding errcode if xfs_initialize_perag()
fail (bsc#1012628).
- ASOC: Intel: kbl_rt5663_rt5514_max98927: Do not try to disable
disabled clock (bsc#1012628).
- regulator: ti-abb: Fix array out of bound read access on the
first transition (bsc#1012628).
- libbpf: Fix VERSIONED_SYM_COUNT number parsing (bsc#1012628).
- lib/strncpy_from_user.c: Mask out bytes after NUL terminator
(bsc#1012628).
- fail_function: Remove a redundant mutex unlock (bsc#1012628).
- xfs: revert "xfs: fix rmap key and record comparison functions"
(bsc#1012628).
- selftests/seccomp: powerpc: Fix typo in macro variable name
(bsc#1012628).
- selftests/seccomp: sh: Fix register names (bsc#1012628).
- bpf, sockmap: Skb verdict SK_PASS to self already checked rmem
limits (bsc#1012628).
- bpf, sockmap: On receive programs try to fast track SK_PASS
ingress (bsc#1012628).
- bpf, sockmap: Use truesize with sk_rmem_schedule()
(bsc#1012628).
- bpf, sockmap: Avoid returning unneeded EAGAIN when redirecting
to self (bsc#1012628).
- efi/arm: set HSCTLR Thumb2 bit correctly for HVC calls from HYP
(bsc#1012628).
- counter/ti-eqep: Fix regmap max_register (bsc#1012628).
- efi/x86: Free efi_pgd with free_pages() (bsc#1012628).
- sched/fair: Fix overutilized update in enqueue_task_fair()
(bsc#1012628).
- sched: Fix data-race in wakeup (bsc#1012628).
- sched: Fix rq->nr_iowait ordering (bsc#1012628).
- libfs: fix error cast of negative value in simple_attr_write()
(bsc#1012628).
- afs: Fix speculative status fetch going out of order wrt to
modifications (bsc#1012628).
- HID: logitech-hidpp: Add PID for MX Anywhere 2 (bsc#1012628).
- HID: mcp2221: Fix GPIO output handling (bsc#1012628).
- HID: logitech-dj: Handle quad/bluetooth keyboards with a
builtin trackpad (bsc#1012628).
- HID: logitech-dj: Fix Dinovo Mini when paired with a MX5x00
receiver (bsc#1012628).
- speakup: Do not let the line discipline be used several times
(bsc#1012628).
- ALSA: firewire: Clean up a locking issue in copy_resp_to_buf()
(bsc#1012628).
- ALSA: usb-audio: Add delay quirk for all Logitech USB devices
(bsc#1012628).
- ALSA: ctl: fix error path at adding user-defined element set
(bsc#1012628).
- ALSA: mixart: Fix mutex deadlock (bsc#1012628).
- ALSA: hda/realtek - Add supported for Lenovo ThinkPad Headset
Button (bsc#1012628).
- ALSA: hda/realtek - Add supported mute Led for HP (bsc#1012628).
- ALSA: hda/realtek: Add some Clove SSID in the ALC293(ALC1220)
(bsc#1012628).
- ALSA: hda/realtek - HP Headset Mic can't detect after boot
(bsc#1012628).
- tty: serial: imx: fix potential deadlock (bsc#1012628).
- tty: serial: imx: keep console clocks always on (bsc#1012628).
- HID: logitech-dj: Fix an error in mse_bluetooth_descriptor
(bsc#1012628).
- efivarfs: fix memory leak in efivarfs_create() (bsc#1012628).
- staging: rtl8723bs: Add 024c:0627 to the list of SDIO device-ids
(bsc#1012628).
- staging: mt7621-pci: avoid to request pci bus resources
(bsc#1012628).
- iio: light: fix kconfig dependency bug for VCNL4035
(bsc#1012628).
- ext4: fix bogus warning in ext4_update_dx_flag() (bsc#1012628).
- xfs: fix forkoff miscalculation related to XFS_LITINO(mp)
(bsc#1012628).
- ACPI: fan: Initialize performance state sysfs attribute
(bsc#1012628).
- iio: accel: kxcjk1013: Replace is_smo8500_device with an
acpi_type enum (bsc#1012628).
- iio: accel: kxcjk1013: Add support for KIOX010A ACPI DSM for
setting tablet-mode (bsc#1012628).
- iio: adc: mediatek: fix unset field (bsc#1012628).
- iio: cros_ec: Use default frequencies when EC returns invalid
information (bsc#1012628).
- iio: imu: st_lsm6dsx: set 10ms as min shub slave timeout
(bsc#1012628).
- iio/adc: ingenic: Fix AUX/VBAT readings when touchscreen is used
(bsc#1012628).
- iio/adc: ingenic: Fix battery VREF for JZ4770 SoC (bsc#1012628).
- iio: adc: stm32-adc: fix a regression when using dma and irq
(bsc#1012628).
- serial: ar933x_uart: disable clk on error handling path in probe
(bsc#1012628).
- arm64: dts: agilex/stratix10: Fix qspi node compatible
(bsc#1012628).
- spi: lpspi: Fix use-after-free on unbind (bsc#1012628).
- spi: Introduce device-managed SPI controller allocation
(bsc#1012628).
- spi: npcm-fiu: Don't leak SPI master in probe error path
(bsc#1012628).
- spi: bcm2835aux: Fix use-after-free on unbind (bsc#1012628).
- regulator: pfuze100: limit pfuze-support-disable-sw to
pfuze{100,200} (bsc#1012628).
- regulator: fix memory leak with repeated
set_machine_constraints() (bsc#1012628).
- regulator: avoid resolve_supply() infinite recursion
(bsc#1012628).
- regulator: workaround self-referent regulators (bsc#1012628).
- gfs2: Fix regression in freeze_go_sync (bsc#1012628).
- xtensa: fix TLBTEMP area placement (bsc#1012628).
- xtensa: disable preemption around cache alias management calls
(bsc#1012628).
- mac80211: minstrel: remove deferred sampling code (bsc#1012628).
- mac80211: minstrel: fix tx status processing corner case
(bsc#1012628).
- s390: fix system call exit path (bsc#1012628).
- s390/cpum_sf.c: fix file permission for cpum_sfb_size
(bsc#1012628).
- s390/dasd: fix null pointer dereference for ERP requests
(bsc#1012628).
- Drivers: hv: vmbus: Allow cleanup of VMBUS_CONNECT_CPU if
disconnected (bsc#1012628).
- drm/amd/display: Add missing pflip irq for dcn2.0 (bsc#1012628).
- drm/i915: Handle max_bpc==16 (bsc#1012628).
- drm/i915/tgl: Fix Media power gate sequence (bsc#1012628).
- io_uring: don't double complete failed reissue request
(bsc#1012628).
- mmc: sdhci-pci: Prefer SDR25 timing for High Speed mode for
BYT-based Intel controllers (bsc#1012628).
- mmc: sdhci-of-arasan: Allow configuring zero tap values
(bsc#1012628).
- mmc: sdhci-of-arasan: Use Mask writes for Tap delays
(bsc#1012628).
- mmc: sdhci-of-arasan: Issue DLL reset explicitly (bsc#1012628).
- blk-cgroup: fix a hd_struct leak in blkcg_fill_root_iostats
(bsc#1012628).
- ptrace: Set PF_SUPERPRIV when checking capability (bsc#1012628).
- seccomp: Set PF_SUPERPRIV when checking capability
(bsc#1012628).
- fanotify: fix logic of reporting name info with watched parent
(bsc#1012628).
- x86/microcode/intel: Check patch signature before saving
microcode for early loading (bsc#1012628).
- mm: never attempt async page lock if we've transferred data
already (bsc#1012628).
- mm: fix readahead_page_batch for retry entries (bsc#1012628).
- mm: memcg/slab: fix root memcg vmstats (bsc#1012628).
- mm/userfaultfd: do not access vma->vm_mm after calling
handle_userfault() (bsc#1012628).
- Update config files.
- commit 1b55838
-------------------------------------------------------------------
Tue Nov 24 08:49:09 CET 2020 - jslaby@suse.cz
- Update config files (bsc#1179102).
Set AMIGA_PARTITION=y wherever AFFS_FS=m. The AMIGA_PARTITION's Kconfig
help suggests: default y if (AMIGA || AFFS_FS=y). And we enable Amiga FS
in some configs, but don't enable support for Amiga partitions. That is
a bit pointless. So fix this.
- commit cc720a5
-------------------------------------------------------------------
Mon Nov 23 11:32:29 CET 2020 - jslaby@suse.cz
- rpm/kernel-binary.spec.in: use grep -E instead of egrep (bsc#1179045)
egrep is only a deprecated bash wrapper for "grep -E". So use the latter
instead.
- commit 63d7072
-------------------------------------------------------------------
Mon Nov 23 10:08:45 CET 2020 - jslaby@suse.cz
- kernel-{binary,source}.spec.in: do not create loop symlinks (bsc#1179082)
- rpm/kernel-{binary,source}.spec.in: do not create loop symlinks
(bsc#1179082)
- commit adf56a8
-------------------------------------------------------------------
Mon Nov 23 08:04:01 CET 2020 - jslaby@suse.cz
- rpm/kernel-binary.spec.in: avoid using more barewords (bsc#1179014)
%split_extra still contained two.
- commit d9b4c40
-------------------------------------------------------------------
Mon Nov 23 06:50:49 CET 2020 - mkubecek@suse.cz
- Update to 5.10-rc5
- refresh configs
- DMA_VIRT_OPS and dependecies dropped on i386
- commit 8ebabda
-------------------------------------------------------------------
Sun Nov 22 14:01:07 CET 2020 - jslaby@suse.cz
- mac80211: free sta in sta_info_insert_finish() on errors
(git-fixes).
- commit f42c89a
-------------------------------------------------------------------
Sun Nov 22 13:58:57 CET 2020 - jslaby@suse.cz
- Linux 5.9.10 (bsc#1012628).
- ACPI: GED: fix -Wformat (bsc#1012628).
- KVM: x86: clflushopt should be treated as a no-op by emulation
(bsc#1012628).
- perf/x86/intel/uncore: Fix Add BW copypasta (bsc#1012628).
- powerpc/smp: Call rcu_cpu_starting() earlier (bsc#1012628).
- selftests/harness: prettify SKIP message whitespace again
(bsc#1012628).
- can: proc: can_remove_proc(): silence remove_proc_entry warning
(bsc#1012628).
- mac80211: always wind down STA state (bsc#1012628).
- Input: sunkbd - avoid use-after-free in teardown paths
(bsc#1012628).
- leds: lm3697: Fix out-of-bound access (bsc#1012628).
- selftests/powerpc: entry flush test (bsc#1012628).
- powerpc: Only include kup-radix.h for 64-bit Book3S
(bsc#1012628).
- powerpc/64s: flush L1D after user accesses (bsc#1012628).
- powerpc/64s: flush L1D on kernel entry (bsc#1012628).
- selftests/powerpc: rfi_flush: disable entry flush if present
(bsc#1012628).
- commit 18ece1c
-------------------------------------------------------------------
Fri Nov 20 17:08:21 CET 2020 - msuchanek@suse.de
- kernel-source.spec: Fix build with rpm 4.16 (boo#1179015).
RPM_BUILD_ROOT is cleared before %%install. Do the unpack into
RPM_BUILD_ROOT in %%install
- commit 13bd533
-------------------------------------------------------------------
Fri Nov 20 13:32:09 CET 2020 - jslaby@suse.cz
- rpm/kernel-binary.spec.in: avoid using barewords (bsc#1179014)
Author: Dominique Leuenberger <dimstar@opensuse.org>
- commit 21f8205
-------------------------------------------------------------------
Fri Nov 20 12:07:08 CET 2020 - jslaby@suse.cz
- rpm/mkspec: do not build kernel-obs-build on x86_32
We want to use 64bit kernel due to various bugs (bsc#1178762 to name
one).
There is:
ExportFilter: ^kernel-obs-build.*\.x86_64.rpm$ . i586
in Factory's prjconf now. No other actively maintained distro (i.e.
merging packaging branch) builds a x86_32 kernel, hence pushing to
packaging directly.
- commit 8099b4b
-------------------------------------------------------------------
Thu Nov 19 19:00:59 CET 2020 - jslaby@suse.cz
- RDMA/srpt: Fix typo in srpt_unregister_mad_agent docstring
(git-fixes).
- arm64: kexec_file: Fix sparse warning (git-fixes).
- commit f76e598
-------------------------------------------------------------------
Thu Nov 19 13:15:57 CET 2020 - jslaby@suse.cz
- Linux 5.9.9 (bsc#1012628).
- drm/i915: Hold onto an explicit ref to i915_vma_work.pinned
(bsc#1012628).
- drm/i915/gem: Flush coherency domains on first set-domain-ioctl
(bsc#1012628).
- mm: memcg: link page counters to root if use_hierarchy is false
(bsc#1012628).
- nbd: don't update block size after device is started
(bsc#1012628).
- KVM: arm64: Force PTE mapping on fault resulting in a device
mapping (bsc#1012628).
- xfrm: interface: fix the priorities for ipip and ipv6 tunnels
(bsc#1012628).
- ASoC: Intel: kbl_rt5663_max98927: Fix kabylake_ssp_fixup
function (bsc#1012628).
- genirq: Let GENERIC_IRQ_IPI select IRQ_DOMAIN_HIERARCHY
(bsc#1012628).
- hv_balloon: disable warning when floor reached (bsc#1012628).
- net: xfrm: fix a race condition during allocing spi
(bsc#1012628).
- ASoC: codecs: wsa881x: add missing stream rates and format
(bsc#1012628).
- spi: imx: fix runtime pm support for !CONFIG_PM (bsc#1012628).
- irqchip/sifive-plic: Fix broken irq_set_affinity() callback
(bsc#1012628).
- kunit: Fix kunit.py --raw_output option (bsc#1012628).
- kunit: Don't fail test suites if one of them is empty
(bsc#1012628).
- usb: gadget: fsl: fix null pointer checking (bsc#1012628).
- selftests: filter kselftest headers from command in lib.mk
(bsc#1012628).
- ASoC: codecs: wcd934x: Set digital gain range correctly
(bsc#1012628).
- ASoC: codecs: wcd9335: Set digital gain range correctly
(bsc#1012628).
- mtd: spi-nor: Fix address width on flash chips > 16MB
(bsc#1012628).
- xfs: set xefi_discard when creating a deferred agfl free log
intent item (bsc#1012628).
- mac80211: don't require VHT elements for HE on 2.4 GHz
(bsc#1012628).
- netfilter: nftables: fix netlink report logic in flowtable
and genid (bsc#1012628).
- netfilter: use actual socket sk rather than skb sk when routing
harder (bsc#1012628).
- netfilter: nf_tables: missing validation from the abort path
(bsc#1012628).
- netfilter: ipset: Update byte and packet counters regardless
of whether they match (bsc#1012628).
- irqchip/sifive-plic: Fix chip_data access within a hierarchy
(bsc#1012628).
- powerpc/eeh_cache: Fix a possible debugfs deadlock
(bsc#1012628).
- drm/vc4: bo: Add a managed action to cleanup the cache
(bsc#1012628).
- IB/srpt: Fix memory leak in srpt_add_one (bsc#1012628).
- mm: memcontrol: correct the NR_ANON_THPS counter of hierarchical
memcg (bsc#1012628).
- drm/panfrost: rename error labels in device_init (bsc#1012628).
- drm/panfrost: move devfreq_init()/fini() in device
(bsc#1012628).
- drm/panfrost: Fix module unload (bsc#1012628).
- perf trace: Fix segfault when trying to trace events by cgroup
(bsc#1012628).
- perf tools: Add missing swap for ino_generation (bsc#1012628).
- perf tools: Add missing swap for cgroup events (bsc#1012628).
- ALSA: hda: prevent undefined shift in
snd_hdac_ext_bus_get_link() (bsc#1012628).
- iommu/vt-d: Fix sid not set issue in intel_svm_bind_gpasid()
(bsc#1012628).
- iommu/vt-d: Fix a bug for PDP check in prq_event_thread
(bsc#1012628).
- afs: Fix warning due to unadvanced marshalling pointer
(bsc#1012628).
- afs: Fix incorrect freeing of the ACL passed to the YFS ACL
store op (bsc#1012628).
- vfio/pci: Implement ioeventfd thread handler for contended
memory lock (bsc#1012628).
- can: rx-offload: don't call kfree_skb() from IRQ context
(bsc#1012628).
- can: dev: can_get_echo_skb(): prevent call to kfree_skb()
in hard IRQ context (bsc#1012628).
- can: dev: __can_get_echo_skb(): fix real payload length return
value for RTR frames (bsc#1012628).
- can: can_create_echo_skb(): fix echo skb generation: always
use skb_clone() (bsc#1012628).
- can: j1939: swap addr and pgn in the send example (bsc#1012628).
- can: j1939: j1939_sk_bind(): return failure if netdev is down
(bsc#1012628).
- can: ti_hecc: ti_hecc_probe(): add missed
clk_disable_unprepare() in error path (bsc#1012628).
- can: xilinx_can: handle failure cases of pm_runtime_get_sync
(bsc#1012628).
- can: peak_usb: add range checking in decode operations
(bsc#1012628).
- can: peak_usb: peak_usb_get_ts_time(): fix timestamp wrapping
(bsc#1012628).
- can: peak_canfd: pucan_handle_can_rx(): fix echo management
when loopback is on (bsc#1012628).
- can: flexcan: remove FLEXCAN_QUIRK_DISABLE_MECR quirk for
LS1021A (bsc#1012628).
- can: flexcan: flexcan_remove(): disable wakeup completely
(bsc#1012628).
- xfs: flush new eof page on truncate to avoid post-eof corruption
(bsc#1012628).
- xfs: fix missing CoW blocks writeback conversion retry
(bsc#1012628).
- xfs: fix scrub flagging rtinherit even if there is no rt device
(bsc#1012628).
- io_uring: ensure consistent view of original task ->mm from
SQPOLL (bsc#1012628).
- spi: fsl-dspi: fix wrong pointer in suspend/resume
(bsc#1012628).
- PCI: mvebu: Fix duplicate resource requests (bsc#1012628).
- ceph: check session state after bumping session->s_seq
(bsc#1012628).
- selftests: core: use SKIP instead of XFAIL in close_range_test.c
(bsc#1012628).
- selftests: clone3: use SKIP instead of XFAIL (bsc#1012628).
- selftests: binderfs: use SKIP instead of XFAIL (bsc#1012628).
- x86/speculation: Allow IBPB to be conditionally enabled on
CPUs with always-on STIBP (bsc#1012628).
- kbuild: explicitly specify the build id style (bsc#1012628).
- RISC-V: Fix the VDSO symbol generaton for binutils-2.35+
(bsc#1012628).
- USB: apple-mfi-fastcharge: fix reference leak in
apple_mfi_fc_set_property (bsc#1012628).
- tpm: efi: Don't create binary_bios_measurements file for an
empty log (bsc#1012628).
- KVM: arm64: ARM_SMCCC_ARCH_WORKAROUND_1 doesn't return
SMCCC_RET_NOT_REQUIRED (bsc#1012628).
- ath9k_htc: Use appropriate rs_datalen type (bsc#1012628).
- scsi: ufs: Fix missing brace warning for old compilers
(bsc#1012628).
- ASoC: mediatek: mt8183-da7219: fix DAPM paths for rt1015
(bsc#1012628).
- ASoC: qcom: sdm845: set driver name correctly (bsc#1012628).
- ASoC: cs42l51: manage mclk shutdown delay (bsc#1012628).
- ASoC: SOF: loader: handle all SOF_IPC_EXT types (bsc#1012628).
- usb: dwc3: pci: add support for the Intel Alder Lake-S
(bsc#1012628).
- opp: Reduce the size of critical section in
_opp_table_kref_release() (bsc#1012628).
- usb: gadget: goku_udc: fix potential crashes in probe
(bsc#1012628).
- usb: raw-gadget: fix memory leak in gadget_setup (bsc#1012628).
- selftests/ftrace: check for do_sys_openat2 in user-memory test
(bsc#1012628).
- selftests: pidfd: fix compilation errors due to wait.h
(bsc#1012628).
- ALSA: hda: Separate runtime and system suspend (bsc#1012628).
- ALSA: hda: Reinstate runtime_allow() for all hda controllers
(bsc#1012628).
- x86/boot/compressed/64: Introduce sev_status (bsc#1012628).
- gfs2: Free rd_bits later in gfs2_clear_rgrpd to fix
use-after-free (bsc#1012628).
- gfs2: Add missing truncate_inode_pages_final for sd_aspace
(bsc#1012628).
- gfs2: check for live vs. read-only file system in gfs2_fitrim
(bsc#1012628).
- scsi: hpsa: Fix memory leak in hpsa_init_one() (bsc#1012628).
- drm/amdgpu: perform srbm soft reset always on SDMA resume
(bsc#1012628).
- drm/amd/pm: correct the baco reset sequence for CI ASICs
(bsc#1012628).
- drm/amd/pm: perform SMC reset on suspend/hibernation
(bsc#1012628).
- drm/amd/pm: do not use ixFEATURE_STATUS for checking smc running
(bsc#1012628).
- mac80211: fix use of skb payload instead of header
(bsc#1012628).
- cfg80211: initialize wdev data earlier (bsc#1012628).
- cfg80211: regulatory: Fix inconsistent format argument
(bsc#1012628).
- wireguard: selftests: check that route_me_harder packets use
the right sk (bsc#1012628).
- tracing: Fix the checking of stackidx in __ftrace_trace_stack
(bsc#1012628).
- Revert "nvme-pci: remove last_sq_tail" (bsc#1012628).
- ARC: [plat-hsdk] Remap CCMs super early in asm boot trampoline
(bsc#1012628).
- scsi: scsi_dh_alua: Avoid crash during alua_bus_detach()
(bsc#1012628).
- scsi: mpt3sas: Fix timeouts observed while reenabling IRQ
(bsc#1012628).
- nvme: introduce nvme_sync_io_queues (bsc#1012628).
- nvme-rdma: avoid race between time out and tear down
(bsc#1012628).
- nvme-tcp: avoid race between time out and tear down
(bsc#1012628).
- nvme-rdma: avoid repeated request completion (bsc#1012628).
- nvme-tcp: avoid repeated request completion (bsc#1012628).
- iommu/amd: Increase interrupt remapping table limit to 512
entries (bsc#1012628).
- s390/smp: move rcu_cpu_starting() earlier (bsc#1012628).
- vfio: platform: fix reference leak in vfio_platform_open
(bsc#1012628).
- vfio/pci: Bypass IGD init in case of -ENODEV (bsc#1012628).
- i2c: mediatek: move dma reset before i2c reset (bsc#1012628).
- amd/amdgpu: Disable VCN DPG mode for Picasso (bsc#1012628).
- iomap: clean up writeback state logic on writepage error
(bsc#1012628).
- selftests: proc: fix warning: _GNU_SOURCE redefined
(bsc#1012628).
- arm64: kexec_file: try more regions if loading segments fails
(bsc#1012628).
- riscv: Set text_offset correctly for M-Mode (bsc#1012628).
- i2c: sh_mobile: implement atomic transfers (bsc#1012628).
- i2c: designware: call i2c_dw_read_clear_intrbits_slave() once
(bsc#1012628).
- i2c: designware: slave should do WRITE_REQUESTED before
WRITE_RECEIVED (bsc#1012628).
- tpm_tis: Disable interrupts on ThinkPad T490s (bsc#1012628).
- mfd: sprd: Add wakeup capability for PMIC IRQ (bsc#1012628).
- pinctrl: intel: Fix 2 kOhm bias which is 833 Ohm (bsc#1012628).
- pinctrl: intel: Set default bias in case no particular value
given (bsc#1012628).
- gpio: aspeed: fix ast2600 bank properties (bsc#1012628).
- ARM: 9019/1: kprobes: Avoid fortify_panic() when copying
optprobe template (bsc#1012628).
- bpf: Don't rely on GCC __attribute__((optimize)) to disable GCSE
(bsc#1012628).
- libbpf, hashmap: Fix undefined behavior in hash_bits
(bsc#1012628).
- pinctrl: mcp23s08: Use full chunk of memory for regmap
configuration (bsc#1012628).
- pinctrl: aspeed: Fix GPI only function problem (bsc#1012628).
- net/mlx5e: Fix modify header actions memory leak (bsc#1012628).
- net/mlx5e: Protect encap route dev from concurrent release
(bsc#1012628).
- net/mlx5e: Use spin_lock_bh for async_icosq_lock (bsc#1012628).
- net/mlx5: Fix deletion of duplicate rules (bsc#1012628).
- net/mlx5: E-switch, Avoid extack error log for disabled vport
(bsc#1012628).
- net/mlx5e: Fix VXLAN synchronization after function reload
(bsc#1012628).
- net/mlx5e: Fix incorrect access of RCU-protected xdp_prog
(bsc#1012628).
- SUNRPC: Fix general protection fault in trace_rpc_xdr_overflow()
(bsc#1012628).
- NFSD: Fix use-after-free warning when doing inter-server copy
(bsc#1012628).
- NFSD: fix missing refcount in nfsd4_copy by nfsd4_do_async_copy
(bsc#1012628).
- tools/bpftool: Fix attaching flow dissector (bsc#1012628).
- bpf: Zero-fill re-used per-cpu map element (bsc#1012628).
- r8169: fix potential skb double free in an error path
(bsc#1012628).
- r8169: disable hw csum for short packets on all chip versions
(bsc#1012628).
- pinctrl: qcom: Move clearing pending IRQ to
.irq_request_resources callback (bsc#1012628).
- pinctrl: qcom: sm8250: Specify PDC map (bsc#1012628).
- nbd: fix a block_device refcount leak in nbd_release
(bsc#1012628).
- selftest: fix flower terse dump tests (bsc#1012628).
- i40e: Fix MAC address setting for a VF via Host/VM
(bsc#1012628).
- igc: Fix returning wrong statistics (bsc#1012628).
- lan743x: correctly handle chips with internal PHY (bsc#1012628).
- net: phy: realtek: support paged operations on RTL8201CP
(bsc#1012628).
- xfs: fix flags argument to rmap lookup when converting shared
file rmaps (bsc#1012628).
- xfs: set the unwritten bit in rmap lookup flags in
xchk_bmap_get_rmapextents (bsc#1012628).
- xfs: fix rmap key and record comparison functions (bsc#1012628).
- xfs: fix brainos in the refcount scrubber's rmap fragment
processor (bsc#1012628).
- lan743x: fix "BUG: invalid wait context" when setting rx mode
(bsc#1012628).
- xfs: fix a missing unlock on error in xfs_fs_map_blocks
(bsc#1012628).
- of/address: Fix of_node memory leak in of_dma_is_coherent
(bsc#1012628).
- ch_ktls: Update cheksum information (bsc#1012628).
- ch_ktls: tcb update fails sometimes (bsc#1012628).
- cosa: Add missing kfree in error path of cosa_write
(bsc#1012628).
- hwmon: (applesmc) Re-work SMC comms (bsc#1012628).
- NFS: Fix listxattr receive buffer size (bsc#1012628).
- vrf: Fix fast path output packet handling with async Netfilter
rules (bsc#1012628).
- lan743x: fix use of uninitialized variable (bsc#1012628).
- arm64/mm: Validate hotplug range before creating linear mapping
(bsc#1012628).
- kernel/watchdog: fix watchdog_allowed_mask not used warning
(bsc#1012628).
- mm: memcontrol: fix missing wakeup polling thread (bsc#1012628).
- afs: Fix afs_write_end() when called with copied == 0 [ver #3]
(bsc#1012628).
- perf: Fix get_recursion_context() (bsc#1012628).
- nvme: factor out a nvme_configure_metadata helper (bsc#1012628).
- nvme: freeze the queue over ->lba_shift updates (bsc#1012628).
- nvme: fix incorrect behavior when BLKROSET is called by the user
(bsc#1012628).
- perf: Simplify group_sched_in() (bsc#1012628).
- perf: Fix event multiplexing for exclusive groups (bsc#1012628).
- firmware: xilinx: fix out-of-bounds access (bsc#1012628).
- erofs: fix setting up pcluster for temporary pages
(bsc#1012628).
- erofs: derive atime instead of leaving it empty (bsc#1012628).
- ext4: correctly report "not supported" for {usr,grp}jquota
when !CONFIG_QUOTA (bsc#1012628).
- ext4: unlock xattr_sem properly in ext4_inline_data_truncate()
(bsc#1012628).
- btrfs: fix potential overflow in cluster_pages_for_defrag on
32bit arch (bsc#1012628).
- btrfs: ref-verify: fix memory leak in btrfs_ref_tree_mod
(bsc#1012628).
- btrfs: fix min reserved size calculation in merge_reloc_root
(bsc#1012628).
- btrfs: dev-replace: fail mount if we don't have replace item
with target device (bsc#1012628).
- KVM: arm64: Don't hide ID registers from userspace
(bsc#1012628).
- speakup: Fix var_id_t values and thus keymap (bsc#1012628).
- speakup ttyio: Do not schedule() in ttyio_in_nowait
(bsc#1012628).
- speakup: Fix clearing selection in safe context (bsc#1012628).
- thunderbolt: Fix memory leak if ida_simple_get() fails in
enumerate_services() (bsc#1012628).
- thunderbolt: Add the missed ida_simple_remove() in
ring_request_msix() (bsc#1012628).
- uio: Fix use-after-free in uio_unregister_device()
(bsc#1012628).
- Revert "usb: musb: convert to
devm_platform_ioremap_resource_byname" (bsc#1012628).
- usb: cdc-acm: Add DISABLE_ECHO for Renesas USB Download mode
(bsc#1012628).
- usb: typec: ucsi: Report power supply changes (bsc#1012628).
- xhci: hisilicon: fix refercence leak in xhci_histb_probe
(bsc#1012628).
- virtio: virtio_console: fix DMA memory allocation for rproc
serial (bsc#1012628).
- mei: protect mei_cl_mtu from null dereference (bsc#1012628).
- futex: Don't enable IRQs unconditionally in put_pi_state()
(bsc#1012628).
- jbd2: fix up sparse warnings in checkpoint code (bsc#1012628).
- bootconfig: Extend the magic check range to the preceding 3
bytes (bsc#1012628).
- mm/compaction: count pages and stop correctly during page
isolation (bsc#1012628).
- mm/compaction: stop isolation if too many pages are isolated
and we have pages to migrate (bsc#1012628).
- mm/slub: fix panic in slab_alloc_node() (bsc#1012628).
- mm/vmscan: fix NR_ISOLATED_FILE corruption on 64-bit
(bsc#1012628).
- mm/gup: use unpin_user_pages() in __gup_longterm_locked()
(bsc#1012628).
- Revert "kernel/reboot.c: convert simple_strtoul to kstrtoint"
(bsc#1012628).
- reboot: fix overflow parsing reboot cpu number (bsc#1012628).
- hugetlbfs: fix anon huge page migration race (bsc#1012628).
- ocfs2: initialize ip_next_orphan (bsc#1012628).
- hwmon: (amd_energy) modify the visibility of the counters
(bsc#1012628).
- selinux: Fix error return code in sel_ib_pkey_sid_slow()
(bsc#1012628).
- io_uring: round-up cq size before comparing with rounded sq size
(bsc#1012628).
- gpio: sifive: Fix SiFive gpio probe (bsc#1012628).
- gpio: pcie-idio-24: Fix irq mask when masking (bsc#1012628).
- gpio: pcie-idio-24: Fix IRQ Enable Register value (bsc#1012628).
- gpio: pcie-idio-24: Enable PEX8311 interrupts (bsc#1012628).
- mmc: sdhci-of-esdhc: Handle pulse width detection erratum for
more SoCs (bsc#1012628).
- mmc: renesas_sdhi_core: Add missing tmio_mmc_host_free()
at remove (bsc#1012628).
- don't dump the threads that had been already exiting when zapped
(bsc#1012628).
- drm/amd/display: Add missing pflip irq (bsc#1012628).
- drm/i915: Correctly set SFC capability for video engines
(bsc#1012628).
- drm/gma500: Fix out-of-bounds access to struct
drm_device.vblank[] (bsc#1012628).
- NFSv4.2: fix failure to unregister shrinker (bsc#1012628).
- pinctrl: amd: use higher precision for 512 RtcClk (bsc#1012628).
- pinctrl: amd: fix incorrect way to disable debounce filter
(bsc#1012628).
- swiotlb: fix "x86: Don't panic if can not alloc buffer for
swiotlb" (bsc#1012628).
- cpufreq: Introduce governor flags (bsc#1012628).
- cpufreq: Introduce CPUFREQ_GOV_STRICT_TARGET (bsc#1012628).
- cpufreq: Add strict_target to struct cpufreq_policy
(bsc#1012628).
- cpufreq: intel_pstate: Take CPUFREQ_GOV_STRICT_TARGET into
account (bsc#1012628).
- ethtool: netlink: add missing netdev_features_change() call
(bsc#1012628).
- IPv6: Set SIT tunnel hard_header_len to zero (bsc#1012628).
- net/af_iucv: fix null pointer dereference on shutdown
(bsc#1012628).
- net: udp: fix IP header access and skb lookup on Fast/frag0
UDP GRO (bsc#1012628).
- net: udp: fix UDP header access on Fast/frag0 UDP GRO
(bsc#1012628).
- net: Update window_clamp if SOCK_RCVBUF is set (bsc#1012628).
- net/x25: Fix null-ptr-deref in x25_connect (bsc#1012628).
- tipc: fix memory leak in tipc_topsrv_start() (bsc#1012628).
- devlink: Avoid overwriting port attributes of registered port
(bsc#1012628).
- mptcp: provide rmem[0] limit (bsc#1012628).
- tunnels: Fix off-by-one in lower MTU bounds for ICMP/ICMPv6
replies (bsc#1012628).
- powerpc/603: Always fault when _PAGE_ACCESSED is not set
(bsc#1012628).
- null_blk: Fix scheduling in atomic with zoned mode
(bsc#1012628).
- perf scripting python: Avoid declaring function pointers with
a visibility attribute (bsc#1012628).
- coresight: etm: perf: Sink selection using sysfs is deprecated
(bsc#1012628).
- coresight: Fix uninitialised pointer bug in etm_setup_aux()
(bsc#1012628).
- Convert trailing spaces and periods in path components
(bsc#1012628).
- commit 21e5163
-------------------------------------------------------------------
Thu Nov 19 11:18:30 CET 2020 - jslaby@suse.cz
- PCI: Always enable ACS even if no ACS Capability (bsc#1178211).
- commit a40af1e
-------------------------------------------------------------------
Thu Nov 19 07:40:51 CET 2020 - jslaby@suse.cz
- loop: Fix occasional uevent drop (bsc#1177900).
- block: add a return value to set_capacity_revalidate_and_notify
(bsc#1177900).
- commit 98395e1
-------------------------------------------------------------------
Wed Nov 18 10:26:23 CET 2020 - jslaby@suse.cz
- btrfs: qgroup: don't commit transaction when we already hold
the handle (bsc#1178634).
Update upstream status and take the upstream version.
- commit 5d64ed9
-------------------------------------------------------------------
Mon Nov 16 07:32:18 CET 2020 - mkubecek@suse.cz
- Update to 5.10-rc4
- commit ea0f69f
-------------------------------------------------------------------
Thu Nov 12 08:43:32 CET 2020 - jslaby@suse.cz
- btrfs: qgroup: don't commit transaction when we have already
hold a transaction handler (bsc#1178634).
- commit 646ed4a
-------------------------------------------------------------------
Wed Nov 11 08:04:31 CET 2020 - jslaby@suse.cz
- spi: bcm2835: remove use of uninitialized gpio flags variable
(git-fixes).
- tipc: fix a deadlock when flushing scheduled work (git-fixes).
- commit e800bb2
-------------------------------------------------------------------
Wed Nov 11 07:25:50 CET 2020 - jslaby@suse.cz
- Update
patches.kernel.org/5.9.8-001-powercap-restrict-energy-meter-to-root-access.patch
(bsc#1012628 bsc#1170415 CVE-2020-8694).
Add CVE reference.
- commit 5b81a9c
-------------------------------------------------------------------
Wed Nov 11 07:21:39 CET 2020 - jslaby@suse.cz
- Linux 5.9.8 (bsc#1012628).
- powercap: restrict energy meter to root access (bsc#1012628).
- commit 230b512
-------------------------------------------------------------------
Wed Nov 11 07:19:22 CET 2020 - jslaby@suse.cz
- Linux 5.9.7 (bsc#1012628).
- perf/core: Fix a memory leak in perf_event_parse_addr_filter()
(bsc#1012628).
- drm/i915/gt: Use the local HWSP offset during submission
(bsc#1012628).
- drm/i915: Fix encoder lookup during PSR atomic check
(bsc#1012628).
- PM: runtime: Resume the device earlier in
__device_release_driver() (bsc#1012628).
- PM: runtime: Drop pm_runtime_clean_up_links() (bsc#1012628).
- PM: runtime: Drop runtime PM references to supplier on link
removal (bsc#1012628).
- ARC: stack unwinding: avoid indefinite looping (bsc#1012628).
- drm/panfrost: Fix a deadlock between the shrinker and madvise
path (bsc#1012628).
- mac80211: fix regression where EAPOL frames were sent in
plaintext (bsc#1012628).
- io_uring: fix link lookup racing with link timeout
(bsc#1012628).
- usb: mtu3: fix panic in mtu3_gadget_stop() (bsc#1012628).
- USB: Add NO_LPM quirk for Kingston flash drive (bsc#1012628).
- usb: dwc3: ep0: Fix delay status handling (bsc#1012628).
- USB: serial: option: add Telit FN980 composition 0x1055
(bsc#1012628).
- USB: serial: option: add LE910Cx compositions 0x1203, 0x1230,
0x1231 (bsc#1012628).
- USB: serial: option: add Quectel EC200T module support
(bsc#1012628).
- USB: serial: cyberjack: fix write-URB completion race
(bsc#1012628).
- serial: txx9: add missing platform_driver_unregister() on
error in serial_txx9_init (bsc#1012628).
- serial: 8250_mtk: Fix uart_get_baud_rate warning (bsc#1012628).
- powerpc/40x: Always fault when _PAGE_ACCESSED is not set
(bsc#1012628).
- powerpc/8xx: Always fault when _PAGE_ACCESSED is not set
(bsc#1012628).
- s390/pkey: fix paes selftest failure with paes and pkey static
build (bsc#1012628).
- s390/mm: make pmd/pud_deref() large page aware (bsc#1012628).
- s390/pci: fix hot-plug of PCI function missing bus
(bsc#1012628).
- entry: Fix the incorrect ordering of lockdep and RCU check
(bsc#1012628).
- fork: fix copy_process(CLONE_PARENT) race with the exiting
->real_parent (bsc#1012628).
- tty: fix crash in release_tty if tty->port is not set
(bsc#1012628).
- tty: serial: imx: enable earlycon by default if
IMX_SERIAL_CONSOLE is enabled (bsc#1012628).
- vt: Disable KD_FONT_OP_COPY (bsc#1012628).
- arm64/smp: Move rcu_cpu_starting() earlier (bsc#1012628).
- drm/nouveau/gem: fix "refcount_t: underflow; use-after-free"
(bsc#1012628).
- drm/nouveau/nouveau: fix the start/end range for migration
(bsc#1012628).
- usb: cdns3: gadget: suspicious implicit sign extension
(bsc#1012628).
- ACPI: NFIT: Fix comparison to '-ENXIO' (bsc#1012628).
- drm/vc4: drv: Add error handding for bind (bsc#1012628).
- staging: mmal-vchiq: Fix memory leak for vchiq_instance
(bsc#1012628).
- nvmet: fix a NULL pointer dereference when tracing the flush
command (bsc#1012628).
- nvme-rdma: handle unexpected nvme completion data length
(bsc#1012628).
- vsock: use ns_capable_noaudit() on socket create (bsc#1012628).
- drm/amd/display: adding ddc_gpio_vga_reg_list to ddc reg def'ns
(bsc#1012628).
- scsi: ibmvscsi: Fix potential race after loss of transport
(bsc#1012628).
- drm/amd/display: Fixed panic during seamless boot (bsc#1012628).
- drm/amdgpu: add DID for navi10 blockchain SKU (bsc#1012628).
- drm/amdgpu: disable DCN and VCN for navi10 blockchain SKU(v3)
(bsc#1012628).
- scsi: core: Don't start concurrent async scan on same host
(bsc#1012628).
- btrfs: add a helper to read the tree_root commit root for
backref lookup (bsc#1012628).
- btrfs: drop the path before adding qgroup items when enabling
qgroups (bsc#1012628).
- blk-cgroup: Pre-allocate tree node on blkg_conf_prep
(bsc#1012628).
- blk-cgroup: Fix memleak on error path (bsc#1012628).
- drm/v3d: Fix double free in v3d_submit_cl_ioctl() (bsc#1012628).
- drm/sun4i: frontend: Fix the scaler phase on A33 (bsc#1012628).
- drm/sun4i: frontend: Reuse the ch0 phase for RGB formats
(bsc#1012628).
- drm/sun4i: frontend: Rework a bit the phase data (bsc#1012628).
- ARM: dts: mmp3: Add power domain for the camera (bsc#1012628).
- of: Fix reserved-memory overlap detection (bsc#1012628).
- io_uring: don't miss setting IO_WQ_WORK_CONCURRENT
(bsc#1012628).
- arm64: dts: amlogic: add missing ethernet reset ID
(bsc#1012628).
- hyperv_fb: Update screen_info after removing old framebuffer
(bsc#1012628).
- x86/kexec: Use up-to-dated screen_info copy to fill boot params
(bsc#1012628).
- arm64: dts: amlogic: meson-g12: use the G12A specific dwmac
compatible (bsc#1012628).
- arm64: dts: meson: add missing g12 rng clock (bsc#1012628).
- ARM: dts: sun4i-a10: fix cpu_alert temperature (bsc#1012628).
- x86/lib: Change .weak to SYM_FUNC_START_WEAK for
arch/x86/lib/mem*_64.S (bsc#1012628).
- futex: Handle transient "ownerless" rtmutex state correctly
(bsc#1012628).
- tracing: Fix out of bounds write in get_trace_buf (bsc#1012628).
- spi: bcm2835: fix gpio cs level inversion (bsc#1012628).
- regulator: defer probe when trying to get voltage from
unresolved supply (bsc#1012628).
- ftrace: Handle tracing when switching between context
(bsc#1012628).
- ftrace: Fix recursion check for NMI test (bsc#1012628).
- mtd: spi-nor: Don't copy self-pointing struct around
(bsc#1012628).
- iommu/vt-d: Fix kernel NULL pointer dereference in find_domain()
(bsc#1012628).
- drm/amdgpu: resolved ASD loading issue on sienna (bsc#1012628).
- drm/amdgpu: update golden setting for sienna_cichlid
(bsc#1012628).
- ring-buffer: Fix recursion protection transitions between
interrupt context (bsc#1012628).
- gfs2: Don't call cancel_delayed_work_sync from within delete
work function (bsc#1012628).
- gfs2: Wake up when sd_glock_disposal becomes zero (bsc#1012628).
- perf hists browser: Increase size of 'buf' in
perf_evsel__hists_browse() (bsc#1012628).
- mm: always have io_remap_pfn_range() set pgprot_decrypted()
(bsc#1012628).
- kthread_worker: prevent queuing delayed work from timer_fn
when it is being canceled (bsc#1012628).
- lib/crc32test: remove extra local_irq_disable/enable
(bsc#1012628).
- mm: mempolicy: fix potential pte_unmap_unlock pte error
(bsc#1012628).
- hugetlb_cgroup: fix reservation accounting (bsc#1012628).
- ALSA: usb-audio: Add implicit feedback quirk for MODX
(bsc#1012628).
- ALSA: usb-audio: Add implicit feedback quirk for Qu-16
(bsc#1012628).
- ALSA: usb-audio: add usb vendor id as DSD-capable for Khadas
devices (bsc#1012628).
- ALSA: usb-audio: Add implicit feedback quirk for Zoom UAC-2
(bsc#1012628).
- ALSA: hda/realtek - Enable headphone for ASUS TM420
(bsc#1012628).
- ALSA: hda/realtek - Fixed HP headset Mic can't be detected
(bsc#1012628).
- Fonts: Replace discarded const qualifier (bsc#1012628).
- drm/nouveau/kms/nv50-: Fix clock checking algorithm in
nv50_dp_mode_valid() (bsc#1012628).
- drm/nouveau/kms/nv50-: Get rid of bogus
nouveau_conn_mode_valid() (bsc#1012628).
- r8169: work around short packet hw bug on RTL8125 (bsc#1012628).
- net: openvswitch: silence suspicious RCU usage warning
(bsc#1012628).
- net: dsa: qca8k: Fix port MTU setting (bsc#1012628).
- mptcp: token: fix unititialized variable (bsc#1012628).
- net: fec: fix MDIO probing for some FEC hardware blocks
(bsc#1012628).
- ip6_tunnel: set inner ipproto before ip6_tnl_encap
(bsc#1012628).
- sfp: Fix error handing in sfp_probe() (bsc#1012628).
- sctp: Fix COMM_LOST/CANT_STR_ASSOC err reporting on big-endian
platforms (bsc#1012628).
- powerpc/vnic: Extend "failover pending" window (bsc#1012628).
- net: usb: qmi_wwan: add Telit LE910Cx 0x1230 composition
(bsc#1012628).
- net: ethernet: ti: cpsw: disable PTPv1 hw timestamping
advertisement (bsc#1012628).
- ip_tunnel: fix over-mtu packet send fail without
TUNNEL_DONT_FRAGMENT flags (bsc#1012628).
- ionic: check port ptr before use (bsc#1012628).
- gianfar: Account for Tx PTP timestamp in the skb headroom
(bsc#1012628).
- gianfar: Replace skb_realloc_headroom with skb_cow_head for PTP
(bsc#1012628).
- dpaa_eth: fix the RX headroom size alignment (bsc#1012628).
- dpaa_eth: update the buffer layout for non-A050385 erratum
scenarios (bsc#1012628).
- chelsio/chtls: fix always leaking ctrl_skb (bsc#1012628).
- chelsio/chtls: fix memory leaks caused by a race (bsc#1012628).
- cadence: force nonlinear buffers to be cloned (bsc#1012628).
- ptrace: fix task_join_group_stop() for the case when current
is traced (bsc#1012628).
- drm/nouveau/device: fix changing endianess code to work on
older GPUs (bsc#1012628).
- drm/nouveau/kms/nv50-: Program notifier offset before requesting
disp caps (bsc#1012628).
- drm/i915: Restore ILK-M RPS support (bsc#1012628).
- drm/i915: Reject 90/270 degree rotated initial fbs
(bsc#1012628).
- drm/i915: Use the active reference on the vma while capturing
(bsc#1012628).
- drm/i915: Mark ininitial fb obj as WT on eLLC machines to
avoid rcu lockup during fbdev init (bsc#1012628).
- drm/i915: Exclude low pages (128KiB) of stolen from use
(bsc#1012628).
- drm/i915: Drop runtime-pm assert from vgpu io accessors
(bsc#1012628).
- drm/i915/gt: Delay execlist processing for tgl (bsc#1012628).
- drm/i915/gt: Undo forced context restores after trivial
preemptions (bsc#1012628).
- drm/i915/gt: Initialize reserved and unspecified MOCS indices
(bsc#1012628).
- drm/i915: Fix TGL DKL PHY DP vswing handling (bsc#1012628).
- drm/i915: Avoid mixing integer types during batch copies
(bsc#1012628).
- drm/i915: Cancel outstanding work after disabling heartbeats
on an engine (bsc#1012628).
- drm/i915: Break up error capture compression loops with
cond_resched() (bsc#1012628).
- drm/i915/gt: Always send a pulse down the engine after disabling
heartbeat (bsc#1012628).
- drm/i915/gem: Always test execution status on closing the
context (bsc#1012628).
- drm/i915/gem: Prevent using pgprot_writecombine() if PAT is
not supported (bsc#1012628).
- drm/i915/gem: Avoid implicit vmap for highmem on x86-32
(bsc#1012628).
- tipc: fix use-after-free in tipc_bcast_get_mode (bsc#1012628).
- net: core: use list_del_init() instead of list_del() in
netdev_run_todo() (bsc#1012628).
- commit 35036d7
-------------------------------------------------------------------
Tue Nov 10 22:37:15 CET 2020 - dmueller@suse.com
- ARM64: Enable CONFIG_ARM64_SW_TTBR0_PAN
This can help prevent use-after-free bugs becoming an exploitable
privilege escalation by ensuring that magic values (such as LIST_POISON)
will always fault when dereferenced
- commit 5a3f5b3
-------------------------------------------------------------------
Mon Nov 9 07:36:18 CET 2020 - mkubecek@suse.cz
- Update to 5.10-rc3
- eliminated 1 patch
- patches.suse/tty-make-FONTX-ioctl-use-the-tty-pointer-they-were-a.patch
- refresh configs
- update headers after Factory binutils update
- drop options no longer available
- commit e72caa5
-------------------------------------------------------------------
Thu Nov 5 17:53:32 CET 2020 - jslaby@suse.cz
- Linux 5.9.6 (bsc#1012628).
- ASOC: SOF: Intel: hda-codec: move unused label to correct
position (bsc#1012628).
- commit fc52788
-------------------------------------------------------------------
Thu Nov 5 15:11:37 CET 2020 - jslaby@suse.cz
- Linux 5.9.5 (bsc#1012628).
- xen/events: avoid removing an event channel while handling it
(bsc#1012628).
- xen/events: add a proper barrier to 2-level uevent unmasking
(bsc#1012628).
- xen/events: fix race in evtchn_fifo_unmask() (bsc#1012628).
- xen/events: add a new "late EOI" evtchn framework (bsc#1012628).
- xen/blkback: use lateeoi irq binding (bsc#1012628).
- xen/netback: use lateeoi irq binding (bsc#1012628).
- xen/scsiback: use lateeoi irq binding (bsc#1012628).
- xen/pvcallsback: use lateeoi irq binding (bsc#1012628).
- xen/pciback: use lateeoi irq binding (bsc#1012628).
- xen/events: switch user event channels to lateeoi model
(bsc#1012628).
- xen/events: use a common cpu hotplug hook for event channels
(bsc#1012628).
- xen/events: defer eoi in case of excessive number of events
(bsc#1012628).
- xen/events: block rogue events for some time (bsc#1012628).
- firmware: arm_scmi: Fix ARCH_COLD_RESET (bsc#1012628).
- firmware: arm_scmi: Expand SMC/HVC message pool to more than
one (bsc#1012628).
- tee: client UUID: Skip REE kernel login method as well
(bsc#1012628).
- firmware: arm_scmi: Add missing Rx size re-initialisation
(bsc#1012628).
- firmware: arm_scmi: Fix locking in notifications (bsc#1012628).
- firmware: arm_scmi: Fix duplicate workqueue name (bsc#1012628).
- x86/alternative: Don't call text_poke() in lazy TLB mode
(bsc#1012628).
- ionic: no rx flush in deinit (bsc#1012628).
- RDMA/mlx5: Fix devlink deadlock on net namespace deletion
(bsc#1012628).
- mlxsw: core: Fix use-after-free in mlxsw_emad_trans_finish()
(bsc#1012628).
- afs: Fix a use after free in afs_xattr_get_acl() (bsc#1012628).
- afs: Fix afs_launder_page to not clear PG_writeback
(bsc#1012628).
- RDMA/qedr: Fix memory leak in iWARP CM (bsc#1012628).
- ata: sata_nv: Fix retrieving of active qcs (bsc#1012628).
- arm64: efi: increase EFI PE/COFF header padding to 64 KB
(bsc#1012628).
- afs: Fix to take ref on page when PG_private is set
(bsc#1012628).
- afs: Fix page leak on afs_write_begin() failure (bsc#1012628).
- afs: Fix where page->private is set during write (bsc#1012628).
- afs: Wrap page->private manipulations in inline functions
(bsc#1012628).
- afs: Alter dirty range encoding in page->private (bsc#1012628).
- afs: Fix afs_invalidatepage to adjust the dirty region
(bsc#1012628).
- afs: Fix dirty-region encoding on ppc32 with 64K pages
(bsc#1012628).
- vdpasim: fix MAC address configuration (bsc#1012628).
- interconnect: qcom: sdm845: Enable keepalive for the MM1 BCM
(bsc#1012628).
- lockdep: Fix preemption WARN for spurious IRQ-enable
(bsc#1012628).
- usb: host: ehci-tegra: Fix error handling in tegra_ehci_probe()
(bsc#1012628).
- futex: Fix incorrect should_fail_futex() handling (bsc#1012628).
- powerpc/vmemmap: Fix memory leak with vmemmap list allocation
failures (bsc#1012628).
- powerpc/powernv/smp: Fix spurious DBG() warning (bsc#1012628).
- RDMA/core: Change how failing destroy is handled during uobj
abort (bsc#1012628).
- f2fs: allocate proper size memory for zstd decompress
(bsc#1012628).
- powerpc/watchpoint/ptrace: Fix SETHWDEBUG when
CONFIG_HAVE_HW_BREAKPOINT=N (bsc#1012628).
- mm: fix exec activate_mm vs TLB shootdown and lazy tlb switching
race (bsc#1012628).
- powerpc: select ARCH_WANT_IRQS_OFF_ACTIVATE_MM (bsc#1012628).
- sparc64: remove mm_cpumask clearing to fix kthread_use_mm race
(bsc#1012628).
- f2fs: add trace exit in exception path (bsc#1012628).
- f2fs: do sanity check on zoned block device path (bsc#1012628).
- f2fs: fix uninit-value in f2fs_lookup (bsc#1012628).
- f2fs: fix to check segment boundary during SIT page readahead
(bsc#1012628).
- s390/startup: avoid save_area_sync overflow (bsc#1012628).
- f2fs: compress: fix to disallow enabling compress on non-empty
file (bsc#1012628).
- s390/ap/zcrypt: revisit ap and zcrypt error handling
(bsc#1012628).
- um: change sigio_spinlock to a mutex (bsc#1012628).
- f2fs: handle errors of f2fs_get_meta_page_nofail (bsc#1012628).
- afs: Don't assert on unpurgeable server records (bsc#1012628).
- powerpc/64s: handle ISA v3.1 local copy-paste context switches
(bsc#1012628).
- ARM: 8997/2: hw_breakpoint: Handle inexact watchpoint addresses
(bsc#1012628).
- NFS4: Fix oops when copy_file_range is attempted with NFS4.0
source (bsc#1012628).
- xfs: Set xfs_buf type flag when growing summary/bitmap files
(bsc#1012628).
- xfs: Set xfs_buf's b_ops member when zeroing bitmap/summary
files (bsc#1012628).
- xfs: log new intent items created as part of finishing recovered
intent items (bsc#1012628).
- power: supply: bq27xxx: report "not charging" on all types
(bsc#1012628).
- xfs: change the order in which child and parent defer ops are
finished (bsc#1012628).
- xfs: fix realtime bitmap/summary file truncation when growing
rt volume (bsc#1012628).
- io_uring: don't set COMP_LOCKED if won't put (bsc#1012628).
- ath10k: fix retry packets update in station dump (bsc#1012628).
- x86/kaslr: Initialize mem_limit to the real maximum address
(bsc#1012628).
- drm/ast: Separate DRM driver from PCI code (bsc#1012628).
- drm/amdgpu: restore ras flags when user resets eeprom(v2)
(bsc#1012628).
- video: fbdev: pvr2fb: initialize variables (bsc#1012628).
- ath10k: start recovery process when payload length exceeds
max htc length for sdio (bsc#1012628).
- ath10k: fix VHT NSS calculation when STBC is enabled
(bsc#1012628).
- drm/scheduler: Scheduler priority fixes (v2) (bsc#1012628).
- drm/brige/megachips: Add checking if ge_b850v3_lvds_init()
is working correctly (bsc#1012628).
- ASoC: SOF: fix a runtime pm issue in SOF when HDMI codec
doesn't work (bsc#1012628).
- selftests/x86/fsgsbase: Reap a forgotten child (bsc#1012628).
- drm/bridge_connector: Set default status connected for eDP
connectors (bsc#1012628).
- media: videodev2.h: RGB BT2020 and HSV are always full range
(bsc#1012628).
- ASoC: AMD: Clean kernel log from deferred probe error messages
(bsc#1012628).
- misc: fastrpc: fix common struct sg_table related issues
(bsc#1012628).
- staging: wfx: fix potential use before init (bsc#1012628).
- media: platform: Improve queue set up flow for bug fixing
(bsc#1012628).
- usb: typec: tcpm: During PR_SWAP, source caps should be sent
only after tSwapSourceStart (bsc#1012628).
- media: tw5864: check status of tw5864_frameinterval_get
(bsc#1012628).
- drm/vkms: avoid warning in vkms_get_vblank_timestamp
(bsc#1012628).
- media: imx274: fix frame interval handling (bsc#1012628).
- mmc: via-sdmmc: Fix data race bug (bsc#1012628).
- drm/bridge/synopsys: dsi: add support for non-continuous HS
clock (bsc#1012628).
- brcmfmac: increase F2 watermark for BCM4329 (bsc#1012628).
- arm64: topology: Stop using MPIDR for topology information
(bsc#1012628).
- printk: reduce LOG_BUF_SHIFT range for H8300 (bsc#1012628).
- ia64: kprobes: Use generic kretprobe trampoline handler
(bsc#1012628).
- selftests/powerpc: Make using_hash_mmu() work on Cell & PowerMac
(bsc#1012628).
- kgdb: Make "kgdbcon" work properly with "kgdb_earlycon"
(bsc#1012628).
- bpf: Permit map_ptr arithmetic with opcode add and offset 0
(bsc#1012628).
- drm: exynos: fix common struct sg_table related issues
(bsc#1012628).
- xen: gntdev: fix common struct sg_table related issues
(bsc#1012628).
- drm: lima: fix common struct sg_table related issues
(bsc#1012628).
- drm: panfrost: fix common struct sg_table related issues
(bsc#1012628).
- media: uvcvideo: Fix dereference of out-of-bound list iterator
(bsc#1012628).
- nfc: s3fwrn5: Add missing CRYPTO_HASH dependency (bsc#1012628).
- selftests/bpf: Define string const as global for
test_sysctl_prog.c (bsc#1012628).
- selinux: access policycaps with READ_ONCE/WRITE_ONCE
(bsc#1012628).
- samples/bpf: Fix possible deadlock in xdpsock (bsc#1012628).
- drm/amd/display: Check clock table return (bsc#1012628).
- riscv: Define AT_VECTOR_SIZE_ARCH for ARCH_DLINFO (bsc#1012628).
- cpufreq: sti-cpufreq: add stih418 support (bsc#1012628).
- USB: adutux: fix debugging (bsc#1012628).
- uio: free uio id after uio file node is freed (bsc#1012628).
- coresight: Make sysfs functional on topologies with per core
sink (bsc#1012628).
- drm/amdgpu: No sysfs, not an error condition (bsc#1012628).
- mac80211: add missing queue/hash initialization to 802.3 xmit
(bsc#1012628).
- usb: xhci: omit duplicate actions when suspending a runtime
suspended host (bsc#1012628).
- SUNRPC: Mitigate cond_resched() in xprt_transmit()
(bsc#1012628).
- cpuidle: tegra: Correctly handle result of
arm_cpuidle_simple_enter() (bsc#1012628).
- arm64/mm: return cpu_all_mask when node is NUMA_NO_NODE
(bsc#1012628).
- can: flexcan: disable clocks during stop mode (bsc#1012628).
- habanalabs: remove security from ARB_MST_QUIET register
(bsc#1012628).
- xfs: don't free rt blocks when we're doing a REMAP bunmapi call
(bsc#1012628).
- xfs: avoid LR buffer overrun due to crafted h_len (bsc#1012628).
- ACPI: Add out of bounds and numa_off protections to
pxm_to_node() (bsc#1012628).
- octeontx2-af: fix LD CUSTOM LTYPE aliasing (bsc#1012628).
- brcmfmac: Fix warning message after dongle setup failed
(bsc#1012628).
- ath11k: Use GFP_ATOMIC instead of GFP_KERNEL in
ath11k_dp_htt_get_ppdu_desc (bsc#1012628).
- ath11k: fix warning caused by lockdep_assert_held (bsc#1012628).
- ath11k: change to disable softirqs for ath11k_regd_update to
solve deadlock (bsc#1012628).
- drivers/net/wan/hdlc_fr: Correctly handle special skb->protocol
values (bsc#1012628).
- usb: dwc3: core: do not queue work if dr_mode is not
USB_DR_MODE_OTG (bsc#1012628).
- bus: mhi: core: Abort suspends due to outgoing pending packets
(bsc#1012628).
- bus/fsl_mc: Do not rely on caller to provide non NULL mc_io
(bsc#1012628).
- ACPI: HMAT: Fix handling of changes from ACPI 6.2 to ACPI 6.3
(bsc#1012628).
- power: supply: test_power: add missing newlines when printing
parameters by sysfs (bsc#1012628).
- drm/amd/display: HDMI remote sink need mode validation for Linux
(bsc#1012628).
- drm/amd/display: Avoid set zero in the requested clk
(bsc#1012628).
- ARC: [dts] fix the errors detected by dtbs_check (bsc#1012628).
- block: Consider only dispatched requests for inflight statistic
(bsc#1012628).
- btrfs: fix replace of seed device (bsc#1012628).
- md/bitmap: md_bitmap_get_counter returns wrong blocks
(bsc#1012628).
- f2fs: fix to set SBI_NEED_FSCK flag for inconsistent inode
(bsc#1012628).
- bnxt_en: Log unknown link speed appropriately (bsc#1012628).
- rpmsg: glink: Use complete_all for open states (bsc#1012628).
- PCI/ACPI: Add Ampere Altra SOC MCFG quirk (bsc#1012628).
- clk: ti: clockdomain: fix static checker warning (bsc#1012628).
- nfsd: rename delegation related tracepoints to make them less
confusing (bsc#1012628).
- nfsd4: remove check_conflicting_opens warning (bsc#1012628).
- net: 9p: initialize sun_server.sun_path to have addr's value
only when addr is valid (bsc#1012628).
- ceph: encode inodes' parent/d_name in cap reconnect message
(bsc#1012628).
- drivers: watchdog: rdc321x_wdt: Fix race condition bugs
(bsc#1012628).
- jbd2: avoid transaction reuse after reformatting (bsc#1012628).
- ext4: Detect already used quota file early (bsc#1012628).
- KVM: PPC: Book3S HV: Do not allocate HPT for a nested guest
(bsc#1012628).
- scsi: core: Clean up allocation and freeing of sgtables
(bsc#1012628).
- gfs2: call truncate_inode_pages_final for address space glocks
(bsc#1012628).
- gfs2: Fix NULL pointer dereference in gfs2_rgrp_dump
(bsc#1012628).
- gfs2: use-after-free in sysfs deregistration (bsc#1012628).
- gfs2: add validation checks for size of superblock
(bsc#1012628).
- Handle STATUS_IO_TIMEOUT gracefully (bsc#1012628).
- cifs: handle -EINTR in cifs_setattr (bsc#1012628).
- arm64: dts: renesas: ulcb: add full-pwr-cycle-in-suspend into
eMMC nodes (bsc#1012628).
- ARM: dts: omap4: Fix sgx clock rate for 4430 (bsc#1012628).
- memory: emif: Remove bogus debugfs error handling (bsc#1012628).
- ARM: dts: s5pv210: Enable audio on Aries boards (bsc#1012628).
- ARM: dts: s5pv210: remove DMA controller bus node name to fix
dtschema warnings (bsc#1012628).
- ARM: dts: s5pv210: move fixed clocks under root node
(bsc#1012628).
- ARM: dts: s5pv210: move PMU node out of clock controller
(bsc#1012628).
- ARM: dts: s5pv210: remove dedicated 'audio-subsystem' node
(bsc#1012628).
- ARM: dts: s5pv210: add RTC 32 KHz clock in Aries family
(bsc#1012628).
- ARM: dts: s5pv210: align SPI GPIO node name with dtschema in
Aries (bsc#1012628).
- soc: qcom: rpmh-rsc: Sleep waiting for tcs slots to be free
(bsc#1012628).
- soc: ti: k3: ringacc: add am65x sr2.0 support (bsc#1012628).
- bindings: soc: ti: soc: ringacc: remove ti,dma-ring-reset-quirk
(bsc#1012628).
- firmware: arm_scmi: Move scmi bus init and exit calls into
the driver (bsc#1012628).
- arm64: dts: qcom: kitakami: Temporarily disable SDHCI1
(bsc#1012628).
- nbd: make the config put is called before the notifying the
waiter (bsc#1012628).
- sgl_alloc_order: fix memory leak (bsc#1012628).
- nvme-rdma: fix crash when connect rejected (bsc#1012628).
- vmlinux.lds.h: Add PGO and AutoFDO input sections (bsc#1012628).
- irqchip/loongson-htvec: Fix initial interrupt clearing
(bsc#1012628).
- md: fix the checking of wrong work queue (bsc#1012628).
- md/raid5: fix oops during stripe resizing (bsc#1012628).
- mmc: sdhci: Add LTR support for some Intel BYT based controllers
(bsc#1012628).
- mmc: sdhci-acpi: AMDI0040: Set SDHCI_QUIRK2_PRESET_VALUE_BROKEN
(bsc#1012628).
- mm: memcg/slab: uncharge during kmem_cache_free_bulk()
(bsc#1012628).
- seccomp: Make duplicate listener detection non-racy
(bsc#1012628).
- selftests/x86/fsgsbase: Test PTRACE_PEEKUSER for GSBASE with
invalid LDT GS (bsc#1012628).
- perf/x86/intel: Fix Ice Lake event constraint table
(bsc#1012628).
- perf/x86/amd: Fix sampling Large Increment per Cycle events
(bsc#1012628).
- perf/amd/uncore: Set all slices and threads to restore perf
stat -a behaviour (bsc#1012628).
- perf/x86/amd/ibs: Don't include randomized bits in
get_ibs_op_count() (bsc#1012628).
- perf/x86/amd/ibs: Fix raw sample data accumulation
(bsc#1012628).
- spi: spi-mtk-nor: fix timeout calculation overflow
(bsc#1012628).
- spi: sprd: Release DMA channel also on probe deferral
(bsc#1012628).
- extcon: ptn5150: Fix usage of atomic GPIO with sleeping GPIO
chips (bsc#1012628).
- leds: bcm6328, bcm6358: use devres LED registering function
(bsc#1012628).
- hwmon: (pmbus/max34440) Fix OC fault limits (bsc#1012628).
- media: uvcvideo: Fix uvc_ctrl_fixup_xu_info() not having any
effect (bsc#1012628).
- fs: Don't invalidate page buffers in block_write_full_page()
(bsc#1012628).
- ACPI: configfs: Add missing config_item_put() to fix refcount
leak (bsc#1012628).
- NFS: fix nfs_path in case of a rename retry (bsc#1012628).
- ACPI: button: fix handling lid state changes when input device
closed (bsc#1012628).
- ACPI / extlog: Check for RDMSR failure (bsc#1012628).
- ACPI: video: use ACPI backlight for HP 635 Notebook
(bsc#1012628).
- ACPI: debug: don't allow debugging when ACPI is disabled
(bsc#1012628).
- PCI/ACPI: Whitelist hotplug ports for D3 if power managed by
ACPI (bsc#1012628).
- ACPI: EC: PM: Flush EC work unconditionally after wakeup
(bsc#1012628).
- ACPI: EC: PM: Drop ec_no_wakeup check from
acpi_ec_dispatch_gpe() (bsc#1012628).
- acpi-cpufreq: Honor _PSD table setting on new AMD CPUs
(bsc#1012628).
- io-wq: assign NUMA node locality if appropriate (bsc#1012628).
- w1: mxc_w1: Fix timeout resolution problem leading to bus error
(bsc#1012628).
- fs/kernel_read_file: Remove FIRMWARE_PREALLOC_BUFFER enum
(bsc#1012628).
- scsi: mptfusion: Fix null pointer dereferences in
mptscsih_remove() (bsc#1012628).
- scsi: qla2xxx: Fix MPI reset needed message (bsc#1012628).
- scsi: qla2xxx: Fix reset of MPI firmware (bsc#1012628).
- scsi: qla2xxx: Fix crash on session cleanup with unload
(bsc#1012628).
- PM: runtime: Remove link state checks in rpm_get/put_supplier()
(bsc#1012628).
- btrfs: qgroup: fix wrong qgroup metadata reserve for delayed
inode (bsc#1012628).
- btrfs: improve device scanning messages (bsc#1012628).
- btrfs: qgroup: fix qgroup meta rsv leak for subvolume operations
(bsc#1012628).
- btrfs: sysfs: init devices outside of the chunk_mutex
(bsc#1012628).
- btrfs: tracepoints: output proper root owner for
trace_find_free_extent() (bsc#1012628).
- btrfs: reschedule if necessary when logging directory items
(bsc#1012628).
- btrfs: send, orphanize first all conflicting inodes when
processing references (bsc#1012628).
- btrfs: send, recompute reference path after orphanization of
a directory (bsc#1012628).
- btrfs: use kvzalloc() to allocate clone_roots in
btrfs_ioctl_send() (bsc#1012628).
- btrfs: tree-checker: fix false alert caused by legacy btrfs
root item (bsc#1012628).
- btrfs: reschedule when cloning lots of extents (bsc#1012628).
- btrfs: cleanup cow block on error (bsc#1012628).
- btrfs: skip devices without magic signature when mounting
(bsc#1012628).
- btrfs: tree-checker: validate number of chunk stripes and parity
(bsc#1012628).
- btrfs: fix use-after-free on readahead extent after failure
to create it (bsc#1012628).
- btrfs: fix readahead hang and use-after-free after removing
a device (bsc#1012628).
- btrfs: drop the path before adding block group sysfs files
(bsc#1012628).
- usb: xhci: Workaround for S3 issue on AMD SNPS 3.0 xHC
(bsc#1012628).
- usb: dwc3: pci: Allow Elkhart Lake to utilize DSM method for
PM functionality (bsc#1012628).
- usb: dwc3: ep0: Fix ZLP for OUT ep0 requests (bsc#1012628).
- usb: dwc3: gadget: Check MPS of the request length
(bsc#1012628).
- usb: dwc3: gadget: Reclaim extra TRBs after request completion
(bsc#1012628).
- usb: dwc3: core: add phy cleanup for probe error handling
(bsc#1012628).
- usb: dwc3: core: don't trigger runtime pm when remove driver
(bsc#1012628).
- usb: dwc3: gadget: Resume pending requests after CLEAR_STALL
(bsc#1012628).
- usb: dwc3: gadget: END_TRANSFER before CLEAR_STALL command
(bsc#1012628).
- usb: cdns3: Fix on-chip memory overflow issue (bsc#1012628).
- usb: cdc-acm: fix cooldown mechanism (bsc#1012628).
- usb: typec: tcpm: reset hard_reset_count for any disconnect
(bsc#1012628).
- usb: host: fsl-mph-dr-of: check return of dma_set_mask()
(bsc#1012628).
- usbcore: Check both id_table and match() when both available
(bsc#1012628).
- USB: apple-mfi-fastcharge: don't probe unhandled devices
(bsc#1012628).
- drm/i915: Force VT'd workarounds when running as a guest OS
(bsc#1012628).
- HID: wacom: Avoid entering wacom_wac_pen_report for pad /
battery (bsc#1012628).
- tty: serial: 21285: fix lockup on open (bsc#1012628).
- tty: serial: fsl_lpuart: LS1021A has a FIFO size of 16 words,
like LS1028A (bsc#1012628).
- tracing: Fix race in trace_open and buffer resize call
(bsc#1012628).
- Revert "vhost-vdpa: fix page pinning leakage in error path"
(bsc#1012628).
- powerpc: Fix random segfault when freeing hugetlb range
(bsc#1012628).
- udf: Fix memory leak when mounting (bsc#1012628).
- dmaengine: dma-jz4780: Fix race in jz4780_dma_tx_status
(bsc#1012628).
- vdpa_sim: Fix DMA mask (bsc#1012628).
- drm/shme-helpers: Fix dma_buf_mmap forwarding bug (bsc#1012628).
- iio: ltc2983: Fix of_node refcounting (bsc#1012628).
- iio: adc: at91-sama5d2_adc: fix DMA conversion crash
(bsc#1012628).
- iio:imu:inv_mpu6050 Fix dma and ts alignment and data leak
issues (bsc#1012628).
- iio:imu:st_lsm6dsx: check st_lsm6dsx_shub_read_output return
(bsc#1012628).
- iio:light:si1145: Fix timestamp alignment and prevent data leak
(bsc#1012628).
- iio: adc: gyroadc: fix leak of device node iterator
(bsc#1012628).
- iio: ad7292: Fix of_node refcounting (bsc#1012628).
- iio:adc:ti-adc0832 Fix alignment issue with timestamp
(bsc#1012628).
- iio:adc:ti-adc12138 Fix alignment issue with timestamp
(bsc#1012628).
- iio:imu:st_lsm6dsx Fix alignment and data leak issues
(bsc#1012628).
- iio:gyro:itg3200: Fix timestamp alignment and prevent data leak
(bsc#1012628).
- powerpc/drmem: Make lmb_size 64 bit (bsc#1012628).
- rcu-tasks: Fix grace-period/unlock race in RCU Tasks Trace
(bsc#1012628).
- rcu-tasks: Fix low-probability task_struct leak (bsc#1012628).
- rcu-tasks: Enclose task-list scan in rcu_read_lock()
(bsc#1012628).
- MIPS: DEC: Restore bootmem reservation for firmware working
memory area (bsc#1012628).
- MIPS: configs: lb60: Fix defconfig not selecting correct board
(bsc#1012628).
- s390/stp: add locking to sysfs functions (bsc#1012628).
- powerpc/rtas: Restrict RTAS requests from userspace
(bsc#1012628).
- powerpc: Warn about use of smt_snooze_delay (bsc#1012628).
- powerpc/memhotplug: Make lmb size 64bit (bsc#1012628).
- powerpc/powernv/elog: Fix race while processing OPAL error
log event (bsc#1012628).
- powerpc/powermac: Fix low_sleep_handler with KUAP and KUEP
(bsc#1012628).
- powerpc/mce: Avoid nmi_enter/exit in real mode on pseries hash
(bsc#1012628).
- powerpc: Fix undetected data corruption with P9N DD2.1 VSX CI
load emulation (bsc#1012628).
- powerpc/32: Fix vmap stack - Do not activate MMU before reading
task struct (bsc#1012628).
- powerpc/32: Fix vmap stack - Properly set r1 before activating
MMU (bsc#1012628).
- block: advance iov_iter on bio_add_hw_page failure
(bsc#1012628).
- io_uring: use type appropriate io_kiocb handler for double poll
(bsc#1012628).
- remoteproc: Fixup coredump debugfs disable request
(bsc#1012628).
- gfs2: Make sure we don't miss any delayed withdraws
(bsc#1012628).
- gfs2: Only access gl_delete for iopen glocks (bsc#1012628).
- NFSv4: Wait for stateid updates after CLOSE/OPEN_DOWNGRADE
(bsc#1012628).
- NFSv4.2: support EXCHGID4_FLAG_SUPP_FENCE_OPS 4.2 EXCHANGE_ID
flag (bsc#1012628).
- NFSD: Add missing NFSv2 .pc_func methods (bsc#1012628).
- ubifs: dent: Fix some potential memory leaks while iterating
entries (bsc#1012628).
- ubifs: xattr: Fix some potential memory leaks while iterating
entries (bsc#1012628).
- ubifs: journal: Make sure to not dirty twice for auth nodes
(bsc#1012628).
- ubifs: Fix a memleak after dumping authentication mount options
(bsc#1012628).
- ubifs: Don't parse authentication mount options in remount
process (bsc#1012628).
- ubifs: mount_ubifs: Release authentication resource in error
handling path (bsc#1012628).
- perf vendor events amd: Add L2 Prefetch events for zen1
(bsc#1012628).
- perf python scripting: Fix printable strings in python3 scripts
(bsc#1012628).
- ARC: perf: redo the pct irq missing in device-tree handling
(bsc#1012628).
- ubi: check kthread_should_stop() after the setting of task state
(bsc#1012628).
- ia64: fix build error with !COREDUMP (bsc#1012628).
- rtc: rx8010: don't modify the global rtc ops (bsc#1012628).
- i2c: imx: Fix external abort on interrupt in exit paths
(bsc#1012628).
- drm/amdgpu: don't map BO in reserved region (bsc#1012628).
- drm/amd/display: Fix incorrect backlight register offset for
DCN (bsc#1012628).
- drm/amd/display: Increase timeout for DP Disable (bsc#1012628).
- drm/amdgpu: vcn and jpeg ring synchronization (bsc#1012628).
- drm/amdgpu: update golden setting for sienna_cichlid
(bsc#1012628).
- drm/amdgpu: correct the gpu reset handling for job != NULL case
(bsc#1012628).
- drm/amdkfd: Use same SQ prefetch setting as amdgpu
(bsc#1012628).
- drm/amd/display: Avoid MST manager resource leak (bsc#1012628).
- drm/amdgpu: add function to program pbb mode for sienna cichlid
(bsc#1012628).
- drm/amdgpu: increase the reserved VM size to 2MB (bsc#1012628).
- ceph: promote to unsigned long long before shifting
(bsc#1012628).
- libceph: clear con->out_msg on Policy::stateful_server faults
(bsc#1012628).
- 9P: Cast to loff_t before multiplying (bsc#1012628).
- net/sunrpc: Fix return value for sysctl sunrpc.transports
(bsc#1012628).
- PCI: qcom: Make sure PCIe is reset before init for rev 2.1.0
(bsc#1012628).
- ring-buffer: Return 0 on success from ring_buffer_resize()
(bsc#1012628).
- intel_idle: Ignore _CST if control cannot be taken from the
platform (bsc#1012628).
- intel_idle: Fix max_cstate for processor models without C-state
tables (bsc#1012628).
- cpufreq: Avoid configuring old governors as default with
intel_pstate (bsc#1012628).
- cpufreq: Introduce CPUFREQ_NEED_UPDATE_LIMITS driver flag
(bsc#1012628).
- cpufreq: intel_pstate: Avoid missing HWP max updates in passive
mode (bsc#1012628).
- vringh: fix __vringh_iov() when riov and wiov are different
(bsc#1012628).
- ext4: fix leaking sysfs kobject after failed mount
(bsc#1012628).
- ext4: fix error handling code in add_new_gdb (bsc#1012628).
- ext4: implement swap_activate aops using iomap (bsc#1012628).
- ext4: fix invalid inode checksum (bsc#1012628).
- ext4: clear buffer verified flag if read meta block from disk
(bsc#1012628).
- ext4: fix bdev write error check failed when mount fs with ro
(bsc#1012628).
- ext4: fix bs < ps issue reported with dioread_nolock mount opt
(bsc#1012628).
- ext4: do not use extent after put_bh (bsc#1012628).
- drm/ttm: fix eviction valuable range check (bsc#1012628).
- mmc: sdhci-of-esdhc: make sure delay chain locked for HS400
(bsc#1012628).
- mmc: sdhci-of-esdhc: set timeout to max before tuning
(bsc#1012628).
- mmc: sdhci: Use Auto CMD Auto Select only when v4_mode is true
(bsc#1012628).
- memory: tegra: Remove GPU from DRM IOMMU group (bsc#1012628).
- memory: brcmstb_dpfe: Fix memory leak (bsc#1012628).
- futex: Adjust absolute futex timeouts with per time namespace
offset (bsc#1012628).
- drm/amdgpu/swsmu: drop smu i2c bus on navi1x (bsc#1012628).
- drm/amd/pm: increase mclk switch threshold to 200 us
(bsc#1012628).
- drm/amd/pm: fix pp_dpm_fclk (bsc#1012628).
- drm/amd/swsmu: add missing feature map for sienna_cichlid
(bsc#1012628).
- drm/amd/psp: Fix sysfs: cannot create duplicate filename
(bsc#1012628).
- drm/amdgpu: correct the cu and rb info for sienna cichlid
(bsc#1012628).
- arm64: berlin: Select DW_APB_TIMER_OF (bsc#1012628).
- cachefiles: Handle readpage error correctly (bsc#1012628).
- hil/parisc: Disable HIL driver when it gets stuck (bsc#1012628).
- arm: dts: mt7623: add missing pause for switchport
(bsc#1012628).
- ARM: aspeed: g5: Do not set sirq polarity (bsc#1012628).
- ARM: dts: s5pv210: fix pinctrl property of "vibrator-en"
regulator in Aries (bsc#1012628).
- ARM: config: aspeed: Fix selection of media drivers
(bsc#1012628).
- ARM: samsung: fix PM debug build with DEBUG_LL but !MMU
(bsc#1012628).
- ARM: s3c24xx: fix missing system reset (bsc#1012628).
- arm64: Change .weak to SYM_FUNC_START_WEAK_PI for
arch/arm64/lib/mem*.S (bsc#1012628).
- arm64: dts: marvell: espressobin: Add ethernet switch aliases
(bsc#1012628).
- null_blk: synchronization fix for zoned device (bsc#1012628).
- coresight: cti: Initialize dynamic sysfs attributes
(bsc#1012628).
- device property: Keep secondary firmware node secondary by type
(bsc#1012628).
- device property: Don't clear secondary pointer for shared
primary firmware node (bsc#1012628).
- KVM: arm64: Fix AArch32 handling of DBGD{CCINT,SCRext} and
DBGVCR (bsc#1012628).
- stop_machine, rcu: Mark functions as notrace (bsc#1012628).
- staging: fieldbus: anybuss: jump to correct label in an error
path (bsc#1012628).
- staging: comedi: cb_pcidas: Allow 2-channel commands for AO
subdevice (bsc#1012628).
- staging: octeon: repair "fixed-link" support (bsc#1012628).
- staging: octeon: Drop on uncorrectable alignment or FCS error
(bsc#1012628).
- cpufreq: Introduce cpufreq_driver_test_flags() (bsc#1012628).
- cpufreq: schedutil: Always call driver if
CPUFREQ_NEED_UPDATE_LIMITS is set (bsc#1012628).
- vhost_vdpa: Return -EFAULT if copy_from_user() fails
(bsc#1012628).
- vdpa/mlx5: Fix error return in map_direct_mr() (bsc#1012628).
- time: Prevent undefined behaviour in timespec64_to_ns()
(bsc#1012628).
- time/sched_clock: Mark sched_clock_read_begin/retry() as notrace
(bsc#1012628).
- null_blk: Fix zone reset all tracing (bsc#1012628).
- null_blk: Fix locking in zoned mode (bsc#1012628).
- Refresh
patches.suse/btrfs-btrfs-use-the-new-VFS-super_block_dev.patch.
- Update config files.
- commit 4d7e3d5
-------------------------------------------------------------------
Thu Nov 5 06:34:37 CET 2020 - jslaby@suse.cz
- Linux 5.9.4 (bsc#1012628).
- x86/copy_mc: Introduce copy_mc_enhanced_fast_string()
(bsc#1012628).
- x86, powerpc: Rename memcpy_mcsafe() to copy_mc_to_{user,
kernel}() (bsc#1012628).
- Revert "x86, powerpc: Rename memcpy_mcsafe() to
copy_mc_to_{user, kernel}()" (bsc#1012628).
- Revert "x86/copy_mc: Introduce copy_mc_enhanced_fast_string()"
(bsc#1012628).
- commit 1043b8d
-------------------------------------------------------------------
Wed Nov 4 13:15:35 CET 2020 - jslaby@suse.cz
- Update
patches.suse/tty-make-FONTX-ioctl-use-the-tty-pointer-they-were-a.patch
(bsc#1178123 CVE-2020-25668).
- commit 639997d
-------------------------------------------------------------------
Wed Nov 4 13:10:52 CET 2020 - jslaby@suse.cz
- tty: make FONTX ioctl use the tty pointer they were actually
passed (bsc#1178123 CVE-2020-25668).
- commit 3b8edfa
-------------------------------------------------------------------
Wed Nov 4 10:27:51 CET 2020 - tiwai@suse.de
- Updated Copyright line in rpm templates with SUSE LLC
- commit 39a1fcf
-------------------------------------------------------------------
Wed Nov 4 10:20:31 CET 2020 - tiwai@suse.de
- rpm/kernel-obs-build.spec.in: Add -q option to modprobe calls (bsc#1178401)
- commit 33ded45
-------------------------------------------------------------------
Wed Nov 4 09:50:41 CET 2020 - jslaby@suse.cz
- tty: make FONTX ioctl use the tty pointer they were actually
passed (bnc#1178421).
- commit fa9aaca
-------------------------------------------------------------------
Tue Nov 3 18:22:14 CET 2020 - tiwai@suse.de
- KVM: x86: Fix NULL dereference at kvm_msr_ignored_check()
(bsc#1178280).
- commit 3711b19
-------------------------------------------------------------------
Tue Nov 3 17:54:32 CET 2020 - tiwai@suse.de
- rpm/kernel-binary.spec.in: Fix compressed module handling for in-tree KMP (jsc#SLE-10886)
The in-tree KMP that is built with SLE kernels have a different scriptlet
that is embedded in kernel-binary.spec.in rather than *.sh files.
- commit e32ee2c
-------------------------------------------------------------------
Tue Nov 3 12:22:58 CET 2020 - jslaby@suse.cz
- vt: keyboard, extend func_buf_lock to readers (bnc#1177766
CVE-2020-25656).
- vt: keyboard, simplify vt_kdgkbsent (bnc#1177766
CVE-2020-25656).
- commit c5f9b44
-------------------------------------------------------------------
Mon Nov 2 07:00:56 CET 2020 - jslaby@suse.cz
- Refresh patches.suse/vt_ioctl-fix-GIO_UNIMAP-regression.patch.
Update upstream status.
- commit a2dec54
-------------------------------------------------------------------
Mon Nov 2 00:11:24 CET 2020 - mkubecek@suse.cz
- Update to 5.10-rc2
- eliminated 5 patches
- patches.rpmify/s390-correct-__bootdata-__bootdata_preserved-macros.patch
- patches.suse/drm-amd-display-Don-t-invoke-kgdb_breakpoint-uncondi.patch
- patches.suse/drm-amd-display-Fix-kernel-panic-by-dal_gpio_open-er.patch
- patches.suse/vt_ioctl-fix-GIO_UNIMAP-regression.patch
- patches.suse/x86-unwind-orc-Fix-inactive-tasks-with-stack-pointer.patch
- refresh configs
- CPU_FREQ_DEFAULT_GOV_ONDEMAND -> CPU_FREQ_DEFAULT_GOV_SCHEDUTIL (x86)
- Intel MIC drivers dropped
- commit a51c4bb
-------------------------------------------------------------------
Sun Nov 1 14:05:40 CET 2020 - jslaby@suse.cz
- x86/mce: Allow for copy_mc_fragile symbol checksum to be
generated (git-fixes).
- commit d3f0dc8
-------------------------------------------------------------------
Sun Nov 1 13:59:18 CET 2020 - jslaby@suse.cz
- Linux 5.9.3 (bsc#1012628).
- phy: marvell: comphy: Convert internal SMCC firmware return
codes to errno (bsc#1012628).
- misc: rtsx: do not setting OC_POWER_DOWN reg in
rtsx_pci_init_ocp() (bsc#1012628).
- io_uring: don't reuse linked_timeout (bsc#1012628).
- xen/gntdev.c: Mark pages as dirty (bsc#1012628).
- mm: mark async iocb read as NOWAIT once some data has been
copied (bsc#1012628).
- ata: sata_rcar: Fix DMA boundary mask (bsc#1012628).
- PM: runtime: Fix timer_expires data type on 32-bit arches
(bsc#1012628).
- serial: pl011: Fix lockdep splat when handling magic-sysrq
interrupt (bsc#1012628).
- serial: qcom_geni_serial: To correct QUP Version detection logic
(bsc#1012628).
- drm/i915/gem: Serialise debugfs i915_gem_objects with ctx->mutex
(bsc#1012628).
- mtd: lpddr: Fix bad logic in print_drs_error (bsc#1012628).
- RDMA/addr: Fix race with netevent_callback()/rdma_addr_cancel()
(bsc#1012628).
- cxl: Rework error message for incompatible slots (bsc#1012628).
- p54: avoid accessing the data mapped to streaming DMA
(bsc#1012628).
- evm: Check size of security.evm before using it (bsc#1012628).
- bpf: Fix comment for helper bpf_current_task_under_cgroup()
(bsc#1012628).
- fuse: fix page dereference after free (bsc#1012628).
- ata: ahci: mvebu: Make SATA PHY optional for Armada 3720
(bsc#1012628).
- PCI: aardvark: Fix initialization with old Marvell's Arm
Trusted Firmware (bsc#1012628).
- x86/xen: disable Firmware First mode for correctable memory
errors (bsc#1012628).
- x86/traps: Fix #DE Oops message regression (bsc#1012628).
- arch/x86/amd/ibs: Fix re-arming IBS Fetch (bsc#1012628).
- erofs: avoid duplicated permission check for "trusted." xattrs
(bsc#1012628).
- net: protect tcf_block_unbind with block lock (bsc#1012628).
- net/smc: fix suppressed return code (bsc#1012628).
- net/smc: fix invalid return code in smcd_new_buf_create()
(bsc#1012628).
- tipc: fix memory leak caused by tipc_buf_append() (bsc#1012628).
- tcp: Prevent low rmem stalls with SO_RCVLOWAT (bsc#1012628).
- ravb: Fix bit fields checking in ravb_hwtstamp_get()
(bsc#1012628).
- r8169: fix issue with forced threading in combination with
shared interrupts (bsc#1012628).
- net/sched: act_mpls: Add softdep on mpls_gso.ko (bsc#1012628).
- net: ipa: command payloads already mapped (bsc#1012628).
- net: hns3: Clear the CMDQ registers before unmapping BAR region
(bsc#1012628).
- netem: fix zero division in tabledist (bsc#1012628).
- mlxsw: Only advertise link modes supported by both driver and
device (bsc#1012628).
- mlxsw: core: Fix memory leak on module removal (bsc#1012628).
- ibmvnic: fix ibmvnic_set_mac (bsc#1012628).
- ibmveth: Fix use of ibmveth in a bridge (bsc#1012628).
- gtp: fix an use-before-init in gtp_newlink() (bsc#1012628).
- cxgb4: set up filter action after rewrites (bsc#1012628).
- chelsio/chtls: fix tls record info to user (bsc#1012628).
- chelsio/chtls: fix memory leaks in CPL handlers (bsc#1012628).
- chelsio/chtls: fix deadlock issue (bsc#1012628).
- bnxt_en: Send HWRM_FUNC_RESET fw command unconditionally
(bsc#1012628).
- bnxt_en: Re-write PCI BARs after PCI fatal error (bsc#1012628).
- bnxt_en: Invoke cancel_delayed_work_sync() for PFs also
(bsc#1012628).
- bnxt_en: Fix regression in workqueue cleanup logic in
bnxt_remove_one() (bsc#1012628).
- bnxt_en: Check abort error state in bnxt_open_nic()
(bsc#1012628).
- efivarfs: Replace invalid slashes with exclamation marks in
dentries (bsc#1012628).
- x86/copy_mc: Introduce copy_mc_enhanced_fast_string()
(bsc#1012628).
- x86, powerpc: Rename memcpy_mcsafe() to copy_mc_to_{user,
kernel}() (bsc#1012628).
- Update config files.
- x86/PCI: Fix intel_mid_pci.c build error when ACPI is not
enabled (bsc#1012628).
- arm64: link with -z norelro regardless of CONFIG_RELOCATABLE
(bsc#1012628).
- arm64: Run ARCH_WORKAROUND_2 enabling code on all CPUs
(bsc#1012628).
- arm64: Run ARCH_WORKAROUND_1 enabling code on all CPUs
(bsc#1012628).
- fs/kernel_read_file: Remove FIRMWARE_EFI_EMBEDDED enum
(bsc#1012628).
- efi/arm64: libstub: Deal gracefully with EFI_RNG_PROTOCOL
failure (bsc#1012628).
- scripts/setlocalversion: make git describe output more reliable
(bsc#1012628).
- io_uring: Convert advanced XArray uses to the normal API
(bsc#1012628).
- io_uring: Fix XArray usage in io_uring_add_task_file
(bsc#1012628).
- io_uring: Fix use of XArray in __io_uring_files_cancel
(bsc#1012628).
- io_uring: no need to call xa_destroy() on empty xarray
(bsc#1012628).
- io-wq: fix use-after-free in io_wq_worker_running (bsc#1012628).
- io_wq: Make io_wqe::lock a raw_spinlock_t (bsc#1012628).
- io_uring: reference ->nsproxy for file table commands
(bsc#1012628).
- io_uring: don't rely on weak ->files references (bsc#1012628).
- io_uring: enable task/files specific overflow flushing
(bsc#1012628).
- io_uring: return cancelation status from poll/timeout/files
handlers (bsc#1012628).
- io_uring: unconditionally grab req->task (bsc#1012628).
- io_uring: stash ctx task reference for SQPOLL (bsc#1012628).
- io_uring: move dropping of files into separate helper
(bsc#1012628).
- io_uring: allow timeout/poll/files killing to take task into
account (bsc#1012628).
- netfilter: nftables_offload: KASAN slab-out-of-bounds Read in
nft_flow_rule_create (bsc#1012628).
- cpufreq: Improve code around unlisted freq check (bsc#1012628).
- commit 2793cd6
-------------------------------------------------------------------
Thu Oct 29 11:14:06 CET 2020 - jslaby@suse.cz
- tracing, synthetic events: Replace buggy strcat() with seq_buf
operations (git-fixes).
- commit 4133ad1
-------------------------------------------------------------------
Thu Oct 29 10:42:35 CET 2020 - jslaby@suse.cz
- Linux 5.9.2 (bsc#1012628).
- ibmveth: Switch order of ibmveth_helper calls (bsc#1012628).
- ibmveth: Identify ingress large send packets (bsc#1012628).
- cxgb4: handle 4-tuple PEDIT to NAT mode translation
(bsc#1012628).
- ipv4: Restore flowi4_oif update before call to xfrm_lookup_route
(bsc#1012628).
- mlx4: handle non-napi callers to napi_poll (bsc#1012628).
- mptcp: fix fallback for MP_JOIN subflows (bsc#1012628).
- mptcp: subflows garbage collection (bsc#1012628).
- net: dsa: microchip: fix race condition (bsc#1012628).
- net: fec: Fix phy_device lookup for phy_reset_after_clk_enable()
(bsc#1012628).
- net: fec: Fix PHY init after phy_reset_after_clk_enable()
(bsc#1012628).
- net: fix pos incrementment in ipv6_route_seq_next (bsc#1012628).
- net: ipa: skip suspend/resume activities if not set up
(bsc#1012628).
- net: mptcp: make DACK4/DACK8 usage consistent among all subflows
(bsc#1012628).
- net: sched: Fix suspicious RCU usage while accessing
tcf_tunnel_info (bsc#1012628).
- net/smc: fix use-after-free of delayed events (bsc#1012628).
- net/smc: fix valid DMBE buffer sizes (bsc#1012628).
- net/tls: sendfile fails with ktls offload (bsc#1012628).
- tipc: fix NULL pointer dereference in tipc_named_rcv
(bsc#1012628).
- tipc: fix the skb_unshare() in tipc_buf_append() (bsc#1012628).
- socket: fix option SO_TIMESTAMPING_NEW (bsc#1012628).
- socket: don't clear SOCK_TSTAMP_NEW when SO_TIMESTAMPNS is
disabled (bsc#1012628).
- can: m_can_platform: don't call m_can_class_suspend in runtime
suspend (bsc#1012628).
- can: j1935: j1939_tp_tx_dat_new(): fix missing initialization
of skbcnt (bsc#1012628).
- net: j1939: j1939_session_fresh_new(): fix missing
initialization of skbcnt (bsc#1012628).
- binder: fix UAF when releasing todo list (bsc#1012628).
- ALSA: bebob: potential info leak in hwdep_read() (bsc#1012628).
- ALSA: hda: fix jack detection with Realtek codecs when in D3
(bsc#1012628).
- ALSA: hda/hdmi: fix incorrect locking in hdmi_pcm_close
(bsc#1012628).
- tipc: re-configure queue limit for broadcast link (bsc#1012628).
- tipc: fix incorrect setting window for bcast link (bsc#1012628).
- chelsio/chtls: fix socket lock (bsc#1012628).
- chelsio/chtls: correct netdevice for vlan interface
(bsc#1012628).
- chelsio/chtls: fix panic when server is on ipv6 (bsc#1012628).
- chelsio/chtls: Fix panic when listen on multiadapter
(bsc#1012628).
- chelsio/chtls: correct function return and return type
(bsc#1012628).
- chelsio/chtls: fix writing freed memory (bsc#1012628).
- ibmvnic: save changed mac address to adapter->mac_addr
(bsc#1012628).
- icmp: randomize the global rate limiter (bsc#1012628).
- mptcp: initialize mptcp_options_received's ahmac (bsc#1012628).
- net: ftgmac100: Fix Aspeed ast2600 TX hang issue (bsc#1012628).
- net: hdlc: In hdlc_rcv, check to make sure dev is an HDLC device
(bsc#1012628).
- net: hdlc_raw_eth: Clear the IFF_TX_SKB_SHARING flag after
calling ether_setup (bsc#1012628).
- net: Properly typecast int values to set sk_max_pacing_rate
(bsc#1012628).
- net/sched: act_ct: Fix adding udp port mangle operation
(bsc#1012628).
- net/sched: act_tunnel_key: fix OOB write in case of IPv6 ERSPAN
tunnels (bsc#1012628).
- netsec: ignore 'phy-mode' device property on ACPI systems
(bsc#1012628).
- nexthop: Fix performance regression in nexthop deletion
(bsc#1012628).
- nfc: Ensure presence of NFC_ATTR_FIRMWARE_NAME attribute in
nfc_genl_fw_download() (bsc#1012628).
- r8169: fix operation under forced interrupt threading
(bsc#1012628).
- selftests: forwarding: Add missing 'rp_filter' configuration
(bsc#1012628).
- selftests: rtnetlink: load fou module for kci_test_encap_fou()
test (bsc#1012628).
- tcp: fix to update snd_wl1 in bulk receiver fast path
(bsc#1012628).
- net: ethernet: mtk-star-emac: select REGMAP_MMIO (bsc#1012628).
- net/sched: act_gate: Unlock ->tcfa_lock in
tc_setup_flow_action() (bsc#1012628).
- ixgbe: fix probing of multi-port devices with one MDIO
(bsc#1012628).
- mptcp: MPTCP_KUNIT_TESTS should depend on MPTCP instead of
selecting it (bsc#1012628).
- net: openvswitch: fix to make sure flow_lookup() is not
preempted (bsc#1012628).
- sfc: move initialisation of efx->filter_sem to efx_init_struct()
(bsc#1012628).
- ALSA: hda - Don't register a cb func if it is registered already
(bsc#1012628).
- ALSA: hda - Fix the return value if cb func is already
registered (bsc#1012628).
- ALSA: usb-audio: Line6 Pod Go interface requires static clock
rate quirk (bsc#1012628).
- ALSA: hda/realtek - The front Mic on a HP machine doesn't work
(bsc#1012628).
- ALSA: hda/realtek - set mic to auto detect on a HP AIO machine
(bsc#1012628).
- ALSA: hda/realtek - Add mute Led support for HP Elitebook 845 G7
(bsc#1012628).
- ALSA: hda/realtek: Enable audio jacks of ASUS D700SA with ALC887
(bsc#1012628).
- cifs: remove bogus debug code (bsc#1012628).
- cifs: Return the error from crypt_message when enc/dec key
not found (bsc#1012628).
- SMB3: Resolve data corruption of TCP server info fields
(bsc#1012628).
- SMB3.1.1: Fix ids returned in POSIX query dir (bsc#1012628).
- smb3: do not try to cache root directory if dir leases not
supported (bsc#1012628).
- smb3: fix stat when special device file and mounted with
modefromsid (bsc#1012628).
- arm64: Make use of ARCH_WORKAROUND_1 even when KVM is not
enabled (bsc#1012628).
- KVM: nVMX: Morph notification vector IRQ on nested VM-Enter
to pending PI (bsc#1012628).
- KVM: nVMX: Reset the segment cache when stuffing guest segs
(bsc#1012628).
- KVM: nVMX: Reload vmcs01 if getting vmcs12's pages fails
(bsc#1012628).
- KVM: x86/mmu: Commit zap of remaining invalid pages when
recovering lpages (bsc#1012628).
- KVM: x86: Intercept LA57 to inject #GP fault when it's reserved
(bsc#1012628).
- KVM: SVM: Initialize prev_ga_tag before use (bsc#1012628).
- ima: Don't ignore errors from crypto_shash_update()
(bsc#1012628).
- crypto: caam - add xts check for block length equal to zero
(bsc#1012628).
- crypto: algif_aead - Do not set MAY_BACKLOG on the async path
(bsc#1012628).
- crypto: caam/qi - add fallback for XTS with more than 8B IV
(bsc#1012628).
- crypto: caam/qi - add support for more XTS key lengths
(bsc#1012628).
- crypto: caam/jr - add fallback for XTS with more than 8B IV
(bsc#1012628).
- crypto: caam/jr - add support for more XTS key lengths
(bsc#1012628).
- crypto: caam/qi2 - add fallback for XTS with more than 8B IV
(bsc#1012628).
- crypto: caam/qi2 - add support for more XTS key lengths
(bsc#1012628).
- RAS/CEC: Fix cec_init() prototype (bsc#1012628).
- sched/fair: Fix wrong negative conversion in
find_energy_efficient_cpu() (bsc#1012628).
- microblaze: fix kbuild redundant file warning (bsc#1012628).
- EDAC/i5100: Fix error handling order in i5100_init_one()
(bsc#1012628).
- EDAC/aspeed: Fix handling of platform_get_irq() error
(bsc#1012628).
- EDAC/ti: Fix handling of platform_get_irq() error (bsc#1012628).
- perf/x86/intel/ds: Fix x86_pmu_stop warning for large PEBS
(bsc#1012628).
- x86/fpu: Allow multiple bits in clearcpuid= parameter
(bsc#1012628).
- irqchip/ti-sci-inta: Fix unsigned comparison to zero
(bsc#1012628).
- irqchip/ti-sci-intr: Fix unsigned comparison to zero
(bsc#1012628).
- arm64: kprobe: add checks for ARMv8.3-PAuth combined
instructions (bsc#1012628).
- seqlock: Unbreak lockdep (bsc#1012628).
- drivers/perf: xgene_pmu: Fix uninitialized resource struct
(bsc#1012628).
- drivers/perf: thunderx2_pmu: Fix memory resource error handling
(bsc#1012628).
- sched/fair: Fix wrong cpu selecting from isolated domain
(bsc#1012628).
- sched/fair: Use dst group while checking imbalance for NUMA
balancer (bsc#1012628).
- arm64: perf: Add missing ISB in armv8pmu_enable_counter()
(bsc#1012628).
- perf/x86/intel/uncore: Update Ice Lake uncore units
(bsc#1012628).
- perf/x86/intel/uncore: Reduce the number of CBOX counters
(bsc#1012628).
- perf/x86/intel/uncore: Fix for iio mapping on Skylake Server
(bsc#1012628).
- perf/x86/intel/uncore: Fix the scale of the IMC free-running
events (bsc#1012628).
- x86/nmi: Fix nmi_handle() duration miscalculation (bsc#1012628).
- x86/events/amd/iommu: Fix sizeof mismatch (bsc#1012628).
- pinctrl: qcom: Set IRQCHIP_SET_TYPE_MASKED and
IRQCHIP_MASK_ON_SUSPEND flags (bsc#1012628).
- pinctrl: qcom: Use return value from irq_set_wake() call
(bsc#1012628).
- perf/x86: Fix n_pair for cancelled txn (bsc#1012628).
- lockdep: Fix usage_traceoverflow (bsc#1012628).
- lockdep: Fix lockdep recursion (bsc#1012628).
- lockdep: Revert "lockdep: Use raw_cpu_*() for per-cpu variables"
(bsc#1012628).
- perf/core: Fix race in the perf_mmap_close() function
(bsc#1012628).
- regulator: set of_node for qcom vbus regulator (bsc#1012628).
- crypto: algif_skcipher - EBUSY on aio should be an error
(bsc#1012628).
- crypto: mediatek - Fix wrong return value in
mtk_desc_ring_alloc() (bsc#1012628).
- crypto: ixp4xx - Fix the size used in a 'dma_free_coherent()'
call (bsc#1012628).
- crypto: picoxcell - Fix potential race condition bug
(bsc#1012628).
- media: vivid: Fix global-out-of-bounds read in
precalculate_color() (bsc#1012628).
- media: tuner-simple: fix regression in simple_set_radio_freq
(bsc#1012628).
- crypto: ccree - fix runtime PM imbalance on error (bsc#1012628).
- media: Revert "media: exynos4-is: Add missed check for
pinctrl_lookup_state()" (bsc#1012628).
- media: hantro: h264: Get the correct fallback reference buffer
(bsc#1012628).
- media: hantro: postproc: Fix motion vector space allocation
(bsc#1012628).
- media: ov5640: Correct Bit Div register in clock tree diagram
(bsc#1012628).
- media: i2c: fix error check on max9286_read call (bsc#1012628).
- media: m5mols: Check function pointer in m5mols_sensor_power
(bsc#1012628).
- fscrypt: restrict IV_INO_LBLK_32 to ino_bits <= 32
(bsc#1012628).
- media: uvcvideo: Set media controller entity functions
(bsc#1012628).
- media: uvcvideo: Silence shift-out-of-bounds warning
(bsc#1012628).
- media: staging/intel-ipu3: css: Correctly reset some memory
(bsc#1012628).
- media: omap3isp: Fix memleak in isp_probe (bsc#1012628).
- media: i2c: ov5640: Remain in power down for DVP mode unless
streaming (bsc#1012628).
- media: i2c: ov5640: Separate out mipi configuration from s_power
(bsc#1012628).
- media: i2c: ov5640: Enable data pins on poweron for DVP mode
(bsc#1012628).
- media: rcar_drif: Fix fwnode reference leak when parsing DT
(bsc#1012628).
- media: rcar_drif: Allocate v4l2_async_subdev dynamically
(bsc#1012628).
- media: rcar-csi2: Allocate v4l2_async_subdev dynamically
(bsc#1012628).
- media: i2c: max9286: Allocate v4l2_async_subdev dynamically
(bsc#1012628).
- crypto: sa2ul - fix compiler warning produced by clang
(bsc#1012628).
- spi: fsi: Handle 9 to 15 byte transfers lengths (bsc#1012628).
- spi: fsi: Fix use of the bneq+ sequencer instruction
(bsc#1012628).
- spi: fsi: Implement restricted size for certain controllers
(bsc#1012628).
- spi: dw-pci: free previously allocated IRQs if desc->setup()
fails (bsc#1012628).
- crypto: sa2ul - Select CRYPTO_AUTHENC (bsc#1012628).
- crypto: omap-sham - fix digcnt register handling with
export/import (bsc#1012628).
- crypto: sa2ul - Fix pm_runtime_get_sync() error checking
(bsc#1012628).
- selftests/seccomp: Use __NR_mknodat instead of __NR_mknod
(bsc#1012628).
- selftests/seccomp: Refactor arch register macros to avoid
xtensa special case (bsc#1012628).
- selftests/seccomp: powerpc: Fix seccomp return value testing
(bsc#1012628).
- regmap: debugfs: Fix more error path regressions (bsc#1012628).
- hwmon: (pmbus/max34440) Fix status register reads for
MAX344{51,60,61} (bsc#1012628).
- hwmon: (w83627ehf) Fix a resource leak in probe (bsc#1012628).
- cypto: mediatek - fix leaks in mtk_desc_ring_alloc
(bsc#1012628).
- crypto: stm32/crc32 - Avoid lock if hardware is already used
(bsc#1012628).
- crypto: sun8i-ce - handle endianness of t_common_ctl
(bsc#1012628).
- media: mx2_emmaprp: Fix memleak in emmaprp_probe (bsc#1012628).
- media: mtk-mdp: Fix Null pointer dereference when calling
list_add (bsc#1012628).
- media: tc358743: initialize variable (bsc#1012628).
- media: tc358743: cleanup tc358743_cec_isr (bsc#1012628).
- nvme: fix error handling in nvme_ns_report_zones (bsc#1012628).
- media: rcar-vin: Fix a reference count leak (bsc#1012628).
- media: rockchip/rga: Fix a reference count leak (bsc#1012628).
- media: platform: fcp: Fix a reference count leak (bsc#1012628).
- media: camss: Fix a reference count leak (bsc#1012628).
- media: s5p-mfc: Fix a reference count leak (bsc#1012628).
- media: stm32-dcmi: Fix a reference count leak (bsc#1012628).
- media: ti-vpe: Fix a missing check and reference count leak
(bsc#1012628).
- regulator: resolve supply after creating regulator
(bsc#1012628).
- pinctrl: bcm: fix kconfig dependency warning when !GPIOLIB
(bsc#1012628).
- spi: spi-s3c64xx: swap s3c64xx_spi_set_cs() and
s3c64xx_enable_datapath() (bsc#1012628).
- spi: spi-s3c64xx: Check return values (bsc#1012628).
- hwmon: (bt1-pvt) Test sensor power supply on probe
(bsc#1012628).
- hwmon: (bt1-pvt) Cache current update timeout (bsc#1012628).
- hwmon: (bt1-pvt) Wait for the completion with timeout
(bsc#1012628).
- spi: imx: Fix freeing of DMA channels if spi_bitbang_start()
fails (bsc#1012628).
- btrfs: add owner and fs_info to alloc_state io_tree
(bsc#1012628).
- blk-mq: move cancel of hctx->run_work to the front of
blk_exit_queue (bsc#1012628).
- ath10k: provide survey info as accumulated data (bsc#1012628).
- drm/vkms: fix xrgb on compute crc (bsc#1012628).
- Bluetooth: hci_uart: Cancel init work before unregistering
(bsc#1012628).
- drm/amd/display: Fix wrong return value in
dm_update_plane_state() (bsc#1012628).
- drm/vgem: add missing platform_device_unregister() in
vgem_init() (bsc#1012628).
- drm/vkms: add missing platform_device_unregister() in
vkms_init() (bsc#1012628).
- drm: panel: Fix bus format for OrtusTech COM43H4M85ULC panel
(bsc#1012628).
- ath6kl: prevent potential array overflow in ath6kl_add_new_sta()
(bsc#1012628).
- ath9k: Fix potential out of bounds in
ath9k_htc_txcompletion_cb() (bsc#1012628).
- ath10k: Fix the size used in a 'dma_free_coherent()' call in
an error handling path (bsc#1012628).
- wcn36xx: Fix reported 802.11n rx_highest rate wcn3660/wcn3680
(bsc#1012628).
- ASoC: qcom: lpass-platform: fix memory leak (bsc#1012628).
- ASoC: qcom: lpass-cpu: fix concurrency issue (bsc#1012628).
- ath11k: Fix possible memleak in ath11k_qmi_init_service
(bsc#1012628).
- brcmfmac: check ndev pointer (bsc#1012628).
- mwifiex: Do not use GFP_KERNEL in atomic context (bsc#1012628).
- drm/malidp: Use struct drm_gem_object_funcs.get_sg_table
internally (bsc#1012628).
- staging: rtl8192u: Do not use GFP_KERNEL in atomic context
(bsc#1012628).
- drm/amd/display: fix potential integer overflow when shifting
32 bit variable bl_pwm (bsc#1012628).
- selftests/bpf: Fix test_vmlinux test to use
bpf_probe_read_user() (bsc#1012628).
- drm/gma500: fix error check (bsc#1012628).
- scsi: qla4xxx: Fix an error handling path in
'qla4xxx_get_host_stats()' (bsc#1012628).
- scsi: qla2xxx: Fix the size used in a 'dma_free_coherent()'
call (bsc#1012628).
- scsi: qla2xxx: Fix wrong return value in
qlt_chk_unresolv_exchg() (bsc#1012628).
- scsi: qla2xxx: Fix wrong return value in qla_nvme_register_hba()
(bsc#1012628).
- scsi: csiostor: Fix wrong return value in csio_hw_prep_fw()
(bsc#1012628).
- libbpf: Fix unintentional success return code in
bpf_object__load (bsc#1012628).
- wilc1000: Fix memleak in wilc_sdio_probe (bsc#1012628).
- wilc1000: Fix memleak in wilc_bus_probe (bsc#1012628).
- rtw88: don't treat NULL pointer as an array (bsc#1012628).
- selftests/livepatch: Do not check order when using "comm"
for dmesg checking (bsc#1012628).
- backlight: sky81452-backlight: Fix refcount imbalance on error
(bsc#1012628).
- staging: wfx: fix frame reordering (bsc#1012628).
- staging: emxx_udc: Fix passing of NULL to dma_alloc_coherent()
(bsc#1012628).
- VMCI: check return value of get_user_pages_fast() for errors
(bsc#1012628).
- mm/error_inject: Fix allow_error_inject function signatures
(bsc#1012628).
- drm: panel: Fix bpc for OrtusTech COM43H4M85ULC panel
(bsc#1012628).
- samples/bpf: Fix to xdpsock to avoid recycling frames
(bsc#1012628).
- ima: Pre-parse the list of keyrings in a KEY_CHECK rule
(bsc#1012628).
- ima: Fail rule parsing when asymmetric key measurement isn't
supportable (bsc#1012628).
- drm/crc-debugfs: Fix memleak in crc_control_write (bsc#1012628).
- Bluetooth: Clear suspend tasks on unregister (bsc#1012628).
- selftests: vm: add fragment CONFIG_GUP_BENCHMARK (bsc#1012628).
- scsi: ufs: Make ufshcd_print_trs() consider
UFSHCD_QUIRK_PRDT_BYTE_GRAN (bsc#1012628).
- binder: Remove bogus warning on failed same-process transaction
(bsc#1012628).
- drm/amdgpu: fix max_entries calculation v4 (bsc#1012628).
- soundwire: stream: fix NULL/IS_ERR confusion (bsc#1012628).
- soundwire: intel: fix NULL/ERR_PTR confusion (bsc#1012628).
- tty: serial: earlycon dependency (bsc#1012628).
- tty: hvcs: Don't NULL tty->driver_data until hvcs_cleanup()
(bsc#1012628).
- pty: do tty_flip_buffer_push without port->lock in pty_write
(bsc#1012628).
- pwm: lpss: Fix off by one error in base_unit math in
pwm_lpss_prepare() (bsc#1012628).
- pwm: lpss: Add range limit check for the base_unit register
value (bsc#1012628).
- drivers/virt/fsl_hypervisor: Fix error handling path
(bsc#1012628).
- sfc: don't double-down() filters in ef100_reset() (bsc#1012628).
- ath11k: fix a double free and a memory leak (bsc#1012628).
- video: fbdev: vga16fb: fix setting of pixclock because a
pass-by-value error (bsc#1012628).
- video: fbdev: sis: fix null ptr dereference (bsc#1012628).
- video: fbdev: radeon: Fix memleak in radeonfb_pci_register
(bsc#1012628).
- ASoC: fsl: imx-es8328: add missing put_device() call in
imx_es8328_probe() (bsc#1012628).
- scsi: ufs: ufs-mediatek: Eliminate error message for unbound
mphy (bsc#1012628).
- scsi: ufs: ufs-mediatek: Fix HOST_PA_TACTIVATE quirk
(bsc#1012628).
- HID: roccat: add bounds checking in kone_sysfs_write_settings()
(bsc#1012628).
- ath11k: fix uninitialized return in
ath11k_spectral_process_data() (bsc#1012628).
- drm/msm: Avoid div-by-zero in dpu_crtc_atomic_check()
(bsc#1012628).
- drm/panfrost: Ensure GPU quirks are always initialised
(bsc#1012628).
- iomap: Clear page error before beginning a write (bsc#1012628).
- iomap: Mark read blocks uptodate in write_begin (bsc#1012628).
- selftests/lkdtm: Use "comm" instead of "diff" for dmesg
(bsc#1012628).
- Bluetooth: Fix memory leak in read_adv_mon_features()
(bsc#1012628).
- Bluetooth: Re-order clearing suspend tasks (bsc#1012628).
- pinctrl: mcp23s08: Fix mcp23x17_regmap initialiser
(bsc#1012628).
- pinctrl: mcp23s08: Fix mcp23x17 precious range (bsc#1012628).
- pinctrl: devicetree: Keep deferring even on timeout
(bsc#1012628).
- drm/msm/adreno: fix probe without iommu (bsc#1012628).
- s390/bpf: Fix multiple tail calls (bsc#1012628).
- net/mlx5: Fix uninitialized variable warning (bsc#1012628).
- net/mlx5: Don't call timecounter cyc2time directly from 1PPS
flow (bsc#1012628).
- scsi: mpt3sas: Fix sync irqs (bsc#1012628).
- net: stmmac: Fix incorrect location to set real_num_rx|tx_queues
(bsc#1012628).
- net: stmmac: use netif_tx_start|stop_all_queues() function
(bsc#1012628).
- xfs: force the log after remapping a synchronous-writes file
(bsc#1012628).
- cpufreq: armada-37xx: Add missing MODULE_DEVICE_TABLE
(bsc#1012628).
- drm: mxsfb: check framebuffer pitch (bsc#1012628).
- cpufreq: qcom: Don't add frequencies without an OPP
(bsc#1012628).
- ima: Fix NULL pointer dereference in ima_file_hash
(bsc#1012628).
- ASoC: topology: disable size checks for bytes_ext controls if
needed (bsc#1012628).
- ASoC: tlv320adcx140: Fix digital gain range (bsc#1012628).
- coresight: etm4x: Fix etm4_count race by moving cpuhp callbacks
to init (bsc#1012628).
- coresight: cti: disclaim device only when it's claimed
(bsc#1012628).
- coresight: cti: remove pm_runtime_get_sync() from CPU hotplug
(bsc#1012628).
- coresight: etm4x: Ensure default perf settings filter
user/kernel (bsc#1012628).
- coresight: etm4x: Fix issues within reset interface of sysfs
(bsc#1012628).
- coresight: cti: Write regsiters directly in cti_enable_hw()
(bsc#1012628).
- coresight: etm4x: Handle unreachable sink in perf mode
(bsc#1012628).
- coresight: etm4x: Fix issues on trcseqevr access (bsc#1012628).
- nvmem: core: fix missing of_node_put() in of_nvmem_device_get()
(bsc#1012628).
- selftests: mptcp: interpret \n as a new line (bsc#1012628).
- dmaengine: ti: k3-udma-glue: fix channel enable functions
(bsc#1012628).
- selftests/bpf: Fix endianness issue in sk_assign (bsc#1012628).
- selftests/bpf: Fix endianness issue in test_sockopt_sk
(bsc#1012628).
- Bluetooth: Fix auto-creation of hci_conn at Conn Complete event
(bsc#1012628).
- xhci: don't create endpoint debugfs entry before ring buffer
is set (bsc#1012628).
- drm/msm: Fix the a650 hw_apriv check (bsc#1012628).
- net: dsa: rtl8366: Check validity of passed VLANs (bsc#1012628).
- net: dsa: rtl8366: Refactor VLAN/PVID init (bsc#1012628).
- net: dsa: rtl8366: Skip PVID setting if not requested
(bsc#1012628).
- net: wilc1000: clean up resource in error path of init mon
interface (bsc#1012628).
- ASoC: tas2770: Fix calling reset in probe (bsc#1012628).
- ASoC: tas2770: Add missing bias level power states
(bsc#1012628).
- ASoC: tas2770: Fix required DT properties in the code
(bsc#1012628).
- ASoC: tas2770: Fix error handling with update_bits
(bsc#1012628).
- ASoC: tlv320aic32x4: Fix bdiv clock rate derivation
(bsc#1012628).
- net: dsa: rtl8366rb: Support all 4096 VLANs (bsc#1012628).
- ASoC: SOF: control: add size checks for ext_bytes control .put()
(bsc#1012628).
- ASoC: tas2770: Fix unbalanced calls to pm_runtime (bsc#1012628).
- spi: omap2-mcspi: Improve performance waiting for CHSTAT
(bsc#1012628).
- ath11k: Add checked value for ath11k_ahb_remove (bsc#1012628).
- ath6kl: wmi: prevent a shift wrapping bug in
ath6kl_wmi_delete_pstream_cmd() (bsc#1012628).
- drm: rcar-du: Put reference to VSP device (bsc#1012628).
- phy: rockchip-dphy-rx0: Include linux/delay.h (bsc#1012628).
- dmaengine: dmatest: Check list for emptiness before access
its last entry (bsc#1012628).
- ASoC: cros_ec_codec: fix kconfig dependency warning for
SND_SOC_CROS_EC_CODEC (bsc#1012628).
- misc: mic: scif: Fix error handling path (bsc#1012628).
- ALSA: seq: oss: Avoid mutex lock for a long-time ioctl
(bsc#1012628).
- net/mlx5e: IPsec: Use kvfree() for memory allocated with
kvzalloc() (bsc#1012628).
- usb: dwc2: Fix parameter type in function pointer prototype
(bsc#1012628).
- usb: dwc3: core: Properly default unspecified speed
(bsc#1012628).
- usb: dwc2: Add missing cleanups when usb_add_gadget_udc()
fails (bsc#1012628).
- rtw88: Fix probe error handling race with firmware loading
(bsc#1012628).
- rtw88: Fix potential probe error handling race with wow firmware
loading (bsc#1012628).
- mt76: mt7615: hold mt76 lock queueing wd in
mt7615_queue_key_update (bsc#1012628).
- mt76: mt7615: release mutex in mt7615_reset_test_set
(bsc#1012628).
- mt76: mt7663s: fix resume failure (bsc#1012628).
- mt76: mt7615: fix possible memory leak in mt7615_tm_set_tx_power
(bsc#1012628).
- mt76: mt7615: fix a possible NULL pointer dereference in
mt7615_pm_wake_work (bsc#1012628).
- mt76: fix a possible NULL pointer dereference in
mt76_testmode_dump (bsc#1012628).
- mt76: mt7663u: fix dma header initialization (bsc#1012628).
- mt76: mt7615: move drv_own/fw_own in mt7615_mcu_ops
(bsc#1012628).
- mt76: mt7622: fix fw hang on mt7622 (bsc#1012628).
- mt76: mt7915: fix possible memory leak in mt7915_mcu_add_beacon
(bsc#1012628).
- quota: clear padding in v2r1_mem2diskdqb() (bsc#1012628).
- slimbus: core: check get_addr before removing laddr ida
(bsc#1012628).
- slimbus: core: do not enter to clock pause mode in core
(bsc#1012628).
- slimbus: qcom-ngd-ctrl: disable ngd in qmi server down callback
(bsc#1012628).
- drm/vc4: crtc: Rework a bit the CRTC state code (bsc#1012628).
- ASoC: fsl_sai: Instantiate snd_soc_dai_driver (bsc#1012628).
- HID: hid-input: fix stylus battery reporting (bsc#1012628).
- tty: hvc: fix link error with CONFIG_SERIAL_CORE_CONSOLE=n
(bsc#1012628).
- tty: serial: imx: fix link error with
CONFIG_SERIAL_CORE_CONSOLE=n (bsc#1012628).
- nvmem: core: fix possibly memleak when use
nvmem_cell_info_to_nvmem_cell() (bsc#1012628).
- hv: clocksource: Add notrace attribute to
read_hv_sched_clock_*() functions (bsc#1012628).
- nl80211: fix OBSS PD min and max offset validation
(bsc#1012628).
- iomap: Use kzalloc to allocate iomap_page (bsc#1012628).
- coresight: etm: perf: Fix warning caused by etm_setup_aux
failure (bsc#1012628).
- coresight: cti: Fix remove sysfs link error (bsc#1012628).
- coresight: cti: Fix bug clearing sysfs links on callback
(bsc#1012628).
- coresight: etm4x: Fix save and restore of TRCVMIDCCTLR1 register
(bsc#1012628).
- ibmvnic: set up 200GBPS speed (bsc#1012628).
- bpf: disallow attaching modify_return tracing functions to
other BPF programs (bsc#1012628).
- selftests: Remove fmod_ret from test_overhead (bsc#1012628).
- qtnfmac: fix resource leaks on unsupported iftype error return
path (bsc#1012628).
- pinctrl: aspeed: Use the right pinconf mask (bsc#1012628).
- iommu/qcom: add missing put_device() call in
qcom_iommu_of_xlate() (bsc#1012628).
- iio: adc: stm32-adc: fix runtime autosuspend delay when slow
polling (bsc#1012628).
- net: enic: Cure the enic api locking trainwreck (bsc#1012628).
- selftests/bpf: Fix endianness issues in
sk_lookup/ctx_narrow_access (bsc#1012628).
- pinctrl: tigerlake: Fix register offsets for TGL-H variant
(bsc#1012628).
- serial: 8250: Discard RTS/DTS setting from clock update method
(bsc#1012628).
- serial: 8250: Skip uninitialized TTY port baud rate update
(bsc#1012628).
- serial: 8250_dw: Fix clk-notifier/port suspend deadlock
(bsc#1012628).
- mfd: syscon: Don't free allocated name for regmap_config
(bsc#1012628).
- mfd: sm501: Fix leaks in probe() (bsc#1012628).
- dm: fix missing imposition of queue_limits from dm_wq_work()
thread (bsc#1012628).
- pinctrl: single: fix pinctrl_spec.args_count bounds check
(bsc#1012628).
- pinctrl: single: fix debug output when #pinctrl-cells = 2
(bsc#1012628).
- staging: rtl8712: Fix enqueue_reorder_recvframe() (bsc#1012628).
- ASoC: wm_adsp: Pass full name to snd_ctl_notify (bsc#1012628).
- iwlwifi: mvm: split a print to avoid a WARNING in ROC
(bsc#1012628).
- iwlwifi: dbg: remove no filter condition (bsc#1012628).
- iwlwifi: dbg: run init_cfg function once per driver load
(bsc#1012628).
- usb: gadget: f_ncm: fix ncm_bitrate for SuperSpeed and above
(bsc#1012628).
- usb: gadget: u_serial: clear suspended flag when disconnecting
(bsc#1012628).
- usb: gadget: u_ether: enable qmult on SuperSpeed Plus as well
(bsc#1012628).
- bus: mhi: core: Fix the building of MHI module (bsc#1012628).
- ocxl: fix kconfig dependency warning for OCXL (bsc#1012628).
- nl80211: fix non-split wiphy information (bsc#1012628).
- usb: dwc2: Fix INTR OUT transfers in DDMA mode (bsc#1012628).
- scsi: target: tcmu: Fix warning: 'page' may be used
uninitialized (bsc#1012628).
- scsi: be2iscsi: Fix a theoretical leak in beiscsi_create_eqs()
(bsc#1012628).
- dmaengine: ioat: Allocate correct size for descriptor chunk
(bsc#1012628).
- staging: qlge: fix build breakage with dumping enabled
(bsc#1012628).
- ipmi_si: Fix wrong return value in try_smi_init() (bsc#1012628).
- tracing: Fix parse_synth_field() error handling (bsc#1012628).
- ASoC: mediatek: mt8183-da7219: fix wrong ops for I2S3
(bsc#1012628).
- staging: wfx: fix BA sessions for older firmwares (bsc#1012628).
- platform/x86: mlx-platform: Remove PSU EEPROM configuration
(bsc#1012628).
- dm: fix request-based DM to not bounce through indirect
dm_submit_bio (bsc#1012628).
- mwifiex: fix double free (bsc#1012628).
- drm/panfrost: increase readl_relaxed_poll_timeout values
(bsc#1012628).
- drm/amdgpu: Fix invalid number of character '{' in
amdgpu_acpi_init (bsc#1012628).
- ipvs: clear skb->tstamp in forwarding path (bsc#1012628).
- bpf, sockmap: Remove skb_orphan and let normal skb_kfree do
cleanup (bsc#1012628).
- net: korina: fix kfree of rx/tx descriptor array (bsc#1012628).
- netfilter: nf_log: missing vlan offload tag and proto
(bsc#1012628).
- mm/swapfile.c: fix potential memory leak in sys_swapon
(bsc#1012628).
- mm: memcg/slab: fix racy access to page->mem_cgroup in
mem_cgroup_from_obj() (bsc#1012628).
- mm/memcg: fix device private memcg accounting (bsc#1012628).
- mm/mmap.c: replace do_brk with do_brk_flags in comment of
insert_vm_struct() (bsc#1012628).
- mm/page_alloc.c: fix freeing non-compound pages (bsc#1012628).
- mm, oom_adj: don't loop through tasks in __set_oom_adj when
not necessary (bsc#1012628).
- fs: fix NULL dereference due to data race in prepend_path()
(bsc#1012628).
- selftests/ftrace: Change synthetic event name for
inter-event-combined test (bsc#1012628).
- tracing: Handle synthetic event array field type checking
correctly (bsc#1012628).
- i3c: master add i3c_master_attach_boardinfo to preserve
boardinfo (bsc#1012628).
- IB/mlx4: Fix starvation in paravirt mux/demux (bsc#1012628).
- IB/mlx4: Adjust delayed work when a dup is observed
(bsc#1012628).
- powerpc/pseries: Fix missing of_node_put() in rng_init()
(bsc#1012628).
- powerpc/icp-hv: Fix missing of_node_put() in success path
(bsc#1012628).
- rcu/tree: Force quiescent state on callback overload
(bsc#1012628).
- rcutorture: Properly set rcu_fwds for OOM handling
(bsc#1012628).
- refperf: Avoid null pointer dereference when buf fails to
allocate (bsc#1012628).
- RDMA/ucma: Fix locking for ctx->events_reported (bsc#1012628).
- RDMA/ucma: Add missing locking around rdma_leave_multicast()
(bsc#1012628).
- mtd: lpddr: fix excessive stack usage with clang (bsc#1012628).
- RDMA/hns: Add a check for current state before modifying QP
(bsc#1012628).
- RDMA/umem: Fix signature of stub ib_umem_find_best_pgsz()
(bsc#1012628).
- powerpc/pseries: explicitly reschedule during drmem_lmb list
traversal (bsc#1012628).
- pseries/drmem: don't cache node id in drmem_lmb struct
(bsc#1012628).
- RDMA/mlx5: Fix potential race between destroy and CQE poll
(bsc#1012628).
- mtd: mtdoops: Don't write panic data twice (bsc#1012628).
- selftests/powerpc: Fix prefixes in alignment_handler signal
handler (bsc#1012628).
- perf tools: Make GTK2 support opt-in (bsc#1012628).
- tools feature: Add missing -lzstd to the fast path feature
detection (bsc#1012628).
- ARM: 9007/1: l2c: fix prefetch bits init in L2X0_AUX_CTRL
using DT values (bsc#1012628).
- xfs: fix finobt btree block recovery ordering (bsc#1012628).
- m68knommu: include SDHC support only when hardware has it
(bsc#1012628).
- arc: plat-hsdk: fix kconfig dependency warning when
!RESET_CONTROLLER (bsc#1012628).
- ida: Free allocated bitmap in error path (bsc#1012628).
- xfs: limit entries returned when counting fsmap records
(bsc#1012628).
- xfs: fix deadlock and streamline xfs_getfsmap performance
(bsc#1012628).
- nfs: add missing "posix" local_lock constant table definition
(bsc#1012628).
- xfs: fix high key handling in the rt allocator's query_range
function (bsc#1012628).
- RDMA/rtrs-srv: Incorporate ib_register_client into rtrs server
init (bsc#1012628).
- RDMA/core: Delete function indirection for alloc/free kernel CQ
(bsc#1012628).
- RDMA: Allow fail of destroy CQ (bsc#1012628).
- RDMA: Change XRCD destroy return value (bsc#1012628).
- RDMA: Restore ability to return error for destroy WQ
(bsc#1012628).
- RDMA/umem: Fix ib_umem_find_best_pgsz() for mappings that
cross a page boundary (bsc#1012628).
- RDMA/umem: Prevent small pages from being returned by
ib_umem_find_best_pgsz() (bsc#1012628).
- RDMA/qedr: Fix qp structure memory leak (bsc#1012628).
- RDMA/qedr: Fix doorbell setting (bsc#1012628).
- RDMA/qedr: Fix use of uninitialized field (bsc#1012628).
- RDMA/qedr: Fix return code if accept is called on a destroyed qp
(bsc#1012628).
- RDMA/qedr: Fix inline size returned for iWARP (bsc#1012628).
- powerpc/pseries/svm: Allocate SWIOTLB buffer anywhere in memory
(bsc#1012628).
- powerpc/watchpoint: Fix quadword instruction handling on p10
predecessors (bsc#1012628).
- powerpc/watchpoint: Fix handling of vector instructions
(bsc#1012628).
- powerpc/watchpoint: Add hw_len wherever missing (bsc#1012628).
- powerpc/book3s64/hash/4k: Support large linear mapping range
with 4K (bsc#1012628).
- powerpc/tau: Use appropriate temperature sample interval
(bsc#1012628).
- powerpc/tau: Convert from timer to workqueue (bsc#1012628).
- powerpc/tau: Remove duplicated set_thresholds() call
(bsc#1012628).
- powerpc/tau: Check processor type before enabling TAU interrupt
(bsc#1012628).
- powerpc/tau: Disable TAU between measurements (bsc#1012628).
- powerpc/kasan: Fix CONFIG_KASAN_VMALLOC for 8xx (bsc#1012628).
- powerpc/64s/radix: Fix mm_cpumask trimming race vs
kthread_use_mm (bsc#1012628).
- powerpc/papr_scm: Fix warning triggered by perf_stats_show()
(bsc#1012628).
- RDMA/cma: Combine cma_ndev_work with cma_work (bsc#1012628).
- RDMA/cma: Remove dead code for kernel rdmacm multicast
(bsc#1012628).
- RDMA/cma: Consolidate the destruction of a cma_multicast in
one place (bsc#1012628).
- RDMA/cma: Fix use after free race in roce multicast join
(bsc#1012628).
- perf intel-pt: Fix "context_switch event has no tid" error
(bsc#1012628).
- perf metricgroup: Fix uncore metric expressions (bsc#1012628).
- RDMA/qedr: Fix resource leak in qedr_create_qp (bsc#1012628).
- RDMA/hns: Set the unsupported wr opcode (bsc#1012628).
- RDMA/mlx5: Use set_mkc_access_pd_addr_fields() in reg_create()
(bsc#1012628).
- RDMA/mlx5: Make mkeys always owned by the kernel's PD when
not enabled (bsc#1012628).
- RDMA/mlx5: Disable IB_DEVICE_MEM_MGT_EXTENSIONS if IB_WR_REG_MR
can't work (bsc#1012628).
- i40iw: Add support to make destroy QP synchronous (bsc#1012628).
- perf stat: Skip duration_time in setup_system_wide
(bsc#1012628).
- RDMA/hns: Add check for the validity of sl configuration
(bsc#1012628).
- RDMA/hns: Solve the overflow of the calc_pg_sz() (bsc#1012628).
- RDMA/hns: Fix the wrong value of rnr_retry when querying qp
(bsc#1012628).
- RDMA/hns: Fix configuration of ack_req_freq in QPC
(bsc#1012628).
- RDMA/hns: Fix missing sq_sig_type when querying QP
(bsc#1012628).
- RDMA/mlx5: Fix type warning of sizeof in
__mlx5_ib_alloc_counters() (bsc#1012628).
- mtd: hyperbus: hbmc-am654: Fix direct mapping setup flash access
(bsc#1012628).
- mtd: rawnand: stm32_fmc2: fix a buffer overflow (bsc#1012628).
- mtd: rawnand: vf610: disable clk on error handling path in probe
(bsc#1012628).
- mtd: spinand: gigadevice: Only one dummy byte in QUADIO
(bsc#1012628).
- mtd: spinand: gigadevice: Add QE Bit (bsc#1012628).
- mtd: rawnand: ams-delta: Fix non-OF build warning (bsc#1012628).
- kdb: Fix pager search for multi-line strings (bsc#1012628).
- overflow: Include header file with SIZE_MAX declaration
(bsc#1012628).
- mtd: parsers: bcm63xx: Do not make it modular (bsc#1012628).
- RDMA/ipoib: Set rtnl_link_ops for ipoib interfaces
(bsc#1012628).
- powerpc/64: fix irq replay missing preempt (bsc#1012628).
- powerpc/64: fix irq replay pt_regs->softe value (bsc#1012628).
- powerpc: PPC_SECURE_BOOT should not require PowerNV
(bsc#1012628).
- powerpc/perf: Exclude pmc5/6 from the irrelevant PMU group
constraints (bsc#1012628).
- powerpc/perf/hv-gpci: Fix starting index value (bsc#1012628).
- perf stat: Fix out of bounds CPU map access when handling
armv8_pmu events (bsc#1012628).
- i3c: master: Fix error return in cdns_i3c_master_probe()
(bsc#1012628).
- powerpc/security: Fix link stack flush instruction
(bsc#1012628).
- powerpc/book3s64/radix: Make radix_mem_block_size 64bit
(bsc#1012628).
- powerpc/papr_scm: Add PAPR command family to pass-through
command-set (bsc#1012628).
- cpufreq: powernv: Fix frame-size-overflow in
powernv_cpufreq_reboot_notifier (bsc#1012628).
- IB/rdmavt: Fix sizeof mismatch (bsc#1012628).
- RDMA/rxe: Fix skb lifetime in rxe_rcv_mcast_pkt() (bsc#1012628).
- f2fs: reject CASEFOLD inode flag without casefold feature
(bsc#1012628).
- um: vector: Use GFP_ATOMIC under spin lock (bsc#1012628).
- um: time-travel: Fix IRQ handling in
time_travel_handle_message() (bsc#1012628).
- thermal: core: Adding missing nlmsg_free() in
thermal_genl_sampling_temp() (bsc#1012628).
- maiblox: mediatek: Fix handling of platform_get_irq() error
(bsc#1012628).
- perf trace: Fix off by ones in memset() after realloc() in
arches using libaudit (bsc#1012628).
- selftests/powerpc: Fix eeh-basic.sh exit codes (bsc#1012628).
- f2fs: wait for sysfs kobject removal before freeing f2fs_sb_info
(bsc#1012628).
- afs: Fix rapid cell addition/removal by not using RCU on cells
tree (bsc#1012628).
- afs: Fix cell refcounting by splitting the usage counter
(bsc#1012628).
- afs: Fix cell purging with aliases (bsc#1012628).
- afs: Fix cell removal (bsc#1012628).
- RDMA/rxe: Handle skb_clone() failure in rxe_recv.c
(bsc#1012628).
- mm/page_owner: change split_page_owner to take a count
(bsc#1012628).
- mm/huge_memory: fix split assumption of page size (bsc#1012628).
- mm: fix a race during THP splitting (bsc#1012628).
- lib/crc32.c: fix trivial typo in preprocessor condition
(bsc#1012628).
- ramfs: fix nommu mmap with gaps in the page cache (bsc#1012628).
- rapidio: fix error handling path (bsc#1012628).
- rapidio: fix the missed put_device() for rio_mport_add_riodev
(bsc#1012628).
- mailbox: avoid timer start from callback (bsc#1012628).
- clk: meson: axg-audio: separate axg and g12a regmap tables
(bsc#1012628).
- rtc: ds1307: Clear OSF flag on DS1388 when setting time
(bsc#1012628).
- i2c: rcar: Auto select RESET_CONTROLLER (bsc#1012628).
- clk: meson: g12a: mark fclk_div2 as critical (bsc#1012628).
- PCI: designware-ep: Fix the Header Type check (bsc#1012628).
- PCI: aardvark: Fix compilation on s390 (bsc#1012628).
- PCI: aardvark: Check for errors from pci_bridge_emul_init()
call (bsc#1012628).
- PCI: iproc: Set affinity mask on MSI interrupts (bsc#1012628).
- rpmsg: smd: Fix a kobj leak in in qcom_smd_parse_edge()
(bsc#1012628).
- rpmsg: Avoid double-free in mtk_rpmsg_register_device
(bsc#1012628).
- remoteproc: stm32: Fix pointer assignement (bsc#1012628).
- PCI/IOV: Mark VFs as not implementing PCI_COMMAND_MEMORY
(bsc#1012628).
- vfio: add a singleton check for vfio_group_pin_pages
(bsc#1012628).
- s390/pci: Mark all VFs as not implementing PCI_COMMAND_MEMORY
(bsc#1012628).
- vfio/pci: Decouple PCI_COMMAND_MEMORY bit checks from is_virtfn
(bsc#1012628).
- vfio: fix a missed vfio group put in vfio_pin_pages
(bsc#1012628).
- vfio/type1: fix dirty bitmap calculation in vfio_dma_rw
(bsc#1012628).
- clk: qcom: gcc-sdm660: Fix wrong parent_map (bsc#1012628).
- clk: keystone: sci-clk: fix parsing assigned-clock data during
probe (bsc#1012628).
- pwm: rockchip: Keep enabled PWMs running while probing
(bsc#1012628).
- pwm: img: Fix null pointer access in probe (bsc#1012628).
- nfsd: Cache R, RW, and W opens separately (bsc#1012628).
- remoteproc/mediatek: fix null pointer dereference on null scp
pointer (bsc#1012628).
- PCI: hv: Fix hibernation in case interrupts are not re-created
(bsc#1012628).
- clk: rockchip: Initialize hw to error to avoid undefined
behavior (bsc#1012628).
- clk: mediatek: add UART0 clock support (bsc#1012628).
- module: statically initialize init section freeing data
(bsc#1012628).
- clk: at91: clk-main: update key before writing AT91_CKGR_MOR
(bsc#1012628).
- clk: bcm2835: add missing release if devm_clk_hw_register fails
(bsc#1012628).
- kbuild: deb-pkg: do not build linux-headers package if
CONFIG_MODULES=n (bsc#1012628).
- watchdog: Fix memleak in watchdog_cdev_register (bsc#1012628).
- watchdog: Use put_device on error (bsc#1012628).
- watchdog: sp5100: Fix definition of EFCH_PM_DECODEEN3
(bsc#1012628).
- clk: at91: sam9x60: support only two programmable clocks
(bsc#1012628).
- svcrdma: fix bounce buffers for unaligned offsets and multiple
pages (bsc#1012628).
- ext4: fix dead loop in ext4_mb_new_blocks (bsc#1012628).
- ext4: discard preallocations before releasing group lock
(bsc#1012628).
- ext4: disallow modifying DAX inode flag if inline_data has
been set (bsc#1012628).
- ext4: limit entries returned when counting fsmap records
(bsc#1012628).
- vfio/pci: Clear token on bypass registration failure
(bsc#1012628).
- vfio iommu type1: Fix memory leak in vfio_iommu_type1_pin_pages
(bsc#1012628).
- clk: qcom: gdsc: Keep RETAIN_FF bit set if gdsc is already on
(bsc#1012628).
- clk: imx8mq: Fix usdhc parents order (bsc#1012628).
- SUNRPC: fix copying of multiple pages in gss_read_proxy_verf()
(bsc#1012628).
- NFSv4.2: Fix NFS4ERR_STALE error when doing inter server copy
(bsc#1012628).
- platform/chrome: cros_ec_typec: Send enum values to
usb_role_switch_set_role() (bsc#1012628).
- platform/chrome: cros_ec_lightbar: Reduce ligthbar get version
command (bsc#1012628).
- Input: elants_i2c - fix typo for an attribute to show
calibration count (bsc#1012628).
- Input: imx6ul_tsc - clean up some errors in imx6ul_tsc_resume()
(bsc#1012628).
- Input: stmfts - fix a & vs && typo (bsc#1012628).
- Input: ep93xx_keypad - fix handling of platform_get_irq()
error (bsc#1012628).
- Input: omap4-keypad - fix handling of platform_get_irq() error
(bsc#1012628).
- Input: twl4030_keypad - fix handling of platform_get_irq()
error (bsc#1012628).
- Input: sun4i-ps2 - fix handling of platform_get_irq() error
(bsc#1012628).
- KVM: x86: emulating RDPID failure shall return #UD rather than
#GP (bsc#1012628).
- KVM: nSVM: CR3 MBZ bits are only 63:52 (bsc#1012628).
- scsi: bfa: Fix error return in bfad_pci_init() (bsc#1012628).
- arm64: mm: use single quantity to represent the PA to VA
translation (bsc#1012628).
- bpf: Enforce id generation for all may-be-null register type
(bsc#1012628).
- net: dsa: seville: the packet buffer is 2 megabits, not
megabytes (bsc#1012628).
- netfilter: conntrack: connection timeout after re-register
(bsc#1012628).
- netfilter: ebtables: Fixes dropping of small packets in bridge
nat (bsc#1012628).
- vdpa/mlx5: Make use of a specific 16 bit endianness API
(bsc#1012628).
- vdpa/mlx5: Fix failure to bring link up (bsc#1012628).
- vdpa/mlx5: Setup driver only if VIRTIO_CONFIG_S_DRIVER_OK
(bsc#1012628).
- netfilter: nf_fwd_netdev: clear timestamp in forwarding path
(bsc#1012628).
- soc: xilinx: Fix error code in zynqmp_pm_probe() (bsc#1012628).
- arm64: dts: meson: vim3: correct led polarity (bsc#1012628).
- ARM: dts: imx6sl: fix rng node (bsc#1012628).
- ARM: at91: pm: of_node_put() after its usage (bsc#1012628).
- ARM: s3c24xx: fix mmc gpio lookup tables (bsc#1012628).
- memory: brcmstb_dpfe: fix array index out of bounds
(bsc#1012628).
- ARM: dts: sun8i: r40: bananapi-m2-ultra: Fix dcdc1 regulator
(bsc#1012628).
- arm64: dts: allwinner: h5: remove Mali GPU PMU module
(bsc#1012628).
- memory: omap-gpmc: Fix a couple off by ones (bsc#1012628).
- memory: omap-gpmc: Fix build error without CONFIG_OF
(bsc#1012628).
- arm64: dts: qcom: msm8992: Fix UART interrupt property
(bsc#1012628).
- arm64: dts: qcom: sdm845-db845c: Fix hdmi nodes (bsc#1012628).
- arm64: dts: qcom: sm8150: fix up primary USB nodes
(bsc#1012628).
- arm64: dts: qcom: sc7180: Fix the LLCC base register size
(bsc#1012628).
- memory: fsl-corenet-cf: Fix handling of platform_get_irq()
error (bsc#1012628).
- firmware: arm_scmi: Fix NULL pointer dereference in
mailbox_chan_free (bsc#1012628).
- arm64: dts: mt8173-elm: fix supported values for
regulator-allowed-modes of da9211 (bsc#1012628).
- arm64: dts: qcom: sm8250: Rename UART2 node to UART12
(bsc#1012628).
- dmaengine: ti: k3-udma-glue: Fix parameters for rx ring pair
request (bsc#1012628).
- arm64: dts: imx8mq: Add missing interrupts to GPC (bsc#1012628).
- arm64: dts: qcom: sc7180: Drop flags on mdss irqs (bsc#1012628).
- arm64: dts: sdm845: Fixup OPP table for all qup devices
(bsc#1012628).
- soc: qcom: pdr: Fixup array type of get_domain_list_resp message
(bsc#1012628).
- arm64: dts: qcom: msm8916: Remove one more thermal trip point
unit name (bsc#1012628).
- arm64: dts: qcom: pm8916: Remove invalid reg size from wcd_codec
(bsc#1012628).
- arm64: dts: qcom: msm8916: Fix MDP/DSI interrupts (bsc#1012628).
- soc: qcom: apr: Fixup the error displayed on lookup failure
(bsc#1012628).
- dt-bindings: crypto: Specify that allwinner, sun8i-a33-crypto
needs reset (bsc#1012628).
- arm64: dts: renesas: r8a77990: Fix MSIOF1 DMA channels
(bsc#1012628).
- arm64: dts: renesas: r8a774c0: Fix MSIOF1 DMA channels
(bsc#1012628).
- arm64: dts: mt8173: elm: Fix nor_flash node property
(bsc#1012628).
- arm64: dts: ti: k3-j721e: Rename mux header and update macro
names (bsc#1012628).
- arm64: dts: actions: limit address range for pinctrl node
(bsc#1012628).
- ARM: dts: owl-s500: Fix incorrect PPI interrupt specifiers
(bsc#1012628).
- soc: fsl: qbman: Fix return value on success (bsc#1012628).
- ARM: OMAP2+: Restore MPU power domain if cpu_cluster_pm_enter()
fails (bsc#1012628).
- ARM: dts: stm32: Fix sdmmc2 pins on AV96 (bsc#1012628).
- ARM: dts: stm32: lxa-mc1: Fix kernel warning about PHY delays
(bsc#1012628).
- ARM: dts: stm32: Move ethernet PHY into DH SoM DT (bsc#1012628).
- ARM: dts: stm32: Swap PHY reset GPIO and TSC2004 IRQ on DHCOM
SOM (bsc#1012628).
- ARM: dts: stm32: Fix DH PDK2 display PWM channel (bsc#1012628).
- ARM: dts: iwg20d-q7-common: Fix touch controller probe failure
(bsc#1012628).
- soc: mediatek: cmdq: add clear option in cmdq_pkt_wfe api
(bsc#1012628).
- drm/mediatek: reduce clear event (bsc#1012628).
- arm64: dts: zynqmp: Remove additional compatible string for
i2c IPs (bsc#1012628).
- ARM: dts: meson8: remove two invalid interrupt lines from the
GPU node (bsc#1012628).
- lightnvm: fix out-of-bounds write to array devices->info[]
(bsc#1012628).
- powerpc/powernv/dump: Fix race while processing OPAL dump
(bsc#1012628).
- powerpc/64s: Remove TM from Power10 features (bsc#1012628).
- powerpc/pseries: Avoid using addr_to_pfn in real mode
(bsc#1012628).
- nvmet: fix uninitialized work for zero kato (bsc#1012628).
- nvmet: limit passthru MTDS by BIO_MAX_PAGES (bsc#1012628).
- KVM: ioapic: break infinite recursion on lazy EOI (bsc#1012628).
- NTB: hw: amd: fix an issue about leak system resources
(bsc#1012628).
- ntb: intel: Fix memleak in intel_ntb_pci_probe (bsc#1012628).
- sched/features: Fix !CONFIG_JUMP_LABEL case (bsc#1012628).
- perf: correct SNOOPX field offset (bsc#1012628).
- random32: make prandom_u32() output unpredictable (bsc#1012628).
- i2c: core: Restore acpi_walk_dep_device_list() getting called
after registering the ACPI i2c devs (bsc#1012628).
- md/bitmap: fix memory leak of temporary bitmap (bsc#1012628).
- block: ratelimit handle_bad_sector() message (bsc#1012628).
- x86/dumpstack: Fix misleading instruction pointer error message
(bsc#1012628).
- crypto: ccp - fix error handling (bsc#1012628).
- x86/asm: Replace __force_order with a memory clobber
(bsc#1012628).
- x86/mce: Add Skylake quirk for patrol scrub reported errors
(bsc#1012628).
- media: firewire: fix memory leak (bsc#1012628).
- media: ati_remote: sanity check for both endpoints
(bsc#1012628).
- media: st-delta: Fix reference count leak in delta_run_work
(bsc#1012628).
- media: sti: Fix reference count leaks (bsc#1012628).
- media: exynos4-is: Fix several reference count leaks due to
pm_runtime_get_sync (bsc#1012628).
- media: exynos4-is: Fix a reference count leak due to
pm_runtime_get_sync (bsc#1012628).
- media: exynos4-is: Fix a reference count leak (bsc#1012628).
- media: vsp1: Fix runtime PM imbalance on error (bsc#1012628).
- media: platform: s3c-camif: Fix runtime PM imbalance on error
(bsc#1012628).
- media: platform: sti: hva: Fix runtime PM imbalance on error
(bsc#1012628).
- media: bdisp: Fix runtime PM imbalance on error (bsc#1012628).
- media: media/pci: prevent memory leak in bttv_probe
(bsc#1012628).
- x86/mce: Annotate mce_rd/wrmsrl() with noinstr (bsc#1012628).
- crypto: hisilicon - fixed memory allocation error (bsc#1012628).
- spi: fsi: Fix clock running too fast (bsc#1012628).
- blk-mq: always allow reserved allocation in hctx_may_queue
(bsc#1012628).
- x86/mce: Make mce_rdmsrl() panic on an inaccessible MSR
(bsc#1012628).
- media: uvcvideo: Ensure all probed info is returned to v4l2
(bsc#1012628).
- mmc: sdio: Check for CISTPL_VERS_1 buffer size (bsc#1012628).
- media: saa7134: avoid a shift overflow (bsc#1012628).
- media: atomisp: fix memleak in ia_css_stream_create
(bsc#1012628).
- media: venus: fixes for list corruption (bsc#1012628).
- notifier: Fix broken error handling pattern (bsc#1012628).
- fs: dlm: fix configfs memory leak (bsc#1012628).
- media: venus: core: Fix error handling in probe (bsc#1012628).
- media: venus: core: Fix runtime PM imbalance in venus_probe
(bsc#1012628).
- ntfs: add check for mft record size in superblock (bsc#1012628).
- ip_gre: set dev->hard_header_len and dev->needed_headroom
properly (bsc#1012628).
- mac80211: handle lack of sband->bitrates in rates (bsc#1012628).
- staging: wfx: fix handling of MMIC error (bsc#1012628).
- libbpf: Close map fd if init map slots failed (bsc#1012628).
- bpf: Use raw_spin_trylock() for pcpu_freelist_push/pop in NMI
(bsc#1012628).
- PM: hibernate: remove the bogus call to get_gendisk() in
software_resume() (bsc#1012628).
- scsi: mvumi: Fix error return in mvumi_io_attach()
(bsc#1012628).
- scsi: target: core: Add CONTROL field for trace events
(bsc#1012628).
- mic: vop: copy data to kernel space then write to io memory
(bsc#1012628).
- misc: vop: add round_up(x,4) for vring_size to avoid kernel
panic (bsc#1012628).
- usb: dwc3: Add splitdisable quirk for Hisilicon Kirin Soc
(bsc#1012628).
- usb: gadget: function: printer: fix use-after-free in
__lock_acquire (bsc#1012628).
- rtw88: pci: Power cycle device during shutdown (bsc#1012628).
- udf: Limit sparing table size (bsc#1012628).
- udf: Avoid accessing uninitialized data on failed inode read
(bsc#1012628).
- rtw88: increse the size of rx buffer size (bsc#1012628).
- USB: cdc-acm: handle broken union descriptors (bsc#1012628).
- mt76: mt7915: do not do any work in napi poll after calling
napi_complete_done() (bsc#1012628).
- usb: dwc3: simple: add support for Hikey 970 (bsc#1012628).
- habanalabs: cast to u64 before shift > 31 bits (bsc#1012628).
- can: flexcan: flexcan_chip_stop(): add error handling and
propagate error value (bsc#1012628).
- HID: multitouch: Lenovo X1 Tablet Gen3 trackpoint and buttons
(bsc#1012628).
- ath9k: hif_usb: fix race condition between usb_get_urb()
and usb_kill_anchored_urbs() (bsc#1012628).
- drm/panfrost: add Amlogic GPU integration quirks (bsc#1012628).
- drm/panfrost: add amlogic reset quirk callback (bsc#1012628).
- drm/panfrost: add support for vendor quirk (bsc#1012628).
- bpf: Limit caller's stack depth 256 for subprogs with tailcalls
(bsc#1012628).
- dma-direct: Fix potential NULL pointer dereference
(bsc#1012628).
- misc: rtsx: Fix memory leak in rtsx_pci_probe (bsc#1012628).
- reiserfs: only call unlock_new_inode() if I_NEW (bsc#1012628).
- opp: Prevent memory leak in dev_pm_opp_attach_genpd()
(bsc#1012628).
- xfs: make sure the rt allocator doesn't run off the end
(bsc#1012628).
- usb: ohci: Default to per-port over-current protection
(bsc#1012628).
- drm: fix double free for gbo in drm_gem_vram_init and
drm_gem_vram_create (bsc#1012628).
- Bluetooth: Only mark socket zapped after unlocking
(bsc#1012628).
- drm/msm/a6xx: fix a potential overflow issue (bsc#1012628).
- drm/xlnx: Use devm_drm_dev_alloc (bsc#1012628).
- iomap: fix WARN_ON_ONCE() from unprivileged users (bsc#1012628).
- scsi: ibmvfc: Fix error return in ibmvfc_probe() (bsc#1012628).
- scsi: qla2xxx: Warn if done() or free() are called on an
already freed srb (bsc#1012628).
- selftests/bpf: Fix test_sysctl_loop{1, 2} failure due to clang
change (bsc#1012628).
- soundwire: cadence: fix race condition between suspend and
Slave device alerts (bsc#1012628).
- brcmsmac: fix memory leak in wlc_phy_attach_lcnphy
(bsc#1012628).
- rtl8xxxu: prevent potential memory leak (bsc#1012628).
- Fix use after free in get_capset_info callback (bsc#1012628).
- HID: ite: Add USB id match for Acer One S1003 keyboard dock
(bsc#1012628).
- scsi: qedf: Return SUCCESS if stale rport is encountered
(bsc#1012628).
- scsi: qedi: Mark all connections for recovery on link down event
(bsc#1012628).
- scsi: qedi: Protect active command list to avoid list corruption
(bsc#1012628).
- scsi: qedi: Fix list_del corruption while removing active I/O
(bsc#1012628).
- fbmem: add margin check to fb_check_caps() (bsc#1012628).
- tty: ipwireless: fix error handling (bsc#1012628).
- Bluetooth: btusb: Fix memleak in btusb_mtk_submit_wmt_recv_urb
(bsc#1012628).
- ipvs: Fix uninit-value in do_ip_vs_set_ctl() (bsc#1012628).
- reiserfs: Fix memory leak in reiserfs_parse_options()
(bsc#1012628).
- s390/qeth: strictly order bridge address events (bsc#1012628).
- mwifiex: don't call del_timer_sync() on uninitialized timer
(bsc#1012628).
- ALSA: hda/ca0132 - Add AE-7 microphone selection commands
(bsc#1012628).
- ALSA: hda/ca0132 - Add new quirk ID for SoundBlaster AE-7
(bsc#1012628).
- ASoC: SOF: Add topology filename override based on dmi data
match (bsc#1012628).
- ASoC: Intel: sof_rt5682: override quirk data for
tgl_max98373_rt5682 (bsc#1012628).
- scsi: smartpqi: Avoid crashing kernel for controller issues
(bsc#1012628).
- brcm80211: fix possible memleak in brcmf_proto_msgbuf_attach
(bsc#1012628).
- usb: core: Solve race condition in anchor cleanup functions
(bsc#1012628).
- soundwire: intel: reinitialize IP+DSP in .prepare(), but only
when resuming (bsc#1012628).
- scsi: ufs: ufs-qcom: Fix race conditions caused by
ufs_qcom_testbus_config() (bsc#1012628).
- drm/amd/display: Screen corruption on dual displays (DP+USB-C)
(bsc#1012628).
- dmaengine: dw: Add DMA-channels mask cell support (bsc#1012628).
- dmaengine: dw: Activate FIFO-mode for memory peripherals only
(bsc#1012628).
- drm/hisilicon: Code refactoring for hibmc_drv_de (bsc#1012628).
- drm/amd/display: Disconnect pipe separetely when disable pipe
split (bsc#1012628).
- drm/panfrost: perfcnt: fix ref count leak in
panfrost_perfcnt_enable_locked (bsc#1012628).
- ath10k: check idx validity in __ath10k_htt_rx_ring_fill_n()
(bsc#1012628).
- net: korina: cast KSEG0 address to pointer in kfree
(bsc#1012628).
- s390/qeth: don't let HW override the configured port role
(bsc#1012628).
- tty: serial: lpuart: fix lpuart32_write usage (bsc#1012628).
- tty: serial: fsl_lpuart: fix lpuart32_poll_get_char
(bsc#1012628).
- usb: gadget: bcm63xx_udc: fix up the error of undeclared
usb_debug_root (bsc#1012628).
- usb: cdc-acm: add quirk to blacklist ETAS ES58X devices
(bsc#1012628).
- USB: cdc-wdm: Make wdm_flush() interruptible and add wdm_fsync()
(bsc#1012628).
- usb: cdns3: gadget: free interrupt after gadget has deleted
(bsc#1012628).
- eeprom: at25: set minimum read/write access stride to 1
(bsc#1012628).
- usb: gadget: f_ncm: allow using NCM in SuperSpeed Plus gadgets
(bsc#1012628).
- Update config files.
- commit 2daaf9a
-------------------------------------------------------------------
Thu Oct 29 09:57:43 CET 2020 - jslaby@suse.cz
- Refresh patches.suse/vt_ioctl-fix-GIO_UNIMAP-regression.patch.
- Refresh
patches.suse/x86-unwind-orc-Fix-inactive-tasks-with-stack-pointer.patch.
Update upstream statuses.
- commit 8eeec3f
-------------------------------------------------------------------
Thu Oct 29 07:33:16 CET 2020 - tiwai@suse.de
- rpm/kernel-module-subpackage: make Group tag optional (bsc#1163592)
- commit 552ec97
-------------------------------------------------------------------
Mon Oct 26 14:41:38 CET 2020 - mkubecek@suse.cz
- s390: correct __bootdata / __bootdata_preserved macros
(http://lkml.kernel.org/r/20201026104811.22ta4pby2chmz4pv@lion.mk-sys.cz).
- commit e4bed42
-------------------------------------------------------------------
Mon Oct 26 07:04:45 CET 2020 - jslaby@suse.cz
- vt_ioctl: fix GIO_UNIMAP regression (5.9 GIO_UNIMAP regression).
- commit 15946ea
-------------------------------------------------------------------
Mon Oct 26 01:11:01 CET 2020 - mkubecek@suse.cz
- Update to 5.10-rc1
- eliminated 17 patches (16 stable, 1 other)
- patches.kernel.org/*
- patches.suse/coresight-fix-offset-by-one-error-in-counting-ports.patch
- disable ARM architectures (need config update)
- refresh
- patches.suse/apparmor-compatibility-with-v2.x-net.patch
- patches.suse/btrfs-btrfs-use-the-new-VFS-super_block_dev.patch
- patches.suse/btrfs-fs-super.c-add-new-super-block-devices-super_block_d.patch
- patches.suse/readahead-request-tunables.patch
- new config options:
- General setup
- CONFIG_BPF_PRELOAD=n
- Power management and ACPI options
- CONFIG_ACPI_DPTF=y
- CONFIG_DPTF_PCH_FIVR=m
- General architecture-dependent options
- CONFIG_STATIC_CALL_SELFTEST=n
- Networking support
- CONFIG_XFRM_USER_COMPAT=m
- CONFIG_CAN_ISOTP=m
- CONFIG_CAN_MCP251XFD=m
- CONFIG_CAN_MCP251XFD_SANITY=n
- File systems
- CONFIG_XFS_SUPPORT_V4=y
- CONFIG_FUSE_DAX=y
- CONFIG_NFSD_V4_2_INTER_SSC=y
- Cryptographic API
- CONFIG_CRYPTO_SM2=m
- CONFIG_CRYPTO_USER_API_RNG_CAVP=n
- CONFIG_CRYPTO_USER_API_ENABLE_OBSOLETE=y
- Library routines
- CONFIG_FONT_6x8=y
- Kernel hacking
- CONFIG_KGDB_HONOUR_BLOCKLIST=y
- CONFIG_SCF_TORTURE_TEST=n
- CONFIG_CSD_LOCK_WAIT_DEBUG=n
- CONFIG_RCU_SCALE_TEST=m
- CONFIG_FAULT_INJECTION_USERCOPY=n
- CONFIG_TEST_FREE_PAGES=n
- PCI support
- CONFIG_PCIE_BUS_TUNE_OFF=n
- CONFIG_PCIE_BUS_DEFAULT=y
- CONFIG_PCIE_BUS_SAFE=n
- CONFIG_PCIE_BUS_PERFORMANCE=n
- CONFIG_PCIE_BUS_PEER2PEER=n
- Network device support
- CONFIG_NET_DSA_MSCC_SEVILLE=m
- CONFIG_CHELSIO_INLINE_CRYPTO=y
- CONFIG_PRESTERA=m
- CONFIG_PRESTERA_PCI=m
- CONFIG_ATH11K=m
- CONFIG_ATH11K_PCI=m
- CONFIG_ATH11K_DEBUG=n
- CONFIG_ATH11K_DEBUGFS=n
- CONFIG_ATH11K_TRACING=n
- CONFIG_USB_LGM_PHY=m
- CONFIG_PHY_INTEL_LGM_EMMC=m
- Input device support
- CONFIG_JOYSTICK_ADC=m
- CONFIG_TOUCHSCREEN_ZINITIX=m
- CONFIG_RMI4_F3A=y
- CONFIG_HID_VIVALDI=m
- Character devices
- CONFIG_HW_RANDOM_XIPHERA=m
- GPIO Support
- CONFIG_GPIO_CDEV=y
- CONFIG_GPIO_CDEV_V1=y
- Power management
- CONFIG_CHARGER_BQ25980=m
- CONFIG_SENSORS_MR75203=m
- CONFIG_SENSORS_ADM1266=m
- CONFIG_SENSORS_MP2975=m
- ACPI INT340X thermal drivers
- CONFIG_REGULATOR_RASPBERRYPI_TOUCHSCREEN_ATTINY=m
- CONFIG_REGULATOR_RT4801=m
- CONFIG_REGULATOR_RTMV20=m
- Multifunction device drivers
- CONFIG_MFD_SL28CPLD=n
- CONFIG_MFD_INTEL_M10_BMC=n
- Graphics support
- CONFIG_DRM_AMD_DC_SI=y
- CONFIG_BACKLIGHT_KTD253=m
- CONFIG_VIDEO_ZORAN=m
- CONFIG_VIDEO_ZORAN_DC30=m
- CONFIG_VIDEO_ZORAN_ZR36060=m
- CONFIG_VIDEO_ZORAN_BUZ=m
- CONFIG_VIDEO_ZORAN_DC10=m
- CONFIG_VIDEO_ZORAN_LML33=m
- CONFIG_VIDEO_ZORAN_LML33R10=m
- CONFIG_VIDEO_ZORAN_AVS6EYES=m
- Sound card support
- CONFIG_SND_SOC_INTEL_CATPT=m
- CONFIG_SND_SOC_SOF_BROADWELL_SUPPORT=y
- CONFIG_SND_SOC_SOF_INTEL_SOUNDWIRE_LINK=y
- CONFIG_SND_SOC_INTEL_SOUNDWIRE_SOF_MACH=m
- CONFIG_SND_SOC_CS4234=n
- CONFIG_SND_SOC_TAS2764=n
- CONFIG_SOUNDWIRE_QCOM=m
- USB support
- CONFIG_USB_FEW_INIT_RETRIES=n
- CONFIG_TYPEC_TCPCI_MAXIM=m
- CONFIG_TYPEC_STUSB160X=m
- CONFIG_USB4_DEBUGFS_WRITE=n
- Virtualization
- CONFIG_NITRO_ENCLAVES=m
- Industrial I/O support
- CONFIG_IIO_BUFFER_DMA=m
- CONFIG_IIO_BUFFER_DMAENGINE=m
- CONFIG_ADXRS290=n
- CONFIG_HDC2010=n
- CONFIG_AS73211=n
- Misc drivers
- CONFIG_MHI_BUS_DEBUG=y
- CONFIG_INTEL_MEI_VIRTIO=m
- CONFIG_RTC_DRV_RV3032=m
- CONFIG_LEDS_LP50XX=m
- CONFIG_SPMI_HISI3670=n
- CONFIG_MST_IRQ=y
- OF dependent drivers (i386, ppc64/ppc64le, riscv64)
- HISI_HIKEY_USB=m
- DRM_PANEL_MANTIX_MLAF057WE51=n
- DRM_PANEL_SAMSUNG_S6E63M0_SPI=n
- DRM_PANEL_SAMSUNG_S6E63M0_DSI=n
- DRM_LONTIUM_LT9611=n
- DRM_TOSHIBA_TC358762=n
- DRM_TOSHIBA_TC358775=n
- DRM_CDNS_MHDP8546=n
- MFD_HI6421_SPMI=m
- REGULATOR_HI6421V600=m
- i386
- DRM_PANEL_SAMSUNG_S6E63M0_SPI=m
- DRM_PANEL_SAMSUNG_S6E63M0_DSI=m
- PHY_INTEL_LGM_COMBO=y
- ppc64 / ppc64le
- PPC_RTAS_FILTER=y
- I2C_SLAVE_TESTUNIT=n
- s390x
- PCS_XPCS=m
- VFIO_PCI_ZDEV=y
- ZCRYPT_DEBUG=n
- DEBUG_WX=n
- PTDUMP_DEBUGFS=n
- riscv64
- EFI=y
- FIRMWARE_MEMMAP=y
- GOOGLE_FIRMWARE=n
- EFI_VARS_PSTORE=m
- EFI_VARS_PSTORE_DEFAULT_DISABLE=n
- EFI_BOOTLOADER_CONTROL=m
- EFI_CAPSULE_LOADER=m
- EFI_TEST=n
- RESET_ATTACK_MITIGATION=n
- EFI_DISABLE_PCI_DMA=n
- FB_EFI=y
- MMC_DW=m
- MMC_DW_PLTFM=m
- MMC_DW_BLUEFIELD=m
- MMC_DW_EXYNOS=m
- MMC_DW_HI3798CV200=m
- MMC_DW_K3=m
- MMC_DW_PCI=m
- RTC_DRV_EFI=m
- EFIVAR_FS=m
- commit 3c50825
-------------------------------------------------------------------
Sun Oct 25 12:34:16 CET 2020 - mkubecek@suse.cz
- kernel-binary.spec.in: pack scripts/module.lds into kernel-$flavor-devel
Since mainline commit 596b0474d3d9 ("kbuild: preprocess module linker
script") in 5.10-rc1, scripts/module.lds linker script is needed to build
out of tree modules. Add it into kernel-$flavor-devel subpackage.
- commit fe37c16
-------------------------------------------------------------------
Fri Oct 23 09:52:12 CEST 2020 - tiwai@suse.de
- drm/amd/display: Don't invoke kgdb_breakpoint() unconditionally
(bsc#1177973).
- drm/amd/display: Fix kernel panic by dal_gpio_open() error
(bsc#1177973).
- commit 3f21462
-------------------------------------------------------------------
Mon Oct 19 16:00:58 CEST 2020 - tiwai@suse.de
- rpm/split-modules: Avoid errors even if Module.* are not present
- commit 752fbc6
-------------------------------------------------------------------
Sun Oct 18 14:37:11 CEST 2020 - mkubecek@suse.cz
- series.conf: cleanup
- move to "almost mainline" section:
patches.suse/coresight-fix-offset-by-one-error-in-counting-ports.patch
- commit 8e0635b
-------------------------------------------------------------------
Sun Oct 18 09:50:25 CEST 2020 - jslaby@suse.cz
- Refresh
patches.suse/coresight-fix-offset-by-one-error-in-counting-ports.patch.
Update upstream status.
- commit 7b40cc9
-------------------------------------------------------------------
Sun Oct 18 09:42:17 CEST 2020 - jslaby@suse.cz
- Linux 5.9.1 (bsc#1012628).
- Bluetooth: MGMT: Fix not checking if BT_HS is enabled
(bsc#1012628).
- media: usbtv: Fix refcounting mixup (bsc#1012628).
- USB: serial: option: add Cellient MPL200 card (bsc#1012628).
- USB: serial: option: Add Telit FT980-KS composition
(bsc#1012628).
- staging: comedi: check validity of wMaxPacketSize of usb
endpoints found (bsc#1012628).
- USB: serial: pl2303: add device-id for HP GC device
(bsc#1012628).
- USB: serial: ftdi_sio: add support for FreeCalypso JTAG+UART
adapters (bsc#1012628).
- vt_ioctl: make VT_RESIZEX behave like VT_RESIZE (bsc#1012628).
- reiserfs: Initialize inode keys properly (bsc#1012628).
- reiserfs: Fix oops during mount (bsc#1012628).
- Revert "drm/amdgpu: Fix NULL dereference in dpm sysfs handlers"
(bsc#1012628).
- crypto: bcm - Verify GCM/CCM key length in setkey (bsc#1012628).
- crypto: qat - check cipher length for aead AES-CBC-HMAC-SHA
(bsc#1012628).
- commit b7f511b
-------------------------------------------------------------------
Fri Oct 16 09:20:34 CEST 2020 - mkubecek@suse.cz
- update patches metadata
- update upstream references:
patches.suse/Bluetooth-A2MP-Fix-not-initializing-all-members.patch
patches.suse/Bluetooth-L2CAP-Fix-calling-sk_filter-on-non-socket-.patch
- commit b1f22f7
-------------------------------------------------------------------
Thu Oct 15 10:09:30 CEST 2020 - jslaby@suse.cz
- x86/unwind/orc: Fix inactive tasks with stack pointer in %sp
on GCC 10 compiled kernels (bsc#1176907).
- commit c680e93
-------------------------------------------------------------------
Thu Oct 15 09:19:08 CEST 2020 - jslaby@suse.cz
- Bluetooth: L2CAP: Fix calling sk_filter on non-socket based
channel (bsc#1177724 CVE-2020-12351).
- commit 8f9e7d2
-------------------------------------------------------------------
Thu Oct 15 08:47:37 CEST 2020 - tiwai@suse.de
- Bluetooth: A2MP: Fix not initializing all members
(CVE-2020-12352 bsc#1177725).
- commit 74ef4a4
-------------------------------------------------------------------
Wed Oct 14 13:33:46 CEST 2020 - tiwai@suse.de
- Update config files: CONFIG_PINCTRL_AMD=y for fixing dependency (bsc#1177049)
- commit 233d0fc
-------------------------------------------------------------------
Wed Oct 14 13:13:19 CEST 2020 - jslaby@suse.cz
- platform/x86: intel-vbtn: Revert "Fix SW_TABLET_MODE always
reporting 1 on the HP Pavilion 11 x360" (git-fixes).
- net_sched: check error pointer in tcf_dump_walker() (git-fixes).
- net_sched: remove a redundant goto chain check (git-fixes).
- net: qrtr: ns: Fix the incorrect usage of rcu_read_lock()
(git-fixes).
- commit db08e19
-------------------------------------------------------------------
Wed Oct 14 12:46:24 CEST 2020 - jslaby@suse.cz
- platform/x86: intel-vbtn: Switch to an allow-list for
SW_TABLET_MODE reporting (bsc#1012628 bsc#1175599)
- commit 4144623
-------------------------------------------------------------------
Wed Oct 14 12:39:26 CEST 2020 - jslaby@suse.cz
- Linux 5.8.15 (bsc#1012628).
- net_sched: commit action insertions together (bsc#1012628).
- net_sched: defer tcf_idr_insert() in tcf_action_init_1()
(bsc#1012628).
- net: qrtr: ns: Protect radix_tree_deref_slot() using rcu read
locks (bsc#1012628).
- net: usb: rtl8150: set random MAC address when
set_ethernet_addr() fails (bsc#1012628).
- Input: ati_remote2 - add missing newlines when printing module
parameters (bsc#1012628).
- tty/vt: Do not warn when huge selection requested (bsc#1012628).
- net/mlx5e: Fix driver's declaration to support GRE offload
(bsc#1012628).
- net/tls: race causes kernel panic (bsc#1012628).
- net: bridge: fdb: don't flush ext_learn entries (bsc#1012628).
- net/core: check length before updating Ethertype in
skb_mpls_{push,pop} (bsc#1012628).
- netlink: fix policy dump leak (bsc#1012628).
- tcp: fix receive window update in tcp_add_backlog()
(bsc#1012628).
- mm: khugepaged: recalculate min_free_kbytes after memory
hotplug as expected by khugepaged (bsc#1012628).
- mm: validate inode in mapping_set_error() (bsc#1012628).
- mmc: core: don't set limits.discard_granularity as 0
(bsc#1012628).
- perf: Fix task_function_call() error handling (bsc#1012628).
- afs: Fix deadlock between writeback and truncate (bsc#1012628).
- net: mscc: ocelot: divide watermark value by 60 when writing
to SYS_ATOP (bsc#1012628).
- net: mscc: ocelot: extend watermark encoding function
(bsc#1012628).
- net: mscc: ocelot: split writes to pause frame enable bit and
to thresholds (bsc#1012628).
- net: mscc: ocelot: rename ocelot_board.c to ocelot_vsc7514.c
(bsc#1012628).
- rxrpc: Fix server keyring leak (bsc#1012628).
- rxrpc: The server keyring isn't network-namespaced
(bsc#1012628).
- rxrpc: Fix some missing _bh annotations on locking
conn->state_lock (bsc#1012628).
- rxrpc: Downgrade the BUG() for unsupported token type in
rxrpc_read() (bsc#1012628).
- rxrpc: Fix rxkad token xdr encoding (bsc#1012628).
- net: mvneta: fix double free of txq->buf (bsc#1012628).
- vhost-vdpa: fix page pinning leakage in error path
(bsc#1012628).
- vhost-vdpa: fix vhost_vdpa_map() on error condition
(bsc#1012628).
- net: hinic: fix DEVLINK build errors (bsc#1012628).
- net: stmmac: Modify configuration method of EEE timers
(bsc#1012628).
- net/mlx5e: Fix race condition on nhe->n pointer in neigh update
(bsc#1012628).
- net/mlx5e: Fix VLAN create flow (bsc#1012628).
- net/mlx5e: Fix VLAN cleanup flow (bsc#1012628).
- net/mlx5e: Fix return status when setting unsupported FEC mode
(bsc#1012628).
- net/mlx5e: Add resiliency in Striding RQ mode for packets
larger than MTU (bsc#1012628).
- net/mlx5: Fix request_irqs error flow (bsc#1012628).
- net/mlx5: Add retry mechanism to the command entry index
allocation (bsc#1012628).
- net/mlx5: poll cmd EQ in case of command timeout (bsc#1012628).
- net/mlx5: Avoid possible free of command entry while timeout
comp handler (bsc#1012628).
- net/mlx5: Fix a race when moving command interface to polling
mode (bsc#1012628).
- pipe: Fix memory leaks in create_pipe_files() (bsc#1012628).
- octeontx2-pf: Fix synchnorization issue in mbox (bsc#1012628).
- octeontx2-pf: Fix the device state on error (bsc#1012628).
- octeontx2-pf: Fix TCP/UDP checksum offload for IPv6 frames
(bsc#1012628).
- octeontx2-af: Fix enable/disable of default NPC entries
(bsc#1012628).
- net: phy: realtek: fix rtl8211e rx/tx delay config
(bsc#1012628).
- virtio-net: don't disable guest csum when disable LRO
(bsc#1012628).
- net: usb: ax88179_178a: fix missing stop entry in driver_info
(bsc#1012628).
- r8169: fix RTL8168f/RTL8411 EPHY config (bsc#1012628).
- mlxsw: spectrum_acl: Fix mlxsw_sp_acl_tcam_group_add()'s error
path (bsc#1012628).
- mdio: fix mdio-thunder.c dependency & build error (bsc#1012628).
- bonding: set dev->needed_headroom in bond_setup_by_slave()
(bsc#1012628).
- net: ethernet: cavium: octeon_mgmt: use phy_start and phy_stop
(bsc#1012628).
- net: stmmac: Fix clock handling on remove path (bsc#1012628).
- vmxnet3: fix cksum offload issues for non-udp tunnels
(bsc#1012628).
- ice: fix memory leak in ice_vsi_setup (bsc#1012628).
- ice: fix memory leak if register_netdev_fails (bsc#1012628).
- iavf: Fix incorrect adapter get in iavf_resume (bsc#1012628).
- iavf: use generic power management (bsc#1012628).
- xfrm: Use correct address family in xfrm_state_find
(bsc#1012628).
- net: dsa: felix: convert TAS link speed based on phylink speed
(bsc#1012628).
- hinic: fix wrong return value of mac-set cmd (bsc#1012628).
- hinic: add log in exception handling processes (bsc#1012628).
- platform/x86: fix kconfig dependency warning for FUJITSU_LAPTOP
(bsc#1012628).
- platform/x86: fix kconfig dependency warning for LG_LAPTOP
(bsc#1012628).
- net: stmmac: removed enabling eee in EEE set callback
(bsc#1012628).
- xsk: Do not discard packet when NETDEV_TX_BUSY (bsc#1012628).
- xfrm: clone whole liftime_cur structure in xfrm_do_migrate
(bsc#1012628).
- xfrm: clone XFRMA_SEC_CTX in xfrm_do_migrate (bsc#1012628).
- xfrm: clone XFRMA_REPLAY_ESN_VAL in xfrm_do_migrate
(bsc#1012628).
- xfrm: clone XFRMA_SET_MARK in xfrm_do_migrate (bsc#1012628).
- iommu/vt-d: Fix lockdep splat in iommu_flush_dev_iotlb()
(bsc#1012628).
- btrfs: move btrfs_rm_dev_replace_free_srcdev outside of all
locks (bsc#1012628).
- drm/amd/display: fix return value check for hdcp_work
(bsc#1012628).
- drm/amd/pm: Removed fixed clock in auto mode DPM (bsc#1012628).
- io_uring: fix potential ABBA deadlock in ->show_fdinfo()
(bsc#1012628).
- btrfs: move btrfs_scratch_superblocks into
btrfs_dev_replace_finishing (bsc#1012628).
- drm/amdgpu: prevent double kfree ttm->sg (bsc#1012628).
- openvswitch: handle DNAT tuple collision (bsc#1012628).
- net: team: fix memory leak in __team_options_register
(bsc#1012628).
- team: set dev->needed_headroom in team_setup_by_port()
(bsc#1012628).
- sctp: fix sctp_auth_init_hmacs() error path (bsc#1012628).
- i2c: owl: Clear NACK and BUS error bits (bsc#1012628).
- i2c: meson: fixup rate calculation with filter delay
(bsc#1012628).
- i2c: meson: keep peripheral clock enabled (bsc#1012628).
- i2c: meson: fix clock setting overwrite (bsc#1012628).
- cifs: Fix incomplete memory allocation on setxattr path
(bsc#1012628).
- espintcp: restore IP CB before handing the packet to xfrm
(bsc#1012628).
- xfrmi: drop ignore_df check before updating pmtu (bsc#1012628).
- nvme-tcp: check page by sendpage_ok() before calling
kernel_sendpage() (bsc#1012628).
- tcp: use sendpage_ok() to detect misused .sendpage
(bsc#1012628).
- net: introduce helper sendpage_ok() in include/linux/net.h
(bsc#1012628).
- mm/khugepaged: fix filemap page_to_pgoff(page) != offset
(bsc#1012628).
- gpiolib: Disable compat ->read() code in UML case (bsc#1012628).
- RISC-V: Make sure memblock reserves the memory containing DT
(bsc#1012628).
- macsec: avoid use-after-free in macsec_handle_frame()
(bsc#1012628).
- nvme-core: put ctrl ref when module ref get fail (bsc#1012628).
- platform/x86: thinkpad_acpi: re-initialize ACPI buffer size
when reuse (bsc#1012628).
- r8169: consider that PHY reset may still be in progress after
applying firmware (bsc#1012628).
- bpf: Prevent .BTF section elimination (bsc#1012628).
- bpf: Fix sysfs export of empty BTF section (bsc#1012628).
- platform/x86: asus-wmi: Fix SW_TABLET_MODE always reporting
1 on many different models (bsc#1012628).
- platform/x86: thinkpad_acpi: initialize tp_nvram_state variable
(bsc#1012628).
- platform/x86: intel-vbtn: Fix SW_TABLET_MODE always reporting
1 on the HP Pavilion 11 x360 (bsc#1012628).
- Platform: OLPC: Fix memleak in olpc_ec_probe (bsc#1012628).
- splice: teach splice pipe reading about empty pipe buffers
(bsc#1012628).
- usermodehelper: reset umask to default before executing user
process (bsc#1012628).
- vhost: Use vhost_get_used_size() in vhost_vring_set_addr()
(bsc#1012628).
- vhost: Don't call access_ok() when using IOTLB (bsc#1012628).
- block/scsi-ioctl: Fix kernel-infoleak in
scsi_put_cdrom_generic_arg() (bsc#1012628).
- partitions/ibm: fix non-DASD devices (bsc#1012628).
- drm/nouveau/mem: guard against NULL pointer access in mem_del
(bsc#1012628).
- drm/nouveau/device: return error for unknown chipsets
(bsc#1012628).
- net: wireless: nl80211: fix out-of-bounds access in
nl80211_del_key() (bsc#1012628).
- exfat: fix use of uninitialized spinlock on error path
(bsc#1012628).
- crypto: arm64: Use x16 with indirect branch to bti_c
(bsc#1012628).
- bpf: Fix scalar32_min_max_or bounds tracking (bsc#1012628).
- Revert "ravb: Fixed to be able to unload modules" (bsc#1012628).
- fbcon: Fix global-out-of-bounds read in fbcon_get_font()
(bsc#1012628).
- Fonts: Support FONT_EXTRA_WORDS macros for built-in fonts
(bsc#1012628).
- fbdev, newport_con: Move FONT_EXTRA_WORDS macros into
linux/font.h (bsc#1012628).
- commit 1dc82dd
-------------------------------------------------------------------
Tue Oct 13 16:51:16 CEST 2020 - tiwai@suse.de
- Add the support for kernel-FLAVOR-optional subpackage (jsc#SLE-11796)
This change allows to create kernel-*-optional subpackage containing
the modules that are not shipped on SLE but only on Leap. Those
modules are marked in the new "-!optional" marker in supported.conf.
Flip split_optional definition in kernel-binaries.spec.in for the
branch that needs the splitting.
- commit 1fa25f8
-------------------------------------------------------------------
Mon Oct 12 00:20:46 CEST 2020 - mkubecek@suse.cz
- Update to 5.9 final
- eliminated 1 patch
- patches.suse/bpf-Fix-unresolved-symbol-build-error-with-resolve_b.patch
- update configs
- MLX5_VDPA=y, MLX5_VDPA_NET=m
- restore FB_ARMCLCD (y on armv6hl and armv7hl, n on arm64)
- commit 11733e1
-------------------------------------------------------------------
Fri Oct 9 14:01:47 CEST 2020 - mkubecek@suse.cz
- config: disable DEBUG_INFO_BTF in s390x/zfcpdump
Even if the build has been fixed, there is little use for BTF debug
information in kernel-zfcpdump so disable it there.
- commit e7595e7
-------------------------------------------------------------------
Thu Oct 8 21:38:16 CEST 2020 - msuchanek@suse.de
- kernel-binary.spec.in: Exclude .config.old from kernel-devel
- use tar excludes for .kernel-binary.spec.buildenv
- commit 939a79b
-------------------------------------------------------------------
Wed Oct 7 20:02:12 CEST 2020 - msuchanek@suse.de
- kernel-binary.spec.in: Package the obj_install_dir as explicit filelist.
- commit 5587762
-------------------------------------------------------------------
Wed Oct 7 08:23:04 CEST 2020 - jslaby@suse.cz
- Update config files.
Only run_oldconfig.sh to sync up.
- commit cea47bb
-------------------------------------------------------------------
Wed Oct 7 08:22:07 CEST 2020 - jslaby@suse.cz
- Linux 5.8.14 (bsc#1012628).
- io_uring: always delete double poll wait entry on match
(bsc#1012628).
- btrfs: fix filesystem corruption after a device replace
(bsc#1012628).
- mmc: sdhci: Workaround broken command queuing on Intel GLK
based IRBIS models (bsc#1012628).
- USB: gadget: f_ncm: Fix NDP16 datagram validation (bsc#1012628).
- Revert "usbip: Implement a match function to fix usbip"
(bsc#1012628).
- usbcore/driver: Fix specific driver selection (bsc#1012628).
- usbcore/driver: Fix incorrect downcast (bsc#1012628).
- usbcore/driver: Accommodate usbip (bsc#1012628).
- gpio: siox: explicitly support only threaded irqs (bsc#1012628).
- gpio: mockup: fix resource leak in error path (bsc#1012628).
- gpio: tc35894: fix up tc35894 interrupt configuration
(bsc#1012628).
- gpio: amd-fch: correct logic of GPIO_LINE_DIRECTION
(bsc#1012628).
- clk: samsung: Keep top BPLL mux on Exynos542x enabled
(bsc#1012628).
- clk: socfpga: stratix10: fix the divider for the
emac_ptp_free_clk (bsc#1012628).
- scsi: iscsi: iscsi_tcp: Avoid holding spinlock while calling
getpeername() (bsc#1012628).
- i2c: i801: Exclude device from suspend direct complete
optimization (bsc#1012628).
- Input: i8042 - add nopnp quirk for Acer Aspire 5 A515
(bsc#1012628).
- iio: adc: qcom-spmi-adc5: fix driver name (bsc#1012628).
- ftrace: Move RCU is watching check after recursion check
(bsc#1012628).
- tracing: Fix trace_find_next_entry() accounting of temp buffer
size (bsc#1012628).
- memstick: Skip allocating card when removing host (bsc#1012628).
- xen/events: don't use chip_data for legacy IRQs (bsc#1012628).
- clocksource/drivers/timer-gx6605s: Fixup counter reload
(bsc#1012628).
- vboxsf: Fix the check for the old binary mount-arguments struct
(bsc#1012628).
- mt76: mt7915: use ieee80211_free_txskb to free tx skbs
(bsc#1012628).
- libbpf: Remove arch-specific include path in Makefile
(bsc#1012628).
- drivers/net/wan/hdlc_fr: Add needed_headroom for PVC devices
(bsc#1012628).
- Revert "wlcore: Adding suppoprt for IGTK key in wlcore driver"
(bsc#1012628).
- drm/sun4i: mixer: Extend regmap max_register (bsc#1012628).
- hv_netvsc: Cache the current data path to avoid duplicate call
and message (bsc#1012628).
- net: dec: de2104x: Increase receive ring size for Tulip
(bsc#1012628).
- rndis_host: increase sleep time in the query-response loop
(bsc#1012628).
- nvme-pci: disable the write zeros command for Intel 600P/P3100
(bsc#1012628).
- nvme-core: get/put ctrl and transport module in
nvme_dev_open/release() (bsc#1012628).
- fuse: fix the ->direct_IO() treatment of iov_iter (bsc#1012628).
- drivers/net/wan/lapbether: Make skb->protocol consistent with
the header (bsc#1012628).
- drivers/net/wan/hdlc: Set skb->protocol before transmitting
(bsc#1012628).
- mac80211: Fix radiotap header channel flag for 6GHz band
(bsc#1012628).
- mac80211: do not allow bigger VHT MPDUs than the hardware
supports (bsc#1012628).
- tracing: Make the space reserved for the pid wider
(bsc#1012628).
- tools/io_uring: fix compile breakage (bsc#1012628).
- io_uring: mark statx/files_update/epoll_ctl as non-SQPOLL
(bsc#1012628).
- cpuidle: psci: Fix suspicious RCU usage (bsc#1012628).
- spi: fsl-espi: Only process interrupts for expected events
(bsc#1012628).
- net: dsa: felix: fix some key offsets for IP4_TCP_UDP VCAP
IS2 entries (bsc#1012628).
- nvme-pci: fix NULL req in completion handler (bsc#1012628).
- nvme-fc: fail new connections to a deleted host or remote port
(bsc#1012628).
- scripts/kallsyms: skip ppc compiler stub *.long_branch.* /
*.plt_branch.* (bsc#1012628).
- gpio: sprd: Clear interrupt when setting the type as edge
(bsc#1012628).
- phy: ti: am654: Fix a leak in serdes_am654_probe()
(bsc#1012628).
- pinctrl: mvebu: Fix i2c sda definition for 98DX3236
(bsc#1012628).
- nfs: Fix security label length not being reset (bsc#1012628).
- NFSv4.2: fix client's attribute cache management for
copy_file_range (bsc#1012628).
- pNFS/flexfiles: Ensure we initialise the mirror bsizes correctly
on read (bsc#1012628).
- clk: tegra: Always program PLL_E when enabled (bsc#1012628).
- clk: tegra: Fix missing prototype for
tegra210_clk_register_emc() (bsc#1012628).
- dmaengine: dmatest: Prevent to run on misconfigured channel
(bsc#1012628).
- clk: samsung: exynos4: mark 'chipid' clock as CLK_IGNORE_UNUSED
(bsc#1012628).
- scsi: target: Fix lun lookup for TARGET_SCF_LOOKUP_LUN_FROM_TAG
case (bsc#1012628).
- iommu/exynos: add missing put_device() call in
exynos_iommu_of_xlate() (bsc#1012628).
- gpio: pca953x: Fix uninitialized pending variable (bsc#1012628).
- gpio/aspeed-sgpio: enable access to all 80 input & output sgpios
(bsc#1012628).
- gpio/aspeed-sgpio: don't enable all interrupts by default
(bsc#1012628).
- gpio: aspeed: fix ast2600 bank properties (bsc#1012628).
- i2c: cpm: Fix i2c_ram structure (bsc#1012628).
- i2c: npcm7xx: Clear LAST bit after a failed transaction
(bsc#1012628).
- Input: trackpoint - enable Synaptics trackpoints (bsc#1012628).
- blk-mq: call commit_rqs while list empty but error happen
(bsc#1012628).
- scripts/dtc: only append to HOST_EXTRACFLAGS instead of
overwriting (bsc#1012628).
- autofs: use __kernel_write() for the autofs pipe writing
(bsc#1012628).
- pinctrl: qcom: sm8250: correct sdc2_clk (bsc#1012628).
- pinctrl: mediatek: check mtk_is_virt_gpio input parameter
(bsc#1012628).
- gpio: pca953x: Correctly initialize registers 6 and 7 for
PCA957x (bsc#1012628).
- iommu/amd: Fix the overwritten field in IVMD header
(bsc#1012628).
- pipe: remove pipe_wait() and fix wakeup race with splice
(bsc#1012628).
- random32: Restore __latent_entropy attribute on net_rand_state
(bsc#1012628).
- gpiolib: Fix line event handling in syscall compatible mode
(bsc#1012628).
- drm/i915/gvt: Fix port number for BDW on EDID region setup
(bsc#1012628).
- scsi: sd: sd_zbc: Fix handling of host-aware ZBC disks
(bsc#1012628).
- scsi: sd: sd_zbc: Fix ZBC disk initialization (bsc#1012628).
- epoll: do not insert into poll queues until all sanity checks
are done (bsc#1012628).
- epoll: replace ->visited/visited_list with generation count
(bsc#1012628).
- epoll: EPOLL_CTL_ADD: close the race in decision to take fast
path (bsc#1012628).
- ep_create_wakeup_source(): dentry name can change under
you.. (bsc#1012628).
- commit e882d6e
-------------------------------------------------------------------
Mon Oct 5 23:19:30 CEST 2020 - afaerber@suse.com
- rpm/mkspec-dtb: Update for 5.9
arch/arm64/boot/dts/al subdir has been renamed to amazon.
- commit e450c4d
-------------------------------------------------------------------
Mon Oct 5 17:30:07 CEST 2020 - tiwai@suse.de
- platform/x86: intel-vbtn: Switch to an allow-list for
SW_TABLET_MODE reporting (bsc#1175599).
- commit 5672f81
-------------------------------------------------------------------
Mon Oct 5 15:20:10 CEST 2020 - afaerber@suse.com
- config: armv7hl: Update to 5.9-rc8
- commit 2a6c374
-------------------------------------------------------------------
Mon Oct 5 15:07:01 CEST 2020 - afaerber@suse.com
- config: armv6hl: Update to 5.9-rc8
- commit 52c5e56
-------------------------------------------------------------------
Mon Oct 5 14:38:26 CEST 2020 - afaerber@suse.com
- config: arm64: Update to 5.9-rc8
- commit bbcb0ce
-------------------------------------------------------------------
Mon Oct 5 06:36:16 CEST 2020 - mkubecek@suse.cz
- Update to 5.9-rc8
- commit f75a311
-------------------------------------------------------------------
Sun Oct 4 22:24:19 CEST 2020 - mkubecek@suse.cz
- bpf: Fix "unresolved symbol" build error with resolve_btfids
(http://lkml.kernel.org/r/20200929101737.3ufw36bngkmzppqk@lion.mk-sys.cz).
Fixes s390x/zfcpdump build failure.
- commit c27d6ab
-------------------------------------------------------------------
Fri Oct 2 18:21:59 CEST 2020 - jdelvare@suse.de
- drm/amdgpu: restore proper ref count in
amdgpu_display_crtc_set_config (git-fixes).
- commit 08db7a3
-------------------------------------------------------------------
Fri Oct 2 14:39:57 CEST 2020 - mkubecek@suse.cz
- config: disable SECURITY_SELINUX_DISABLE also in arm*/* (bsc#1176923)
The arm configs (both 64-bit and 32-bit) are currently disabled so that
they cannot be updated the usual way. Apply the changes manually.
- commit a1b15af
-------------------------------------------------------------------
Fri Oct 2 10:55:44 CEST 2020 - mkubecek@suse.cz
- config: disable SECURITY_SELINUX_DISABLE (bsc#1176923)
- commit 9702a5f
-------------------------------------------------------------------
Thu Oct 1 18:47:56 CEST 2020 - jslaby@suse.cz
- Linux 5.8.13 (bsc#1012628).
- device_cgroup: Fix RCU list debugging warning (bsc#1012628).
- ASoC: pcm3168a: ignore 0 Hz settings (bsc#1012628).
- ASoC: wm8994: Skip setting of the WM8994_MICBIAS register for
WM1811 (bsc#1012628).
- ASoC: wm8994: Ensure the device is resumed in wm89xx_mic_detect
functions (bsc#1012628).
- ASoC: Intel: bytcr_rt5640: Add quirk for MPMAN Converter9 2-in-1
(bsc#1012628).
- clk: versatile: Add of_node_put() before return statement
(bsc#1012628).
- RISC-V: Take text_mutex in ftrace_init_nop() (bsc#1012628).
- i2c: aspeed: Mask IRQ status to relevant bits (bsc#1012628).
- s390/init: add missing __init annotations (bsc#1012628).
- lockdep: fix order in trace_hardirqs_off_caller() (bsc#1012628).
- EDAC/ghes: Check whether the driver is on the safe list
correctly (bsc#1012628).
- drm/amdkfd: fix a memory leak issue (bsc#1012628).
- drm/amd/display: Don't use DRM_ERROR() for DTM add topology
(bsc#1012628).
- drm/amd/display: update nv1x stutter latencies (bsc#1012628).
- drm/amdgpu/dc: Require primary plane to be enabled whenever
the CRTC is (bsc#1012628).
- drm/amd/display: Don't log hdcp module warnings in dmesg
(bsc#1012628).
- objtool: Fix noreturn detection for ignored functions
(bsc#1012628).
- i2c: mediatek: Send i2c master code at more than 1MHz
(bsc#1012628).
- riscv: Fix Kendryte K210 device tree (bsc#1012628).
- ieee802154: fix one possible memleak in ca8210_dev_com_init
(bsc#1012628).
- ieee802154/adf7242: check status of adf7242_read_reg
(bsc#1012628).
- clocksource/drivers/h8300_timer8: Fix wrong return value in
h8300_8timer_init() (bsc#1012628).
- mwifiex: Increase AES key storage size to 256 bits
(bsc#1012628).
- batman-adv: bla: fix type misuse for backbone_gw hash indexing
(bsc#1012628).
- libbpf: Fix build failure from uninitialized variable warning
(bsc#1012628).
- atm: eni: fix the missed pci_disable_device() for eni_init_one()
(bsc#1012628).
- batman-adv: mcast/TT: fix wrongly dropped or rerouted packets
(bsc#1012628).
- netfilter: ctnetlink: add a range check for l3/l4 protonum
(bsc#1012628).
- netfilter: ctnetlink: fix mark based dump filtering regression
(bsc#1012628).
- netfilter: conntrack: nf_conncount_init is failing with IPv6
disabled (bsc#1012628).
- netfilter: nft_meta: use socket user_ns to retrieve skuid and
skgid (bsc#1012628).
- mac802154: tx: fix use-after-free (bsc#1012628).
- bpf: Fix clobbering of r2 in bpf_gen_ld_abs (bsc#1012628).
- tools/libbpf: Avoid counting local symbols in ABI check
(bsc#1012628).
- drm/vc4/vc4_hdmi: fill ASoC card owner (bsc#1012628).
- net: qed: Disable aRFS for NPAR and 100G (bsc#1012628).
- net: qede: Disable aRFS for NPAR and 100G (bsc#1012628).
- net: qed: RDMA personality shouldn't fail VF load (bsc#1012628).
- igc: Fix wrong timestamp latency numbers (bsc#1012628).
- igc: Fix not considering the TX delay for timestamps
(bsc#1012628).
- drm/sun4i: sun8i-csc: Secondary CSC register correction
(bsc#1012628).
- spi: spi-fsl-dspi: use XSPI mode instead of DMA for DPAA2 SoCs
(bsc#1012628).
- RDMA/core: Fix ordering of CQ pool destruction (bsc#1012628).
- batman-adv: Add missing include for in_interrupt()
(bsc#1012628).
- xsk: Fix number of pinned pages/umem size discrepancy
(bsc#1012628).
- nvme-tcp: fix kconfig dependency warning when !CRYPTO
(bsc#1012628).
- batman-adv: mcast: fix duplicate mcast packets in BLA backbone
from LAN (bsc#1012628).
- batman-adv: mcast: fix duplicate mcast packets in BLA backbone
from mesh (bsc#1012628).
- batman-adv: mcast: fix duplicate mcast packets from BLA backbone
to mesh (bsc#1012628).
- bpf: Fix a rcu warning for bpffs map pretty-print (bsc#1012628).
- lib80211: fix unmet direct dependendices config warning when
!CRYPTO (bsc#1012628).
- mac80211: do not disable HE if HT is missing on 2.4 GHz
(bsc#1012628).
- cfg80211: fix 6 GHz channel conversion (bsc#1012628).
- mac80211: fix 80 MHz association to 160/80+80 AP on 6 GHz
(bsc#1012628).
- ALSA: asihpi: fix iounmap in error handler (bsc#1012628).
- io_uring: fix openat/openat2 unified prep handling
(bsc#1012628).
- SUNRPC: Fix svc_flush_dcache() (bsc#1012628).
- regmap: fix page selection for noinc reads (bsc#1012628).
- regmap: fix page selection for noinc writes (bsc#1012628).
- net/mlx5e: mlx5e_fec_in_caps() returns a boolean (bsc#1012628).
- MIPS: Loongson-3: Fix fp register access if MSA enabled
(bsc#1012628).
- PM / devfreq: tegra30: Disable clock on error in probe
(bsc#1012628).
- MIPS: Add the missing 'CPU_1074K' into __get_cpu_type()
(bsc#1012628).
- regulator: axp20x: fix LDO2/4 description (bsc#1012628).
- spi: bcm-qspi: Fix probe regression on iProc platforms
(bsc#1012628).
- KVM: x86: Reset MMU context if guest toggles CR4.SMAP or CR4.PKE
(bsc#1012628).
- KVM: SVM: Add a dedicated INVD intercept routine (bsc#1012628).
- mm: validate pmd after splitting (bsc#1012628).
- arch/x86/lib/usercopy_64.c: fix __copy_user_flushcache()
cache writeback (bsc#1012628).
- x86/irq: Make run_on_irqstack_cond() typesafe (bsc#1012628).
- x86/ioapic: Unbreak check_timer() (bsc#1012628).
- scsi: lpfc: Fix initial FLOGI failure due to BBSCN not supported
(bsc#1012628).
- ALSA: usb-audio: Add delay quirk for H570e USB headsets
(bsc#1012628).
- ALSA: hda/realtek - Couldn't detect Mic if booting with headset
plugged (bsc#1012628).
- ALSA: hda/realtek: Enable front panel headset LED on Lenovo
ThinkStation P520 (bsc#1012628).
- lib/string.c: implement stpcpy (bsc#1012628).
- tracing: fix double free (bsc#1012628).
- s390/dasd: Fix zero write for FBA devices (bsc#1012628).
- mt76: mt7615: use v1 MCU API on MT7615 to fix issues with
adding/removing stations (bsc#1012628).
- lib/bootconfig: Fix a bug of breaking existing tree nodes
(bsc#1012628).
- lib/bootconfig: Fix to remove tailing spaces after value
(bsc#1012628).
- kprobes: Fix to check probe enabled before
disarm_kprobe_ftrace() (bsc#1012628).
- kprobes: tracing/kprobes: Fix to kill kprobes on initmem after
boot (bsc#1012628).
- btrfs: fix put of uninitialized kobject after seed device delete
(bsc#1012628).
- btrfs: fix overflow when copying corrupt csums for a message
(bsc#1012628).
- media: cec-adap.c: don't use flush_scheduled_work()
(bsc#1012628).
- MIPS: Loongson2ef: Disable Loongson MMI instructions
(bsc#1012628).
- dmabuf: fix NULL pointer dereference in dma_buf_release()
(bsc#1012628).
- mm, THP, swap: fix allocating cluster for swapfile by mistake
(bsc#1012628).
- mm/gup: fix gup_fast with dynamic page table folding
(bsc#1012628).
- mm: replace memmap_context by meminit_context (bsc#1012628).
- mm: don't rely on system state to detect hot-plug operations
(bsc#1012628).
- s390/zcrypt: Fix ZCRYPT_PERDEV_REQCNT ioctl (bsc#1012628).
- io_uring: ensure open/openat2 name is cleaned on cancelation
(bsc#1012628).
- KVM: arm64: Assume write fault on S1PTW permission fault on
instruction fetch (bsc#1012628).
- dm: fix bio splitting and its bio completion order for regular
IO (bsc#1012628).
- clocksource/drivers/timer-ti-dm: Do reset before enable
(bsc#1012628).
- commit ea492d4
-------------------------------------------------------------------
Wed Sep 30 14:35:06 CEST 2020 - ohering@suse.de
- rpm/constraints.in: recognize also kernel-source-azure (bsc#1176732)
- commit 7214bbe
-------------------------------------------------------------------
Mon Sep 28 18:54:40 CEST 2020 - jslaby@suse.cz
- hv_netvsc: Switch the data path at the right time during
hibernation (git-fixes).
- commit 79e03c2
-------------------------------------------------------------------
Mon Sep 28 18:52:42 CEST 2020 - jslaby@suse.cz
- Linux 5.8.12 (bsc#1012628).
- net/mlx5e: Fix endianness when calculating pedit mask first bit
(bsc#1012628).
- net/mlx5e: Use synchronize_rcu to sync with NAPI (bsc#1012628).
- net/mlx5e: Use RCU to protect rq->xdp_prog (bsc#1012628).
- Revert "netns: don't disable BHs when locking "nsid_lock""
(bsc#1012628).
- net: macb: fix for pause frame receive enable bit (bsc#1012628).
- net: dsa: microchip: ksz8795: really set the correct number
of ports (bsc#1012628).
- net: dsa: link interfaces with the DSA master to get rid of
lockdep warnings (bsc#1012628).
- hv_netvsc: Fix hibernation for mlx5 VF driver (bsc#1012628).
- hinic: fix rewaking txq after netif_tx_disable (bsc#1012628).
- net/mlx5e: Fix memory leak of tunnel info when rule under
multipath not ready (bsc#1012628).
- net: ipa: fix u32_replace_bits by u32p_xxx version
(bsc#1012628).
- wireguard: peerlookup: take lock before checking hash in
replace operation (bsc#1012628).
- wireguard: noise: take lock when removing handshake entry from
table (bsc#1012628).
- net: ethernet: ti: cpsw_new: fix suspend/resume (bsc#1012628).
- net: add __must_check to skb_put_padto() (bsc#1012628).
- net: qrtr: check skb_put_padto() return value (bsc#1012628).
- net: phy: Do not warn in phy_stop() on PHY_DOWN (bsc#1012628).
- net: phy: Avoid NPD upon phy_detach() when driver is unbound
(bsc#1012628).
- net: lantiq: Disable IRQs only if NAPI gets scheduled
(bsc#1012628).
- net: lantiq: Use napi_complete_done() (bsc#1012628).
- net: lantiq: use netif_tx_napi_add() for TX NAPI (bsc#1012628).
- net: lantiq: Wake TX queue again (bsc#1012628).
- bnxt_en: Protect bnxt_set_eee() and bnxt_set_pauseparam()
with mutex (bsc#1012628).
- bnxt_en: return proper error codes in bnxt_show_temp
(bsc#1012628).
- bnxt_en: Use memcpy to copy VPD field info (bsc#1012628).
- net/mlx5e: TLS, Do not expose FPGA TLS counter if not supported
(bsc#1012628).
- net/mlx5e: Enable adding peer miss rules only if merged eswitch
is supported (bsc#1012628).
- tipc: use skb_unshare() instead in tipc_buf_append()
(bsc#1012628).
- tipc: fix shutdown() of connection oriented socket
(bsc#1012628).
- tipc: Fix memory leak in tipc_group_create_member()
(bsc#1012628).
- taprio: Fix allowing too small intervals (bsc#1012628).
- nfp: use correct define to return NONE fec (bsc#1012628).
- net: sctp: Fix IPv6 ancestor_size calc in sctp_copy_descendant
(bsc#1012628).
- net: sch_generic: aviod concurrent reset and enqueue op for
lockless qdisc (bsc#1012628).
- net: sched: initialize with 0 before setting erspan md->u
(bsc#1012628).
- net: phy: call phy_disable_interrupts() in phy_attach_direct()
instead (bsc#1012628).
- net/mlx5: Fix FTE cleanup (bsc#1012628).
- net: ipv6: fix kconfig dependency warning for IPV6_SEG6_HMAC
(bsc#1012628).
- net: Fix bridge enslavement failure (bsc#1012628).
- net: dsa: rtl8366: Properly clear member config (bsc#1012628).
- net: DCB: Validate DCB_ATTR_DCB_BUFFER argument (bsc#1012628).
- net: bridge: br_vlan_get_pvid_rcu() should dereference the
VLAN group under RCU (bsc#1012628).
- ipv6: avoid lockdep issue in fib6_del() (bsc#1012628).
- ipv4: Update exception handling for multipath routes via same
device (bsc#1012628).
- ipv4: Initialize flowi4_multipath_hash in data path
(bsc#1012628).
- ip: fix tos reflection in ack and reset packets (bsc#1012628).
- hinic: bump up the timeout of SET_FUNC_STATE cmd (bsc#1012628).
- hdlc_ppp: add range checks in ppp_cp_parse_cr() (bsc#1012628).
- geneve: add transport ports in route lookup for geneve
(bsc#1012628).
- cxgb4: Fix offset when clearing filter byte counters
(bsc#1012628).
- cxgb4: fix memory leak during module unload (bsc#1012628).
- bnxt_en: Fix NULL ptr dereference crash in bnxt_fw_reset_task()
(bsc#1012628).
- bnxt_en: Avoid sending firmware messages when AER error is
detected (bsc#1012628).
- act_ife: load meta modules before tcf_idr_check_alloc()
(bsc#1012628).
- ibmvnic: add missing parenthesis in do_reset() (bsc#1012628).
- ibmvnic fix NULL tx_pools and rx_tools issue at do_reset
(bsc#1012628).
- commit 4416947
-------------------------------------------------------------------
Mon Sep 28 01:08:38 CEST 2020 - mkubecek@suse.cz
- Update to 5.9-rc7
- eliminated 1 patch
- patches.suse/dax-Fix-compilation-for-CONFIG_DAX-CONFIG_FS_DAX.patch
- commit a5f5f07
-------------------------------------------------------------------
Sun Sep 27 10:02:02 CEST 2020 - tiwai@suse.de
- i2c: core: Call i2c_acpi_install_space_handler() before
i2c_acpi_register_devices() (bsc#1176971).
- commit 4178df3
-------------------------------------------------------------------
Thu Sep 24 06:12:22 CEST 2020 - jslaby@suse.cz
- mptcp: sendmsg: reset iter on error redux (git-fixes).
- commit f4bb27a
-------------------------------------------------------------------
Thu Sep 24 05:45:26 CEST 2020 - jslaby@suse.cz
- Linux 5.8.11 (bsc#1012628).
- RDMA/bnxt_re: Restrict the max_gids to 256 (bsc#1012628).
- dt-bindings: spi: Fix spi-bcm-qspi compatible ordering
(bsc#1012628).
- mptcp: sendmsg: reset iter on error (bsc#1012628).
- net: handle the return value of pskb_carve_frag_list() correctly
(bsc#1012628).
- dt-bindings: PCI: intel,lgm-pcie: Fix matching on all
snps,dw-pcie instances (bsc#1012628).
- hv_netvsc: Remove "unlikely" from netvsc_select_queue
(bsc#1012628).
- loop: Set correct device size when using LOOP_CONFIGURE
(bsc#1012628).
- xprtrdma: Release in-flight MRs on disconnect (bsc#1012628).
- NFSv4.1 handle ERR_DELAY error reclaiming locking state on
delegation recall (bsc#1012628).
- phy: omap-usb2-phy: disable PHY charger detect (bsc#1012628).
- habanalabs: prevent user buff overflow (bsc#1012628).
- habanalabs: fix report of RAZWI initiator coordinates
(bsc#1012628).
- scsi: pm8001: Fix memleak in pm8001_exec_internal_task_abort
(bsc#1012628).
- scsi: libfc: Fix for double free() (bsc#1012628).
- scsi: lpfc: Fix FLOGI/PLOGI receive race condition in pt2pt
discovery (bsc#1012628).
- scsi: lpfc: Extend the RDF FPIN Registration descriptor for
additional events (bsc#1012628).
- regulator: pwm: Fix machine constraints application
(bsc#1012628).
- spi: spi-loopback-test: Fix out-of-bounds read (bsc#1012628).
- interconnect: Show bandwidth for disabled paths as zero in
debugfs (bsc#1012628).
- NFS: Zero-stateid SETATTR should first return delegation
(bsc#1012628).
- SUNRPC: stop printk reading past end of string (bsc#1012628).
- rapidio: Replace 'select' DMAENGINES 'with depends on'
(bsc#1012628).
- cifs: fix DFS mount with cifsacl/modefromsid (bsc#1012628).
- openrisc: Fix cache API compile issue when not inlining
(bsc#1012628).
- nvme-fc: cancel async events before freeing event struct
(bsc#1012628).
- nvme-rdma: cancel async events before freeing event struct
(bsc#1012628).
- nvme-tcp: cancel async events before freeing event struct
(bsc#1012628).
- block: only call sched requeue_request() for scheduled requests
(bsc#1012628).
- f2fs: fix indefinite loop scanning for free nid (bsc#1012628).
- f2fs: Return EOF on unaligned end of file DIO read
(bsc#1012628).
- i2c: algo: pca: Reapply i2c bus settings after reset
(bsc#1012628).
- spi: Fix memory leak on splited transfers (bsc#1012628).
- KVM: MIPS: Change the definition of kvm type (bsc#1012628).
- clk: davinci: Use the correct size when allocating memory
(bsc#1012628).
- clk: rockchip: Fix initialization of mux_pll_src_4plls_p
(bsc#1012628).
- ASoC: Intel: skl_hda_dsp_generic: Fix NULLptr dereference in
autosuspend delay (bsc#1012628).
- ASoC: qcom: Set card->owner to avoid warnings (bsc#1012628).
- ASoC: rt1308-sdw: Fix return check for devm_regmap_init_sdw()
(bsc#1012628).
- ASoC: rt711: Fix return check for devm_regmap_init_sdw()
(bsc#1012628).
- ASoC: rt715: Fix return check for devm_regmap_init_sdw()
(bsc#1012628).
- ASoC: rt700: Fix return check for devm_regmap_init_sdw()
(bsc#1012628).
- ASoC: qcom: common: Fix refcount imbalance on error
(bsc#1012628).
- drm/mediatek: dsi: Fix scrolling of panel with small hfp or hbp
(bsc#1012628).
- powerpc/book3s64/radix: Fix boot failure with large amount of
guest memory (bsc#1012628).
- ASoC: soc-core: add snd_soc_find_dai_with_mutex() (bsc#1012628).
- ASoC: meson: axg-toddr: fix channel order on g12 platforms
(bsc#1012628).
- ASoC: tlv320adcx140: Fix accessing uninitialized adcx140->dev
(bsc#1012628).
- ASoC: Intel: haswell: Fix power transition refactor
(bsc#1012628).
- ASoC: core: Do not cleanup uninitialized dais on soc_pcm_open
failure (bsc#1012628).
- Drivers: hv: vmbus: hibernation: do not hang forever in
vmbus_bus_resume() (bsc#1012628).
- scsi: libsas: Fix error path in sas_notify_lldd_dev_found()
(bsc#1012628).
- arm64: Allow CPUs unffected by ARM erratum 1418040 to come in
late (bsc#1012628).
- Drivers: hv: vmbus: Add timeout to vmbus_wait_for_unload
(bsc#1012628).
- perf test: Fix the "signal" test inline assembly (bsc#1012628).
- perf record: Don't clear event's period if set by a term
(bsc#1012628).
- MIPS: SNI: Fix MIPS_L1_CACHE_SHIFT (bsc#1012628).
- perf test: Free aliases for PMU event map aliases test
(bsc#1012628).
- perf metric: Fix some memory leaks (bsc#1012628).
- perf evlist: Fix cpu/thread map leak (bsc#1012628).
- perf parse-event: Fix memory leak in evsel->unit (bsc#1012628).
- perf test: Free formats for perf pmu parse test (bsc#1012628).
- drm/i915/gem: Reduce context termination list iteration guard
to RCU (bsc#1012628).
- fbcon: Fix user font detection test at fbcon_resize()
(bsc#1012628).
- MIPS: SNI: Fix spurious interrupts (bsc#1012628).
- drm/mediatek: Use CPU when fail to get cmdq event (bsc#1012628).
- drm/mediatek: Add missing put_device() call in
mtk_ddp_comp_init() (bsc#1012628).
- drm/mediatek: Add exception handing in mtk_drm_probe() if
component init fail (bsc#1012628).
- drm/mediatek: Add missing put_device() call in
mtk_drm_kms_init() (bsc#1012628).
- drm/mediatek: Add missing put_device() call in
mtk_hdmi_dt_parse_pdata() (bsc#1012628).
- arm64: bpf: Fix branch offset in JIT (bsc#1012628).
- iommu/amd: Fix potential @entry null deref (bsc#1012628).
- iommu/amd: Restore IRTE.RemapEn bit for
amd_iommu_activate_guest_mode (bsc#1012628).
- kconfig: qconf: use delete[] instead of delete to free array
(again) (bsc#1012628).
- i2c: mediatek: Fix generic definitions for bus frequency
(bsc#1012628).
- i2c: mxs: use MXS_DMA_CTRL_WAIT4END instead of DMA_CTRL_ACK
(bsc#1012628).
- riscv: Add sfence.vma after early page table changes
(bsc#1012628).
- locking/lockdep: Fix "USED" <- "IN-NMI" inversions
(bsc#1012628).
- efi: efibc: check for efivars write capability (bsc#1012628).
- locking/percpu-rwsem: Use this_cpu_{inc,dec}() for read_count
(bsc#1012628).
- x86/unwind/fp: Fix FP unwinding in ret_from_fork (bsc#1012628).
- drm/kfd: fix a system crash issue during GPU recovery
(bsc#1012628).
- drm/i915/gem: Delay tracking the GEM context until it is
registered (bsc#1012628).
- drm/i915: Filter wake_flags passed to default_wake_function
(bsc#1012628).
- USB: quirks: Add USB_QUIRK_IGNORE_REMOTE_WAKEUP quirk for BYD
zhaoxin notebook (bsc#1012628).
- USB: UAS: fix disconnect by unplugging a hub (bsc#1012628).
- usblp: fix race between disconnect() and read() (bsc#1012628).
- usb: typec: ucsi: acpi: Increase command completion timeout
value (bsc#1012628).
- usb: typec: ucsi: Prevent mode overrun (bsc#1012628).
- i2c: i801: Fix resume bug (bsc#1012628).
- Revert "ALSA: hda - Fix silent audio output and corrupted
input on MSI X570-A PRO" (bsc#1012628).
- ALSA: hda: fixup headset for ASUS GX502 laptop (bsc#1012628).
- ALSA: hda/realtek - The Mic on a RedmiBook doesn't work
(bsc#1012628).
- percpu: fix first chunk size calculation for populated bitmap
(bsc#1012628).
- Input: trackpoint - add new trackpoint variant IDs
(bsc#1012628).
- Input: i8042 - add Entroware Proteus EL07R4 to nomux and reset
lists (bsc#1012628).
- thunderbolt: Retry DROM read once if parsing fails
(bsc#1012628).
- serial: 8250_pci: Add Realtek 816a and 816b (bsc#1012628).
- serial: core: fix port-lock initialisation (bsc#1012628).
- serial: core: fix console port-lock regression (bsc#1012628).
- x86/boot/compressed: Disable relocation relaxation
(bsc#1012628).
- ksm: reinstate memcg charge on copied pages (bsc#1012628).
- kprobes: fix kill kprobe which has been marked as gone
(bsc#1012628).
- mm/thp: fix __split_huge_pmd_locked() for migration PMD
(bsc#1012628).
- s390: add 3f program exception handler (bsc#1012628).
- s390/pci: fix leak of DMA tables on hard unplug (bsc#1012628).
- s390/zcrypt: fix kmalloc 256k failure (bsc#1012628).
- ehci-hcd: Move include to keep CRC stable (bsc#1012628).
- arm64: paravirt: Initialize steal time when cpu is online
(bsc#1012628).
- powerpc/dma: Fix dma_map_ops::get_required_mask (bsc#1012628).
- selftests/vm: fix display of page size in map_hugetlb
(bsc#1012628).
- dm/dax: Fix table reference counts (bsc#1012628).
- mm/memory_hotplug: drain per-cpu pages again during memory
offline (bsc#1012628).
- dm: Call proper helper to determine dax support (bsc#1012628).
- dax: Fix compilation for CONFIG_DAX && !CONFIG_FS_DAX
(bsc#1012628).
- mm: memcg: fix memcg reclaim soft lockup (bsc#1012628).
- nvme-loop: set ctrl state connecting after init (bsc#1012628).
- commit f26e9c9
-------------------------------------------------------------------
Tue Sep 22 11:36:53 CEST 2020 - msuchanek@suse.de
- kernel-syms.spec.in: Also use bz compression (boo#1175882).
- commit ecaf78d
-------------------------------------------------------------------
Tue Sep 22 00:29:58 CEST 2020 - mkubecek@suse.cz
- dax: Fix compilation for CONFIG_DAX && !CONFIG_FS_DAX
(http://lkml.kernel.org/r/20200921010359.GO3027113@arch-chirva.localdomain).
- commit 289d4e9
-------------------------------------------------------------------
Mon Sep 21 13:00:19 CEST 2020 - jslaby@suse.cz
- Update
patches.kernel.org/5.8.10-047-block-restore-a-specific-error-code-in-bdev_de.patch
(bsc#1012628 bsc#1176770).
Add a reference.
- commit af3e800
-------------------------------------------------------------------
Mon Sep 21 12:44:24 CEST 2020 - tiwai@suse.de
- Update config files: turn off CONFIG_SND_CTL_VALIDATION again (bsc#1176200)
This causes errors on ASoC SOF driver.
- commit b7791aa
-------------------------------------------------------------------
Mon Sep 21 07:08:13 CEST 2020 - mkubecek@suse.cz
- Update to 5.9-rc6
- refresh configs
- drop VGACON_SOFT_SCROLLBACK and related
- commit bf46e69
-------------------------------------------------------------------
Mon Sep 21 03:15:11 CEST 2020 - glin@suse.com
- rpm/kernel-cert-subpackage: add CA check on key enrollment (bsc#1173115)
To avoid the unnecessary key enrollment, when enrolling the signing key
of the kernel package, "--ca-check" is added to mokutil so that mokutil
will ignore the request if the CA of the signing key already exists in
MokList or UEFI db.
Since the macro, %_suse_kernel_module_subpackage, is only defined in a
kernel module package (KMP), it's used to determine whether the %post
script is running in a kernel package, or a kernel module package.
- commit b15c9bf
-------------------------------------------------------------------
Fri Sep 18 06:36:01 CEST 2020 - jslaby@suse.cz
- RDMA/bnxt_re: Remove set but not used variable 'qplib_ctx'
(git-fixes).
- commit 33939e8
-------------------------------------------------------------------
Fri Sep 18 03:33:11 CEST 2020 - glin@suse.com
- rpm/macros.kernel-source: pass -c proerly in kernel module package (bsc#1176698)
The "-c" option wasn't passed down to %_kernel_module_package so the
ueficert subpackage wasn't generated even if the certificate is
specified in the spec file.
- commit 34808fb
-------------------------------------------------------------------
Thu Sep 17 19:44:40 CEST 2020 - jslaby@suse.cz
- Linux 5.8.10 (bsc#1012628).
- gcov: add support for GCC 10.1 (bsc#1012628).
- drm/msm/gpu: make ringbuffer readonly (bsc#1012628).
- usb: typec: intel_pmc_mux: Do not configure SBU and HSL
Orientation in Alternate modes (bsc#1012628).
- usb: typec: intel_pmc_mux: Do not configure Altmode HPD High
(bsc#1012628).
- usb: typec: intel_pmc_mux: Un-register the USB role switch
(bsc#1012628).
- usb: typec: ucsi: acpi: Check the _DEP dependencies
(bsc#1012628).
- usb: Fix out of sync data toggle if a configured device is
reconfigured (bsc#1012628).
- USB: serial: option: add support for SIM7070/SIM7080/SIM7090
modules (bsc#1012628).
- USB: serial: option: support dynamic Quectel USB compositions
(bsc#1012628).
- USB: serial: ftdi_sio: add IDs for Xsens Mti USB converter
(bsc#1012628).
- usb: core: fix slab-out-of-bounds Read in read_descriptors
(bsc#1012628).
- phy: qcom-qmp: Use correct values for ipq8074 PCIe Gen2 PHY init
(bsc#1012628).
- staging: greybus: audio: fix uninitialized value issue
(bsc#1012628).
- video: fbdev: fix OOB read in vga_8planes_imageblit()
(bsc#1012628).
- ARM: dts: vfxxx: Add syscon compatible with OCOTP (bsc#1012628).
- arm64: dts: imx8mp: correct sdma1 clk setting (bsc#1012628).
- test_firmware: Test platform fw loading on non-EFI systems
(bsc#1012628).
- debugfs: Fix module state check condition (bsc#1012628).
- Revert "usb: dwc3: meson-g12a: fix shared reset control use"
(bsc#1012628).
- KVM: fix memory leak in kvm_io_bus_unregister_dev()
(bsc#1012628).
- kvm x86/mmu: use KVM_REQ_MMU_SYNC to sync when needed
(bsc#1012628).
- KVM: arm64: Do not try to map PUDs when they are folded into
PMD (bsc#1012628).
- KVM: VMX: Don't freeze guest when event delivery causes an
APIC-access exit (bsc#1012628).
- vgacon: remove software scrollback support (bsc#1012628).
- fbcon: remove now unusued 'softback_lines' cursor() argument
(bsc#1012628).
- fbcon: remove soft scrollback code (bsc#1012628).
- RDMA/mlx4: Read pkey table length instead of hardcoded value
(bsc#1012628).
- RDMA/rxe: Fix the parent sysfs read when the interface has 15
chars (bsc#1012628).
- rbd: require global CAP_SYS_ADMIN for mapping and unmapping
(bsc#1012628).
- nvme: Revert: Fix controller creation races with teardown flow
(bsc#1012628).
- mmc: sdhci-of-esdhc: Don't walk device-tree on every interrupt
(bsc#1012628).
- mmc: sdio: Use mmc_pre_req() / mmc_post_req() (bsc#1012628).
- drm/msm: Disable the RPTR shadow (bsc#1012628).
- drm/msm: Disable preemption on all 5xx targets (bsc#1012628).
- drm/msm: Split the a5xx preemption record (bsc#1012628).
- drm/tve200: Stabilize enable/disable (bsc#1012628).
- scsi: target: iscsi: Fix hang in iscsit_access_np() when
getting tpg->np_login_sem (bsc#1012628).
- scsi: lpfc: Fix setting IRQ affinity with an empty CPU mask
(bsc#1012628).
- scsi: target: iscsi: Fix data digest calculation (bsc#1012628).
- misc: eeprom: at24: register nvmem only after eeprom is ready
to use (bsc#1012628).
- regulator: core: Fix slab-out-of-bounds in
regulator_unlock_recursive() (bsc#1012628).
- regulator: plug of_node leak in regulator_register()'s error
path (bsc#1012628).
- regulator: push allocation in set_consumer_device_supply()
out of lock (bsc#1012628).
- regulator: push allocations in create_regulator() outside of
lock (bsc#1012628).
- regulator: push allocation in regulator_init_coupling()
outside of lock (bsc#1012628).
- kobject: Restore old behaviour of kobject_del(NULL)
(bsc#1012628).
- thunderbolt: Disable ports that are not implemented
(bsc#1012628).
- btrfs: fix wrong address when faulting in pages in the search
ioctl (bsc#1012628).
- btrfs: free data reloc tree on failed mount (bsc#1012628).
- btrfs: fix lockdep splat in add_missing_dev (bsc#1012628).
- btrfs: require only sector size alignment for parent eb bytenr
(bsc#1012628).
- staging: wlan-ng: fix out of bounds read in
prism2sta_probe_usb() (bsc#1012628).
- iio:accel:mma8452: Fix timestamp alignment and prevent data leak
(bsc#1012628).
- iio:accel:mma7455: Fix timestamp alignment and prevent data leak
(bsc#1012628).
- iio: accel: kxsd9: Fix alignment of local buffer (bsc#1012628).
- iio:chemical:ccs811: Fix timestamp alignment and prevent data
leak (bsc#1012628).
- iio:light:max44000 Fix timestamp alignment and prevent data leak
(bsc#1012628).
- iio:magnetometer:ak8975 Fix alignment and data leak issues
(bsc#1012628).
- iio:adc:ti-adc081c Fix alignment and data leak issues
(bsc#1012628).
- iio:adc:max1118 Fix alignment of timestamp and data leak issues
(bsc#1012628).
- iio:adc:ina2xx Fix timestamp alignment issue (bsc#1012628).
- iio:adc:ti-adc084s021 Fix alignment and data leak issues
(bsc#1012628).
- iio:accel:bmc150-accel: Fix timestamp alignment and prevent
data leak (bsc#1012628).
- iio:proximity:mb1232: Fix timestamp alignment and prevent data
leak (bsc#1012628).
- iio:light:ltr501 Fix timestamp alignment issue (bsc#1012628).
- iio: cros_ec: Set Gyroscope default frequency to 25Hz
(bsc#1012628).
- iio: adc: ti-ads1015: fix conversion when CONFIG_PM is not set
(bsc#1012628).
- iio: adc: mcp3422: fix locking on error path (bsc#1012628).
- iio: adc: mcp3422: fix locking scope (bsc#1012628).
- gcov: Disable gcov build with GCC 10 (bsc#1012628).
- iommu/amd: Do not use IOMMUv2 functionality when SME is active
(bsc#1012628).
- iommu/amd: Do not force direct mapping when SME is active
(bsc#1012628).
- drm/amdgpu: Fix bug in reporting voltage for CIK (bsc#1012628).
- drivers/net/wan/hdlc: Change the default of hard_header_len to 0
(bsc#1012628).
- ALSA: hda: use consistent HDAudio spelling in comments/docs
(bsc#1012628).
- ALSA: hda: fix a runtime pm issue in SOF when integrated GPU
is disabled (bsc#1012628).
- ALSA: hda: hdmi - add Rocketlake support (bsc#1012628).
- arm64/module: set trampoline section flags regardless of
CONFIG_DYNAMIC_FTRACE (bsc#1012628).
- cpufreq: intel_pstate: Fix intel_pstate_get_hwp_max() for
turbo disabled (bsc#1012628).
- cpufreq: intel_pstate: Refuse to turn off with HWP enabled
(bsc#1012628).
- ARC: [plat-hsdk]: Switch ethernet phy-mode to rgmii-id
(bsc#1012628).
- HID: elan: Fix memleak in elan_input_configured (bsc#1012628).
- drivers/net/wan/hdlc_cisco: Add hard_header_len (bsc#1012628).
- HID: microsoft: Add rumble support for the 8bitdo SN30 Pro+
controller (bsc#1012628).
- HID: quirks: Set INCREMENT_USAGE_ON_DUPLICATE for all Saitek
X52 devices (bsc#1012628).
- nvme-pci: cancel nvme device request before disabling
(bsc#1012628).
- nvme-rdma: fix reset hang if controller died in the middle of
a reset (bsc#1012628).
- nvme-rdma: fix timeout handler (bsc#1012628).
- nvme-rdma: serialize controller teardown sequences
(bsc#1012628).
- nvme-tcp: fix reset hang if controller died in the middle of
a reset (bsc#1012628).
- nvme-tcp: fix timeout handler (bsc#1012628).
- nvme-tcp: serialize controller teardown sequences (bsc#1012628).
- nvme: have nvme_wait_freeze_timeout return if it timed out
(bsc#1012628).
- nvme-fabrics: don't check state NVME_CTRL_NEW for request
acceptance (bsc#1012628).
- nvmet-tcp: Fix NULL dereference when a connect data comes in
h2cdata pdu (bsc#1012628).
- media: gpio-ir-tx: spinlock is not needed to disable interrupts
(bsc#1012628).
- irqchip/eznps: Fix build error for !ARC700 builds (bsc#1012628).
- ARC: show_regs: fix r12 printing and simplify (bsc#1012628).
- xfs: initialize the shortform attr header padding entry
(bsc#1012628).
- cfg80211: Adjust 6 GHz frequency to channel conversion
(bsc#1012628).
- mac80211: reduce packet loss event false positives
(bsc#1012628).
- wireless: fix wrong 160/80+80 MHz setting (bsc#1012628).
- drivers/net/wan/lapbether: Set network_header before
transmitting (bsc#1012628).
- xfs: fix off-by-one in inode alloc block reservation calculation
(bsc#1012628).
- net: hns3: Fix for geneve tx checksum bug (bsc#1012628).
- drivers/dma/dma-jz4780: Fix race condition between probe and
irq handler (bsc#1012628).
- ALSA: hda/tegra: Program WAKEEN register for Tegra
(bsc#1012628).
- ALSA: hda: Fix 2 channel swapping for Tegra (bsc#1012628).
- scsi: qedf: Fix null ptr reference in qedf_stag_change_work
(bsc#1012628).
- firestream: Fix memleak in fs_open (bsc#1012628).
- NFC: st95hf: Fix memleak in st95hf_in_send_cmd (bsc#1012628).
- drivers/net/wan/lapbether: Added needed_tailroom (bsc#1012628).
- netfilter: nft_set_rbtree: Detect partial overlap with start
endpoint match (bsc#1012628).
- netfilter: conntrack: allow sctp hearbeat after connection
re-use (bsc#1012628).
- MIPS: Loongson64: Do not override watch and ejtag feature
(bsc#1012628).
- dmaengine: acpi: Put the CSRT table after using it
(bsc#1012628).
- ARC: HSDK: wireup perf irq (bsc#1012628).
- KVM: x86: always allow writing '0' to MSR_KVM_ASYNC_PF_EN
(bsc#1012628).
- KVM: nVMX: Fix the update value of nested load
IA32_PERF_GLOBAL_CTRL control (bsc#1012628).
- arm64: dts: ns2: Fixed QSPI compatible string (bsc#1012628).
- ARM: dts: BCM5301X: Fixed QSPI compatible string (bsc#1012628).
- ARM: dts: NSP: Fixed QSPI compatible string (bsc#1012628).
- ARM: dts: bcm: HR2: Fixed QSPI compatible string (bsc#1012628).
- IB/isert: Fix unaligned immediate-data handling (bsc#1012628).
- RDMA/rtrs-srv: Set .release function for rtrs srv device during
device init (bsc#1012628).
- block: Set same_page to false in __bio_try_merge_page if ret
is false (bsc#1012628).
- spi: stm32: fix pm_runtime_get_sync() error checking
(bsc#1012628).
- nvme-fabrics: allow to queue requests for live queues
(bsc#1012628).
- seccomp: don't leak memory when filter install races
(bsc#1012628).
- block: restore a specific error code in bdev_del_partition
(bsc#1012628).
- i2c: npcm7xx: Fix timeout calculation (bsc#1012628).
- btrfs: fix NULL pointer dereference after failure to create
snapshot (bsc#1012628).
- spi: stm32: Rate-limit the 'Communication suspended' message
(bsc#1012628).
- mmc: sdhci-msm: Add retries when all tuning phases are found
valid (bsc#1012628).
- mmc: sdhci-acpi: Clear amd_sdhci_host on reset (bsc#1012628).
- ARM: dts: imx6sx: fix the pad QSPI1B_SCLK mux mode for uart3
(bsc#1012628).
- KVM: arm64: Update page shift if stage 2 block mapping not
supported (bsc#1012628).
- drm/sun4i: backend: Disable alpha on the lowest plane on the
A20 (bsc#1012628).
- drm/sun4i: backend: Support alpha property on lowest plane
(bsc#1012628).
- drm/sun4i: Fix DE2 YVU handling (bsc#1012628).
- padata: fix possible padata_works_lock deadlock (bsc#1012628).
- interconnect: qcom: Fix small BW votes being truncated to zero
(bsc#1012628).
- Revert "kbuild: use -flive-patching when CONFIG_LIVEPATCH is
enabled" (bsc#1012628).
- soundwire: fix double free of dangling pointer (bsc#1012628).
- scsi: mpt3sas: Don't call disable_irq from IRQ poll handler
(bsc#1012628).
- scsi: megaraid_sas: Don't call disable_irq from process IRQ poll
(bsc#1012628).
- RDMA/core: Fix reported speed and width (bsc#1012628).
- RDMA/core: Fix unsafe linked list traversal after failing to
allocate CQ (bsc#1012628).
- drm/virtio: fix unblank (bsc#1012628).
- scsi: libsas: Set data_dir as DMA_NONE if libata marks qc as
NODATA (bsc#1012628).
- scsi: qla2xxx: Fix regression on sparc64 (bsc#1012628).
- drm/sun4i: Fix dsi dcs long write function (bsc#1012628).
- arm64: dts: imx8mq: Fix TMU interrupt property (bsc#1012628).
- drm/sun4i: add missing put_device() call in
sun8i_r40_tcon_tv_set_mux() (bsc#1012628).
- RDMA/bnxt_re: Remove the qp from list only if the qp destroy
succeeds (bsc#1012628).
- RDMA/bnxt_re: Fix driver crash on unaligned PSN entry address
(bsc#1012628).
- RDMA/bnxt_re: Static NQ depth allocation (bsc#1012628).
- RDMA/bnxt_re: Fix the qp table indexing (bsc#1012628).
- RDMA/bnxt_re: Do not report transparent vlan from QP1
(bsc#1012628).
- RDMA/rxe: Fix panic when calling kmem_cache_create()
(bsc#1012628).
- RDMA/rxe: Drop pointless checks in rxe_init_ports (bsc#1012628).
- RDMA/rxe: Fix memleak in rxe_mem_init_user (bsc#1012628).
- RDMA/rtrs-srv: Replace device_register with device_initialize
and device_add (bsc#1012628).
- ARM: dts: imx7d-zii-rmu2: fix rgmii phy-mode for ksz9031 phy
(bsc#1012628).
- arm64: dts: imx: Add missing imx8mm-beacon-kit.dtb to build
(bsc#1012628).
- ARM: dts: imx7ulp: Correct gpio ranges (bsc#1012628).
- ARM: dts: ls1021a: fix QuadSPI-memory reg range (bsc#1012628).
- selftests/timers: Turn off timeout setting (bsc#1012628).
- ARM: dts: omap5: Fix DSI base address and clocks (bsc#1012628).
- ARM: dts: socfpga: fix register entry for timer3 on Arria10
(bsc#1012628).
- regulator: remove superfluous lock in
regulator_resolve_coupling() (bsc#1012628).
- regulator: push allocation in regulator_ena_gpio_request()
out of lock (bsc#1012628).
- ARM: dts: logicpd-som-lv-baseboard: Fix missing video
(bsc#1012628).
- ARM: dts: logicpd-som-lv-baseboard: Fix broken audio
(bsc#1012628).
- ARM: dts: logicpd-torpedo-baseboard: Fix broken audio
(bsc#1012628).
- ARM: OMAP2+: Fix an IS_ERR() vs NULL check in _get_pwrdm()
(bsc#1012628).
- commit 83e873a
-------------------------------------------------------------------
Mon Sep 14 10:21:33 CEST 2020 - mkubecek@suse.cz
- Update to 5.9-rc5
- eliminated 2 patches
patches.suse/drm-virtio-fix-unblank.patch
patches.suse/firmware_loader-fix-memory-leak-for-paged-buffer.patch
- commit e921ea1
-------------------------------------------------------------------
Sat Sep 12 20:07:52 CEST 2020 - jslaby@suse.cz
- Linux 5.8.9 (bsc#1012628).
- mptcp: free acked data before waiting for more memory
(bsc#1012628).
- net: disable netpoll on fresh napis (bsc#1012628).
- tipc: fix using smp_processor_id() in preemptible (bsc#1012628).
- tipc: fix shutdown() of connectionless socket (bsc#1012628).
- taprio: Fix using wrong queues in gate mask (bsc#1012628).
- sctp: not disable bh in the whole sctp_get_port_local()
(bsc#1012628).
- net: usb: dm9601: Add USB ID of Keenetic Plus DSL (bsc#1012628).
- netlabel: fix problems with mapping removal (bsc#1012628).
- ipv6: Fix sysctl max for fib_multipath_hash_policy
(bsc#1012628).
- ipv4: Silence suspicious RCU usage warning (bsc#1012628).
- RDMA/cma: Execute rdma_cm destruction from a handler properly
(bsc#1012628).
- RDMA/cma: Remove unneeded locking for req paths (bsc#1012628).
- RDMA/cma: Using the standard locking pattern when delivering
the removal event (bsc#1012628).
- RDMA/cma: Simplify DEVICE_REMOVAL for internal_id (bsc#1012628).
- io_uring: fix linked deferred ->files cancellation
(bsc#1012628).
- io_uring: fix cancel of deferred reqs with ->files
(bsc#1012628).
- commit 52f63c2
-------------------------------------------------------------------
Thu Sep 10 07:39:06 CEST 2020 - jslaby@suse.cz
- Linux 5.8.8 (bsc#1012628).
- hwmon: (pmbus/isl68137) remove READ_TEMPERATURE_1 telemetry
for RAA228228 (bsc#1012628).
- HID: quirks: Always poll three more Lenovo PixArt mice
(bsc#1012628).
- drm/msm/dpu: Fix reservation failures in modeset (bsc#1012628).
- drm/msm/dpu: Fix scale params in plane validation (bsc#1012628).
- drm/msm/dpu: fix unitialized variable error (bsc#1012628).
- tty: serial: qcom_geni_serial: Drop __init from
qcom_geni_console_setup (bsc#1012628).
- drm/msm: add shutdown support for display platform_driver
(bsc#1012628).
- hwmon: (applesmc) check status earlier (bsc#1012628).
- nvmet: Disable keep-alive timer when kato is cleared to 0h
(bsc#1012628).
- drm/msm: enable vblank during atomic commits (bsc#1012628).
- habanalabs: unmap PCI bars upon iATU failure (bsc#1012628).
- habanalabs: validate packet id during CB parse (bsc#1012628).
- habanalabs: set clock gating according to mask (bsc#1012628).
- habanalabs: proper handling of alloc size in coresight
(bsc#1012628).
- habanalabs: set max power according to card type (bsc#1012628).
- habanalabs: validate FW file size (bsc#1012628).
- habanalabs: check correct vmalloc return code (bsc#1012628).
- drm/msm/a6xx: fix gmu start on newer firmware (bsc#1012628).
- gfs2: add some much needed cleanup for log flushes that fail
(bsc#1012628).
- hv_utils: return error if host timesysnc update is stale
(bsc#1012628).
- hv_utils: drain the timesync packets on onchannelcallback
(bsc#1012628).
- ceph: don't allow setlease on cephfs (bsc#1012628).
- i2c: iproc: Fix shifting 31 bits (bsc#1012628).
- drm/omap: fix incorrect lock state (bsc#1012628).
- irqchip/ingenic: Leave parent IRQ unmasked on suspend
(bsc#1012628).
- cpuidle: Fixup IRQ state (bsc#1012628).
- nbd: restore default timeout when setting it to zero
(bsc#1012628).
- s390: don't trace preemption in percpu macros (bsc#1012628).
- drm/amd/display: should check error using DC_OK (bsc#1012628).
- drm/amd/display: Reject overlay plane configurations in
multi-display scenarios (bsc#1012628).
- drivers: gpu: amd: Initialize amdgpu_dm_backlight_caps object
to 0 in amdgpu_dm_update_backlight_caps (bsc#1012628).
- drm/amd/display: Revert HDCP disable sequence change
(bsc#1012628).
- drm/amd/display: Fix passive dongle mistaken as active dongle
in EDID emulation (bsc#1012628).
- drm/amd/display: Keep current gain when ABM disable immediately
(bsc#1012628).
- drm/amd/display: Retry AUX write when fail occurs (bsc#1012628).
- drm/amd/display: Fix memleak in amdgpu_dm_mode_config_init
(bsc#1012628).
- xen/xenbus: Fix granting of vmalloc'd memory (bsc#1012628).
- fsldma: fix very broken 32-bit ppc ioread64 functionality
(bsc#1012628).
- dmaengine: of-dma: Fix of_dma_router_xlate's of_dma_xlate
handling (bsc#1012628).
- batman-adv: Avoid uninitialized chaddr when handling DHCP
(bsc#1012628).
- batman-adv: Fix own OGM check in aggregated OGMs (bsc#1012628).
- batman-adv: bla: use netif_rx_ni when not in interrupt context
(bsc#1012628).
- dmaengine: at_hdmac: check return value of
of_find_device_by_node() in at_dma_xlate() (bsc#1012628).
- dmaengine: at_hdmac: add missing put_device() call in
at_dma_xlate() (bsc#1012628).
- dmaengine: at_hdmac: add missing kfree() call in at_dma_xlate()
(bsc#1012628).
- rxrpc: Keep the ACK serial in a var in rxrpc_input_ack()
(bsc#1012628).
- rxrpc: Fix loss of RTT samples due to interposed ACK
(bsc#1012628).
- rxrpc: Make rxrpc_kernel_get_srtt() indicate validity
(bsc#1012628).
- MIPS: mm: BMIPS5000 has inclusive physical caches (bsc#1012628).
- MIPS: BMIPS: Also call bmips_cpu_setup() for secondary cores
(bsc#1012628).
- mmc: sdhci-acpi: Fix HS400 tuning for AMDI0040 (bsc#1012628).
- perf sched timehist: Fix use of CPU list with summary option
(bsc#1012628).
- perf top: Skip side-band event setup if HAVE_LIBBPF_SUPPORT
is not set (bsc#1012628).
- netfilter: nf_tables: add NFTA_SET_USERDATA if not null
(bsc#1012628).
- netfilter: nf_tables: incorrect enum nft_list_attributes
definition (bsc#1012628).
- netfilter: nf_tables: fix destination register zeroing
(bsc#1012628).
- net: hns: Fix memleak in hns_nic_dev_probe (bsc#1012628).
- net: systemport: Fix memleak in bcm_sysport_probe (bsc#1012628).
- ravb: Fixed to be able to unload modules (bsc#1012628).
- net: arc_emac: Fix memleak in arc_mdio_probe (bsc#1012628).
- bpf: Fix a buffer out-of-bound access when filling raw_tp
link_info (bsc#1012628).
- dmaengine: pl330: Fix burst length if burst size is smaller
than bus width (bsc#1012628).
- dmaengine: ti: k3-udma: Fix the TR initialization for
prep_slave_sg (bsc#1012628).
- gtp: add GTPA_LINK info to msg sent to userspace (bsc#1012628).
- net: ethernet: ti: cpsw: fix clean up of vlan mc entries for
host port (bsc#1012628).
- net: ethernet: ti: cpsw_new: fix clean up of vlan mc entries
for host port (bsc#1012628).
- bnxt_en: Don't query FW when netif_running() is false
(bsc#1012628).
- bnxt_en: Check for zero dir entries in NVRAM (bsc#1012628).
- bnxt_en: Fix ethtool -S statitics with XDP or TCs enabled
(bsc#1012628).
- bnxt_en: Fix PCI AER error recovery flow (bsc#1012628).
- bnxt_en: Fix possible crash in bnxt_fw_reset_task()
(bsc#1012628).
- bnxt_en: fix HWRM error when querying VF temperature
(bsc#1012628).
- xfs: finish dfops on every insert range shift iteration
(bsc#1012628).
- xfs: fix boundary test in xfs_attr_shortform_verify
(bsc#1012628).
- bnxt: don't enable NAPI until rings are ready (bsc#1012628).
- media: vicodec: add missing v4l2_ctrl_request_hdl_put()
(bsc#1012628).
- media: cedrus: Add missing v4l2_ctrl_request_hdl_put()
(bsc#1012628).
- net: ethernet: ti: cpsw_new: fix error handling in
cpsw_ndo_vlan_rx_kill_vid() (bsc#1012628).
- media: i2c: imx214: select V4L2_FWNODE (bsc#1012628).
- selftests/bpf: Fix massive output from test_maps (bsc#1012628).
- net: dsa: mt7530: fix advertising unsupported 1000baseT_Half
(bsc#1012628).
- netfilter: nfnetlink: nfnetlink_unicast() reports EAGAIN
instead of ENOBUFS (bsc#1012628).
- nvmet-fc: Fix a missed _irqsave version of spin_lock in
'nvmet_fc_fod_op_done()' (bsc#1012628).
- nvme: fix controller instance leak (bsc#1012628).
- netfilter: conntrack: do not auto-delete clash entries on reply
(bsc#1012628).
- opp: Don't drop reference for an OPP table that was never parsed
(bsc#1012628).
- cxgb4: fix thermal zone device registration (bsc#1012628).
- net: ethernet: ti: am65-cpsw: fix rmii 100Mbit link mode
(bsc#1012628).
- MIPS: perf: Fix wrong check condition of Loongson event IDs
(bsc#1012628).
- block: fix locking in bdev_del_partition (bsc#1012628).
- perf top/report: Fix infinite loop in the TUI for grouped events
(bsc#1012628).
- perf cs-etm: Fix corrupt data after perf inject from
(bsc#1012628).
- perf intel-pt: Fix corrupt data after perf inject from
(bsc#1012628).
- perf tools: Correct SNOOPX field offset (bsc#1012628).
- net: ethernet: mlx4: Fix memory allocation in mlx4_buddy_init()
(bsc#1012628).
- fix regression in "epoll: Keep a reference on files added to
the check list" (bsc#1012628).
- net: bcmgenet: fix mask check in bcmgenet_validate_flow()
(bsc#1012628).
- net: gemini: Fix another missing clk_disable_unprepare()
in probe (bsc#1012628).
- nfp: flower: fix ABI mismatch between driver and firmware
(bsc#1012628).
- net: dp83867: Fix WoL SecureOn password (bsc#1012628).
- MIPS: add missing MSACSR and upper MSA initialization
(bsc#1012628).
- MIPS: SNI: Fix SCSI interrupt (bsc#1012628).
- xfs: fix xfs_bmap_validate_extent_raw when checking attr fork
of rt files (bsc#1012628).
- perf jevents: Fix suspicious code in fixregex() (bsc#1012628).
- perf stat: Turn off summary for interval mode by default
(bsc#1012628).
- perf bench: The do_run_multi_threaded() function must use
IS_ERR(perf_session__new()) (bsc#1012628).
- tg3: Fix soft lockup when tg3_reset_task() fails (bsc#1012628).
- x86, fakenuma: Fix invalid starting node ID (bsc#1012628).
- iommu/vt-d: Serialize IOMMU GCMD register modifications
(bsc#1012628).
- thermal: ti-soc-thermal: Fix bogus thermal shutdowns for
omap4430 (bsc#1012628).
- thermal: qcom-spmi-temp-alarm: Don't suppress negative temp
(bsc#1012628).
- iommu/amd: Restore IRTE.RemapEn bit after programming IRTE
(bsc#1012628).
- iommu/amd: Use cmpxchg_double() when updating 128-bit IRTE
(bsc#1012628).
- include/linux/log2.h: add missing () around n in
roundup_pow_of_two() (bsc#1012628).
- iommu/vt-d: Handle 36bit addressing for x86-32 (bsc#1012628).
- tracing/kprobes, x86/ptrace: Fix regs argument order for i386
(bsc#1012628).
- x86/entry: Fix AC assertion (bsc#1012628).
- x86/debug: Allow a single level of #DB recursion (bsc#1012628).
- ext2: don't update mtime on COW faults (bsc#1012628).
- xfs: don't update mtime on COW faults (bsc#1012628).
- ARC: perf: don't bail setup if pct irq missing in device-tree
(bsc#1012628).
- arc: fix memory initialization for systems with two memory banks
(bsc#1012628).
- btrfs: drop path before adding new uuid tree entry
(bsc#1012628).
- btrfs: fix potential deadlock in the search ioctl (bsc#1012628).
- btrfs: allocate scrub workqueues outside of locks (bsc#1012628).
- btrfs: set the correct lockdep class for new nodes
(bsc#1012628).
- btrfs: set the lockdep class for log tree extent buffers
(bsc#1012628).
- btrfs: block-group: fix free-space bitmap threshold
(bsc#1012628).
- btrfs: tree-checker: fix the error message for transid error
(bsc#1012628).
- Bluetooth: Return NOTIFY_DONE for hci_suspend_notifier
(bsc#1012628).
- x86/mm/32: Bring back vmalloc faulting on x86_32 (bsc#1012628).
- ALSA: ca0106: fix error code handling (bsc#1012628).
- ALSA: usb-audio: Add basic capture support for Pioneer DJ
DJM-250MK2 (bsc#1012628).
- ALSA: usb-audio: Add implicit feedback quirk for UR22C
(bsc#1012628).
- ALSA: pcm: oss: Remove superfluous WARN_ON() for mulaw sanity
check (bsc#1012628).
- ALSA: hda/hdmi: always check pin power status in i915 pin fixup
(bsc#1012628).
- ALSA: firewire-digi00x: exclude Avid Adrenaline from detection
(bsc#1012628).
- ALSA: hda - Fix silent audio output and corrupted input on
MSI X570-A PRO (bsc#1012628).
- ALSA; firewire-tascam: exclude Tascam FE-8 from detection
(bsc#1012628).
- ALSA: hda/realtek: Add quirk for Samsung Galaxy Book Ion
NT950XCJ-X716A (bsc#1012628).
- ALSA: hda/realtek - Improved routing for Thinkpad X1 7th/8th
Gen (bsc#1012628).
- arm64: dts: mt7622: add reset node for mmc device (bsc#1012628).
- mmc: mediatek: add optional module reset property (bsc#1012628).
- mmc: dt-bindings: Add resets/reset-names for Mediatek MMC
bindings (bsc#1012628).
- mmc: sdhci-pci: Fix SDHCI_RESET_ALL for CQHCI for Intel
GLK-based controllers (bsc#1012628).
- media: rc: do not access device via sysfs after
rc_unregister_device() (bsc#1012628).
- media: rc: uevent sysfs file races with rc_unregister_device()
(bsc#1012628).
- affs: fix basic permission bits to actually work (bsc#1012628).
- block: allow for_each_bvec to support zero len bvec
(bsc#1012628).
- block: ensure bdi->io_pages is always initialized (bsc#1012628).
- io_uring: set table->files[i] to NULL when io_sqe_file_register
failed (bsc#1012628).
- io_uring: fix removing the wrong file in __io_sqe_files_update()
(bsc#1012628).
- s390: fix GENERIC_LOCKBREAK dependency typo in Kconfig
(bsc#1012628).
- libata: implement ATA_HORKAGE_MAX_TRIM_128M and apply to
Sandisks (bsc#1012628).
- mips/oprofile: Fix fallthrough placement (bsc#1012628).
- blk-iocost: ioc_pd_free() shouldn't assume irq disabled
(bsc#1012628).
- blk-stat: make q->stats->lock irqsafe (bsc#1012628).
- dmaengine: dw-edma: Fix scatter-gather address calculation
(bsc#1012628).
- drm/i915: Fix sha_text population code (bsc#1012628).
- drm/amd/pm: avoid false alarm due to confusing
softwareshutdowntemp setting (bsc#1012628).
- drm/amdgpu: Specify get_argument function for ci_smu_funcs
(bsc#1012628).
- dm writecache: handle DAX to partitions on persistent memory
correctly (bsc#1012628).
- dm mpath: fix racey management of PG initialization
(bsc#1012628).
- dm integrity: fix error reporting in bitmap mode after creation
(bsc#1012628).
- dm crypt: Initialize crypto wait structures (bsc#1012628).
- dm cache metadata: Avoid returning cmd->bm wild pointer on error
(bsc#1012628).
- dm thin metadata: Avoid returning cmd->bm wild pointer on error
(bsc#1012628).
- dm thin metadata: Fix use-after-free in dm_bm_set_read_only
(bsc#1012628).
- mm: slub: fix conversion of freelist_corrupted() (bsc#1012628).
- mm: track page table modifications in __apply_to_page_range()
(bsc#1012628).
- mm: madvise: fix vma user-after-free (bsc#1012628).
- mm/rmap: fixup copying of soft dirty and uffd ptes
(bsc#1012628).
- io_uring: no read/write-retry on -EAGAIN error and O_NONBLOCK
marked file (bsc#1012628).
- perf record: Correct the help info of option "--no-bpf-event"
(bsc#1012628).
- kconfig: streamline_config.pl: check defined(ENV variable)
before using it (bsc#1012628).
- sdhci: tegra: Add missing TMCLK for data timeout (bsc#1012628).
- checkpatch: fix the usage of capture group ( ... )
(bsc#1012628).
- mm/migrate: fixup setting UFFD_WP flag (bsc#1012628).
- mm/hugetlb: try preferred node first when alloc gigantic page
from cma (bsc#1012628).
- mm/hugetlb: fix a race between hugetlb sysctl handlers
(bsc#1012628).
- mm/khugepaged.c: fix khugepaged's request size in collapse_file
(bsc#1012628).
- cfg80211: regulatory: reject invalid hints (bsc#1012628).
- net: usb: Fix uninit-was-stored issue in asix_read_phy_addr()
(bsc#1012628).
- commit 8b2a0ec
-------------------------------------------------------------------
Tue Sep 8 15:33:35 CEST 2020 - mbenes@suse.cz
- rpm/kernel-binary.spec.in: pack .ipa-clones files for live patching
When -fdump-ipa-clones option is enabled, GCC reports about its cloning
operation during IPA optimizations. We use the information for live
patches preparation, because it is crucial to know if and how functions
are optimized.
Currently, we create the needed .ipa-clones dump files manually. It is
unnecessary, because the files may be created automatically during our
kernel build. Prepare for the step and provide the resulting files in
-livepatch-devel package.
- commit 98e5a9d
-------------------------------------------------------------------
Mon Sep 7 14:34:36 CEST 2020 - msuchanek@suse.de
- rpm/kernel-source.spec.in: Also use bz compression (boo#1175882).
- commit 375ec84
-------------------------------------------------------------------
Mon Sep 7 14:30:29 CEST 2020 - msuchanek@suse.de
- rpm/kernel-binary.spec.in: Also sign ppc64 kernels (jsc#SLE-15857
jsc#SLE-13618).
- commit 971fc3d
-------------------------------------------------------------------
Mon Sep 7 12:03:50 CEST 2020 - yousaf.kaukab@suse.com
- coresight: fix offset by one error in counting ports
(bsc#1175054).
- commit 1169fee
-------------------------------------------------------------------
Mon Sep 7 10:27:30 CEST 2020 - tiwai@suse.de
- Update config files: add CONFIG_GCOV_KENREL=n
Define this explicitly as kbuild bot still uses gcc9 for testing
- commit 0dcb9bb
-------------------------------------------------------------------
Mon Sep 7 09:10:13 CEST 2020 - tiwai@suse.de
- Update config files: enable a few missing Intel SOF and SST ASoC entries (bsc#1176200)
- commit 074fdcf
-------------------------------------------------------------------
Mon Sep 7 06:49:28 CEST 2020 - mkubecek@suse.cz
- Update to 5.9-rc4
- eliminated 1 patch
- patches.suse/net-packet-fix-overflow-in-tpacket_rcv.patch
- update configs
- new config option:
- XEN_UNPOPULATED_ALLOC=y (x86_64 only)
- commit 2817e6d
-------------------------------------------------------------------
Sun Sep 6 09:39:46 CEST 2020 - jslaby@suse.cz
- Revert "ALSA: hda: Add support for Loongson 7A1000 controller"
(git-fixes).
- commit 7fc52c0
-------------------------------------------------------------------
Sun Sep 6 09:37:25 CEST 2020 - jslaby@suse.cz
- Linux 5.8.7 (bsc#1012628).
- scsi: target: tcmu: Optimize use of flush_dcache_page
(bsc#1012628).
- nl80211: fix NL80211_ATTR_HE_6GHZ_CAPABILITY usage
(bsc#1012628).
- sdhci: tegra: Remove SDHCI_QUIRK_DATA_TIMEOUT_USES_SDCLK for
Tegra186 (bsc#1012628).
- sdhci: tegra: Remove SDHCI_QUIRK_DATA_TIMEOUT_USES_SDCLK for
Tegra210 (bsc#1012628).
- arm64: tegra: Add missing timeout clock to Tegra210 SDMMC
(bsc#1012628).
- arm64: tegra: Add missing timeout clock to Tegra186 SDMMC nodes
(bsc#1012628).
- arm64: tegra: Add missing timeout clock to Tegra194 SDMMC nodes
(bsc#1012628).
- dt-bindings: mmc: tegra: Add tmclk for Tegra210 and later
(bsc#1012628).
- KVM: arm64: Survive synchronous exceptions caused by AT
instructions (bsc#1012628).
- KVM: arm64: Add kvm_extable for vaxorcism code (bsc#1012628).
- media: media/v4l2-core: Fix kernel-infoleak in video_put_user()
(bsc#1012628).
- perf record/stat: Explicitly call out event modifiers in the
documentation (bsc#1012628).
- selftests/x86/test_vsyscall: Improve the process_vm_readv()
test (bsc#1012628).
- mm: fix pin vs. gup mismatch with gate pages (bsc#1012628).
- netfilter: nft_set_rbtree: Handle outcomes of tree rotations
in overlap detection (bsc#1012628).
- HID: core: Sanitize event code and type when mapping input
(bsc#1012628).
- HID: core: Correctly handle ReportSize being zero (bsc#1012628).
- commit dccac66
-------------------------------------------------------------------
Sun Sep 6 09:32:58 CEST 2020 - jslaby@suse.cz
- Linux 5.8.6 (bsc#1012628).
- ALSA: usb-audio: Update documentation comment for MS2109 quirk
(bsc#1012628).
- dma-pool: Fix an uninitialized variable bug in
atomic_pool_expand() (bsc#1012628).
- drm/vmwgfx/ldu: Use drm_mode_config_reset (bsc#1012628).
- drm/vmwgfx/sou: Use drm_mode_config_reset (bsc#1012628).
- drm/vmwgfx/stdu: Use drm_mode_config_reset (bsc#1012628).
- HID: hiddev: Fix slab-out-of-bounds write in
hiddev_ioctl_usage() (bsc#1012628).
- mm/page_counter: fix various data races at memsw (bsc#1012628).
- fbmem: pull fbcon_update_vcs() out of fb_set_var()
(bsc#1012628).
- drm/atomic-helper: reset vblank on crtc reset (bsc#1012628).
- io_uring: make offset == -1 consistent with preadv2/pwritev2
(bsc#1012628).
- io_uring: don't use poll handler if file can't be nonblocking
read/written (bsc#1012628).
- io_uring: don't recurse on tsk->sighand->siglock with signalfd
(bsc#1012628).
- usb: typec: tcpm: Fix Fix source hard reset response for TDA
2.3.1.1 and TDA 2.3.1.2 failures (bsc#1012628).
- usb: typec: ucsi: Hold con->lock for the entire duration of
ucsi_register_port() (bsc#1012628).
- usb: typec: ucsi: Rework ppm_lock handling (bsc#1012628).
- usb: typec: ucsi: Fix 2 unlocked ucsi_run_command calls
(bsc#1012628).
- usb: typec: ucsi: Fix AB BA lock inversion (bsc#1012628).
- USB: Fix device driver race (bsc#1012628).
- USB: Also match device drivers using the ->match vfunc
(bsc#1012628).
- usb: storage: Add unusual_uas entry for Sony PSZ drives
(bsc#1012628).
- USB: cdc-acm: rework notification_buffer resizing (bsc#1012628).
- usb: dwc3: gadget: Handle ZLP for sg requests (bsc#1012628).
- usb: dwc3: gadget: Fix handling ZLP (bsc#1012628).
- usb: dwc3: gadget: Don't setup more than requested
(bsc#1012628).
- USB: gadget: u_f: Unbreak offset calculation in VLAs
(bsc#1012628).
- USB: gadget: f_ncm: add bounds checks to ncm_unwrap_ntb()
(bsc#1012628).
- USB: gadget: u_f: add overflow checks to VLA macros
(bsc#1012628).
- usb: host: ohci-exynos: Fix error handling in
exynos_ohci_probe() (bsc#1012628).
- USB: Ignore UAS for JMicron JMS567 ATA/ATAPI Bridge
(bsc#1012628).
- USB: quirks: Ignore duplicate endpoint on Sound Devices MixPre-D
(bsc#1012628).
- USB: quirks: Add no-lpm quirk for another Raydium touchscreen
(bsc#1012628).
- usb: uas: Add quirk for PNY Pro Elite (bsc#1012628).
- USB: yurex: Fix bad gfp argument (bsc#1012628).
- drm/amd/pm: correct the thermal alert temperature limit settings
(bsc#1012628).
- drm/amd/pm: correct Vega20 swctf limit setting (bsc#1012628).
- drm/amd/pm: correct Vega12 swctf limit setting (bsc#1012628).
- drm/amd/pm: correct Vega10 swctf limit setting (bsc#1012628).
- drm/amd/powerplay: Fix hardmins not being sent to SMU for RV
(bsc#1012628).
- drm/amdgpu/gfx10: refine mgcg setting (bsc#1012628).
- drm/amd/display: use correct scale for actual_brightness
(bsc#1012628).
- drm/amdgpu: Fix buffer overflow in INFO ioctl (bsc#1012628).
- drm/modeset-lock: Take the modeset BKL for legacy drivers
(bsc#1012628).
- drm/dp_mst: Don't return error code when crtc is null
(bsc#1012628).
- drm/etnaviv: fix external abort seen on GC600 rev 0x19
(bsc#1012628).
- drm/i915: Fix cmd parser desc matching with masks (bsc#1012628).
- x86/hotplug: Silence APIC only after all interrupts are migrated
(bsc#1012628).
- x86/irq: Unbreak interrupt affinity setting (bsc#1012628).
- irqchip/stm32-exti: Avoid losing interrupts due to clearing
pending bits by mistake (bsc#1012628).
- genirq/matrix: Deal with the sillyness of for_each_cpu() on UP
(bsc#1012628).
- usbip: Implement a match function to fix usbip (bsc#1012628).
- crypto: af_alg - Work around empty control messages without
MSG_MORE (bsc#1012628).
- device property: Fix the secondary firmware node handling in
set_primary_fwnode() (bsc#1012628).
- powerpc/perf: Fix crashes with generic_compat_pmu & BHRB
(bsc#1012628).
- powerpc/32s: Disable VMAP stack which CONFIG_ADB_PMU
(bsc#1012628).
- PM: sleep: core: Fix the handling of pending runtime resume
requests (bsc#1012628).
- arm64: vdso32: make vdso32 install conditional (bsc#1012628).
- KVM: arm64: Set HCR_EL2.PTW to prevent AT taking synchronous
exception (bsc#1012628).
- io-wq: fix hang after cancelling pending hashed work
(bsc#1012628).
- xhci: Always restore EP_SOFT_CLEAR_TOGGLE even if ep reset
failed (bsc#1012628).
- xhci: Do warm-reset when both CAS and XDEV_RESUME are set
(bsc#1012628).
- usb: host: xhci: fix ep context print mismatch in debugfs
(bsc#1012628).
- usb: host: xhci-tegra: fix tegra_xusb_get_phy() (bsc#1012628).
- usb: host: xhci-tegra: otg usb2/usb3 port init (bsc#1012628).
- usb: renesas-xhci: remove version check (bsc#1012628).
- XEN uses irqdesc::irq_data_common::handler_data to store a
per interrupt XEN data pointer which contains XEN specific
information (bsc#1012628).
- writeback: Fix sync livelock due to b_dirty_time processing
(bsc#1012628).
- writeback: Avoid skipping inode writeback (bsc#1012628).
- writeback: Protect inode->i_io_list with inode->i_lock
(bsc#1012628).
- io_uring: clear req->result on IOPOLL re-issue (bsc#1012628).
- serial: 8250: change lock order in serial8250_do_startup()
(bsc#1012628).
- serial: 8250_exar: Fix number of ports for Commtech PCIe cards
(bsc#1012628).
- serial: stm32: avoid kernel warning on absence of optional IRQ
(bsc#1012628).
- serial: pl011: Don't leak amba_ports entry on driver register
error (bsc#1012628).
- serial: pl011: Fix oops on -EPROBE_DEFER (bsc#1012628).
- serial: samsung: Removes the IRQ not found warning
(bsc#1012628).
- vt_ioctl: change VT_RESIZEX ioctl to check for error return
from vc_resize() (bsc#1012628).
- vt: defer kfree() of vc_screenbuf in vc_do_resize()
(bsc#1012628).
- USB: lvtest: return proper error code in probe (bsc#1012628).
- fbcon: prevent user font height or width change from causing
potential out-of-bounds access (bsc#1012628).
- btrfs: detect nocow for swap after snapshot delete
(bsc#1012628).
- btrfs: fix space cache memory leak after transaction abort
(bsc#1012628).
- btrfs: check the right error variable in
btrfs_del_dir_entries_in_log (bsc#1012628).
- btrfs: reset compression level for lzo on remount (bsc#1012628).
- blk-mq: order adding requests to hctx->dispatch and checking
SCHED_RESTART (bsc#1012628).
- HID: i2c-hid: Always sleep 60ms after I2C_HID_PWR_ON commands
(bsc#1012628).
- block: loop: set discard granularity and alignment for block
device backed loop (bsc#1012628).
- block: fix get_max_io_size() (bsc#1012628).
- hwmon: (gsc-hwmon) Scale temperature to millidegrees
(bsc#1012628).
- arm64: Allow booting of late CPUs affected by erratum 1418040
(bsc#1012628).
- arm64: Move handling of erratum 1418040 into C code
(bsc#1012628).
- bpf: selftests: global_funcs: Check err_str before strstr
(bsc#1012628).
- libbpf: Fix map index used in error message (bsc#1012628).
- powerpc/perf: Fix soft lockups due to missed interrupt
accounting (bsc#1012628).
- ext4: limit the length of per-inode prealloc list (bsc#1012628).
- bpf: Avoid visit same object multiple times (bsc#1012628).
- bpf: Fix a rcu_sched stall issue with bpf task/task_file
iterator (bsc#1012628).
- drm/amdkfd: fix the wrong sdma instance query for renoir
(bsc#1012628).
- drm/amdgpu: fix NULL pointer access issue when unloading driver
(bsc#1012628).
- net: gianfar: Add of_node_put() before goto statement
(bsc#1012628).
- macvlan: validate setting of multiple remote source MAC
addresses (bsc#1012628).
- Revert "scsi: qla2xxx: Fix crash on qla2x00_mailbox_command"
(bsc#1012628).
- scsi: qla2xxx: Fix null pointer access during disconnect from
subsystem (bsc#1012628).
- scsi: qla2xxx: Check if FW supports MQ before enabling
(bsc#1012628).
- scsi: qla2xxx: Fix login timeout (bsc#1012628).
- scsi: qla2xxx: Indicate correct supported speeds for Mezz card
(bsc#1012628).
- scsi: qla2xxx: Flush I/O on zone disable (bsc#1012628).
- scsi: qla2xxx: Flush all sessions on zone disable (bsc#1012628).
- scsi: scsi_debug: Fix scp is NULL errors (bsc#1012628).
- scsi: ufs: Clean up completed request without interrupt
notification (bsc#1012628).
- scsi: ufs: Improve interrupt handling for shared interrupts
(bsc#1012628).
- scsi: ufs: Fix possible infinite loop in ufshcd_hold
(bsc#1012628).
- scsi: fcoe: Fix I/O path allocation (bsc#1012628).
- selftests: disable rp_filter for icmp_redirect.sh (bsc#1012628).
- ALSA: usb-audio: ignore broken processing/extension unit
(bsc#1012628).
- ASoC: wm8994: Avoid attempts to read unreadable registers
(bsc#1012628).
- s390/cio: add cond_resched() in the slow_eval_known_fn() loop
(bsc#1012628).
- ALSA: hda/realtek: Add model alc298-samsung-headphone
(bsc#1012628).
- drivers/net/wan/hdlc_x25: Added needed_headroom and a skb->len
check (bsc#1012628).
- dma-pool: Only allocate from CMA when in same memory zone
(bsc#1012628).
- dma-pool: fix coherent pool allocations for IOMMU mappings
(bsc#1012628).
- can: j1939: transport: j1939_xtp_rx_dat_one(): compare own
packets to detect corruptions (bsc#1012628).
- selftests/bpf: Correct various core_reloc 64-bit assumptions
(bsc#1012628).
- selftests/bpf: Fix btf_dump test cases on 32-bit arches
(bsc#1012628).
- selftest/bpf: Fix compilation warnings in 32-bit mode
(bsc#1012628).
- tools/bpftool: Fix compilation warnings in 32-bit mode
(bsc#1012628).
- libbpf: Prevent overriding errno when logging errors
(bsc#1012628).
- netfilter: avoid ipv6 -> nf_defrag_ipv6 module dependency
(bsc#1012628).
- selftests/bpf: Fix segmentation fault in test_progs
(bsc#1012628).
- drm/amd/display: Switch to immediate mode for updating
infopackets (bsc#1012628).
- drm/amd/display: Fix LFC multiplier changing erratically
(bsc#1012628).
- drm/amd/powerplay: correct UVD/VCE PG state on custom pptable
uploading (bsc#1012628).
- drm/amd/powerplay: correct Vega20 cached smu feature state
(bsc#1012628).
- spi: stm32: always perform registers configuration prior to
transfer (bsc#1012628).
- spi: stm32: fix stm32_spi_prepare_mbr in case of odd clk_rate
(bsc#1012628).
- spi: stm32: fix fifo threshold level in case of short transfer
(bsc#1012628).
- spi: stm32h7: fix race condition at end of transfer
(bsc#1012628).
- fs: prevent BUG_ON in submit_bh_wbc() (bsc#1012628).
- ext4: correctly restore system zone info when remount fails
(bsc#1012628).
- ext4: handle error of ext4_setup_system_zone() on remount
(bsc#1012628).
- ext4: handle option set by mount flags correctly (bsc#1012628).
- jbd2: abort journal if free a async write error metadata buffer
(bsc#1012628).
- ext4: abort the filesystem if failed to async write metadata
buffer (bsc#1012628).
- drm/virtio: fix memory leak in virtio_gpu_cleanup_object()
(bsc#1012628).
- ext4: skip non-loaded groups at cr=0/1 when scanning for good
groups (bsc#1012628).
- ext4: handle read only external journal device (bsc#1012628).
- ext4: don't BUG on inconsistent journal feature (bsc#1012628).
- jbd2: make sure jh have b_transaction set in
refile/unfile_buffer (bsc#1012628).
- spi: stm32: clear only asserted irq flags on interrupt
(bsc#1012628).
- video: fbdev: controlfb: Fix build for COMPILE_TEST=y &&
PPC_PMAC=n (bsc#1012628).
- usb: gadget: f_tcm: Fix some resource leaks in some error paths
(bsc#1012628).
- vdpa: ifcvf: free config irq in ifcvf_free_irq() (bsc#1012628).
- vdpa: ifcvf: return err when fail to request config irq
(bsc#1012628).
- i2c: rcar: in slave mode, clear NACK earlier (bsc#1012628).
- i2c: core: Don't fail PRP0001 enumeration when no ID table exist
(bsc#1012628).
- drm/etnaviv: always start/stop scheduler in timeout processing
(bsc#1012628).
- habanalabs: Fix memory corruption in debugfs (bsc#1012628).
- null_blk: fix passing of REQ_FUA flag in null_handle_rq
(bsc#1012628).
- nvme: multipath: round-robin: fix single non-optimized path case
(bsc#1012628).
- nvme-fc: Fix wrong return value in __nvme_fc_init_request()
(bsc#1012628).
- nvmet: fix a memory leak (bsc#1012628).
- blkcg: fix memleak for iolatency (bsc#1012628).
- blk-mq: insert request not through ->queue_rq into sw/scheduler
queue (bsc#1012628).
- hwmon: (nct7904) Correct divide by 0 (bsc#1012628).
- bfq: fix blkio cgroup leakage v4 (bsc#1012628).
- block: Fix page_is_mergeable() for compound pages (bsc#1012628).
- drm/msm/adreno: fix updating ring fence (bsc#1012628).
- block: virtio_blk: fix handling single range discard request
(bsc#1012628).
- block: respect queue limit of max discard segment (bsc#1012628).
- nfsd: fix oops on mixed NFSv4/NFSv3 client access (bsc#1012628).
- ALSA: usb-audio: Add capture support for Saffire 6 (USB 1.1)
(bsc#1012628).
- cpufreq: intel_pstate: Fix EPP setting via sysfs in active mode
(bsc#1012628).
- PM / devfreq: Fix the wrong end with semicolon (bsc#1012628).
- PCI: qcom: Add missing reset for ipq806x (bsc#1012628).
- PCI: qcom: Change duplicate PCI reset to phy reset
(bsc#1012628).
- PCI: qcom: Add missing ipq806x clocks in PCIe driver
(bsc#1012628).
- net: openvswitch: introduce common code for flushing flows
(bsc#1012628).
- arm64: Fix __cpu_logical_map undefined issue (bsc#1012628).
- efi: provide empty efi_enter_virtual_mode implementation
(bsc#1012628).
- pinctrl: mediatek: fix build for tristate changes (bsc#1012628).
- pinctrl: mediatek: avoid virtual gpio trying to set reg
(bsc#1012628).
- brcmfmac: Set timeout value when configuring power save
(bsc#1012628).
- mmc: sdhci-of-arasan: fix timings allocation code (bsc#1012628).
- USB: sisusbvga: Fix a potential UB casued by left shifting a
negative value (bsc#1012628).
- dmaengine: idxd: fix PCI_MSI build errors (bsc#1012628).
- powerpc/spufs: add CONFIG_COREDUMP dependency (bsc#1012628).
- KVM: arm64: Fix symbol dependency in __hyp_call_panic_nvhe
(bsc#1012628).
- drm/amd/display: fix compilation error on allmodconfig
(bsc#1012628).
- drm/amd/display: change global buffer to local buffer
(bsc#1012628).
- media: i2c: imx290: fix reset GPIO pin handling (bsc#1012628).
- media: davinci: vpif_capture: fix potential double free
(bsc#1012628).
- hugetlbfs: prevent filesystem stacking of hugetlbfs
(bsc#1012628).
- EDAC/ie31200: Fallback if host bridge device is already
initialized (bsc#1012628).
- i2c: i801: Add support for Intel Tiger Lake PCH-H (bsc#1012628).
- scsi: fcoe: Memory leak fix in fcoe_sysfs_fcf_del()
(bsc#1012628).
- ceph: do not access the kiocb after aio requests (bsc#1012628).
- ceph: fix potential mdsc use-after-free crash (bsc#1012628).
- netfilter: nf_tables: report EEXIST on overlaps (bsc#1012628).
- scsi: iscsi: Do not put host in iscsi_set_flashnode_param()
(bsc#1012628).
- btrfs: make btrfs_qgroup_check_reserved_leak take btrfs_inode
(bsc#1012628).
- btrfs: file: reserve qgroup space after the hole punch range
is locked (bsc#1012628).
- locking/lockdep: Fix overflow in presentation of average
lock-time (bsc#1012628).
- drm/nouveau: Fix reference count leak in
nouveau_connector_detect (bsc#1012628).
- drm/nouveau: fix reference count leak in nv50_disp_atomic_commit
(bsc#1012628).
- drm/nouveau/drm/noveau: fix reference count leak in
nouveau_fbcon_open (bsc#1012628).
- f2fs: fix use-after-free issue (bsc#1012628).
- HID: quirks: add NOGET quirk for Logitech GROUP (bsc#1012628).
- ASoC: Intel: sof_sdw_rt711: remove properties in card remove
(bsc#1012628).
- cec-api: prevent leaking memory through hole in structure
(bsc#1012628).
- gpu: host1x: Put gather's BO on pinning error (bsc#1012628).
- ALSA: hda: Add support for Loongson 7A1000 controller
(bsc#1012628).
- mips/vdso: Fix resource leaks in genvdso.c (bsc#1012628).
- rtlwifi: rtl8192cu: Prevent leaking urb (bsc#1012628).
- ARM: dts: ls1021a: output PPS signal on FIPER2 (bsc#1012628).
- PCI: Fix pci_create_slot() reference count leak (bsc#1012628).
- omapfb: fix multiple reference count leaks due to
pm_runtime_get_sync (bsc#1012628).
- MIPS: KVM: Limit Trap-and-Emulate to MIPS32R2 only
(bsc#1012628).
- f2fs: fix error path in do_recover_data() (bsc#1012628).
- f2fs: remove write attribute of main_blkaddr sysfs node
(bsc#1012628).
- selftests/powerpc: Purge extra count_pmc() calls of ebb
selftests (bsc#1012628).
- scsi: target: Fix xcopy sess release leak (bsc#1012628).
- xfs: Don't allow logging of XFS_ISTALE inodes (bsc#1012628).
- scsi: lpfc: Fix shost refcount mismatch when deleting vport
(bsc#1012628).
- drm/amdgpu/pm: fix ref count leak when pm_runtime_get_sync fails
(bsc#1012628).
- drm/amdkfd: fix ref count leak when pm_runtime_get_sync fails
(bsc#1012628).
- drm/amdgpu/fence: fix ref count leak when pm_runtime_get_sync
fails (bsc#1012628).
- drm/amdgpu/display: fix ref count leak when pm_runtime_get_sync
fails (bsc#1012628).
- drm/amdgpu: fix ref count leak in amdgpu_display_crtc_set_config
(bsc#1012628).
- drm/amd/display: fix ref count leak in amdgpu_drm_ioctl
(bsc#1012628).
- drm/amdgpu: fix ref count leak in amdgpu_driver_open_kms
(bsc#1012628).
- drm/radeon: fix multiple reference count leak (bsc#1012628).
- drm/amdkfd: Fix reference count leaks (bsc#1012628).
- platform/chrome: cros_ec_sensorhub: Fix EC timestamp overflow
(bsc#1012628).
- iommu/iova: Don't BUG on invalid PFNs (bsc#1012628).
- mfd: intel-lpss: Add Intel Tiger Lake PCH-H PCI IDs
(bsc#1012628).
- scsi: target: tcmu: Fix crash on ARM during cmd completion
(bsc#1012628).
- staging: rts5208: fix memleaks on error handling paths in probe
(bsc#1012628).
- blktrace: ensure our debugfs dir exists (bsc#1012628).
- gcc-plugins/stackleak: Don't instrument itself (bsc#1012628).
- media: pci: ttpci: av7110: fix possible buffer overflow caused
by bad DMA value in debiirq() (bsc#1012628).
- powerpc/xive: Ignore kmemleak false positives (bsc#1012628).
- arm64: dts: qcom: msm8916: Pull down PDM GPIOs during sleep
(bsc#1012628).
- mfd: intel-lpss: Add Intel Emmitsburg PCH PCI IDs (bsc#1012628).
- ASoC: tegra: Fix reference count leaks (bsc#1012628).
- ASoC: img-parallel-out: Fix a reference count leak
(bsc#1012628).
- ASoC: img: Fix a reference count leak in img_i2s_in_set_fmt
(bsc#1012628).
- EDAC/mc: Call edac_inc_ue_error() before panic (bsc#1012628).
- drm/amdgpu: fix RAS memory leak in error case (bsc#1012628).
- ALSA: hda/hdmi: Use force connectivity quirk on another HP
desktop (bsc#1012628).
- ALSA: hda/realtek: Fix pin default on Intel NUC 8 Rugged
(bsc#1012628).
- ALSA: pci: delete repeated words in comments (bsc#1012628).
- ALSA: hda/hdmi: Add quirk to force connectivity (bsc#1012628).
- ASoC: intel/skl/hda - fix probe regression on systems without
i915 (bsc#1012628).
- khugepaged: khugepaged_test_exit() check mmget_still_valid()
(bsc#1012628).
- commit 6e8d15c
-------------------------------------------------------------------
Fri Sep 4 16:59:40 CEST 2020 - mkubecek@suse.cz
- net/packet: fix overflow in tpacket_rcv (CVE-2020-14386
bsc#1176069).
- commit eba56bd
-------------------------------------------------------------------
Mon Aug 31 07:23:19 CEST 2020 - mkubecek@suse.cz
- Update to 5.9-rc3
- eliminated 1 patch
- patches.suse/Revert-HID-usbhid-do-not-sleep-when-opening-device.patch
- update configs
- new config option:
- PPC_PROT_SAO_LPAR=n (ppc64 and ppc64le)
- commit 3cc13d8
-------------------------------------------------------------------
Sun Aug 30 22:44:37 CEST 2020 - mkubecek@suse.cz
- series.conf: whitespace cleanup
- commit efc1fed
-------------------------------------------------------------------
Fri Aug 28 19:19:49 CEST 2020 - msuchanek@suse.de
- obsolete_kmp: provide newer version than the obsoleted one
(boo#1170232).
- commit c5ecb27
-------------------------------------------------------------------
Fri Aug 28 10:13:28 CEST 2020 - tiwai@suse.de
- fs/cachefiles: Drop superfluous readpages aops NULL check
(bsc#1175245).
- commit e4cd10b
-------------------------------------------------------------------
Thu Aug 27 09:52:54 CEST 2020 - jslaby@suse.cz
- Linux 5.8.5 (bsc#1012628).
- binfmt_flat: revert "binfmt_flat: don't offset the data start"
(bsc#1012628).
- io_uring: fix missing ->mm on exit (bsc#1012628).
- netlink: fix state reallocation in policy export (bsc#1012628).
- ethtool: Don't omit the netlink reply if no features were
changed (bsc#1012628).
- ethtool: Account for hw_features in netlink interface
(bsc#1012628).
- ethtool: Fix preserving of wanted feature bits in netlink
interface (bsc#1012628).
- net: ena: Make missed_tx stat incremental (bsc#1012628).
- tipc: fix uninit skb->data in tipc_nl_compat_dumpit()
(bsc#1012628).
- tipc: call rcu_read_lock() in tipc_aead_encrypt_done()
(bsc#1012628).
- net/smc: Prevent kernel-infoleak in __smc_diag_dump()
(bsc#1012628).
- net: sctp: Fix negotiation of the number of data streams
(bsc#1012628).
- net/sched: act_ct: Fix skb double-free in
tcf_ct_handle_fragments() error flow (bsc#1012628).
- net: qrtr: fix usage of idr in port assignment to socket
(bsc#1012628).
- net: nexthop: don't allow empty NHA_GROUP (bsc#1012628).
- net: Fix potential wrong skb->protocol in skb_vlan_untag()
(bsc#1012628).
- gre6: Fix reception with IP6_TNL_F_RCV_DSCP_COPY (bsc#1012628).
- commit 4d7a832
-------------------------------------------------------------------
Thu Aug 27 09:48:20 CEST 2020 - mkubecek@suse.cz
- Update upstream reference:
patches.suse/Revert-HID-usbhid-do-not-sleep-when-opening-device.patch.
- commit 993f7ee
-------------------------------------------------------------------
Wed Aug 26 12:50:42 CEST 2020 - jslaby@suse.cz
- Linux 5.8.4 (bsc#1012628).
- drm/vgem: Replace opencoded version of drm_gem_dumb_map_offset()
(bsc#1012628).
- drm/panel-simple: Fix inverted V/H SYNC for Frida FRD350H54004
panel (bsc#1012628).
- drm/ast: Remove unused code paths for AST 1180 (bsc#1012628).
- drm/ast: Initialize DRAM type before posting GPU (bsc#1012628).
- khugepaged: adjust VM_BUG_ON_MM() in __khugepaged_enter()
(bsc#1012628).
- ALSA: hda: avoid reset of sdo_limit (bsc#1012628).
- ALSA: hda/realtek: Add quirk for Samsung Galaxy Flex Book
(bsc#1012628).
- ALSA: hda/realtek: Add quirk for Samsung Galaxy Book Ion
(bsc#1012628).
- can: j1939: transport: j1939_session_tx_dat(): fix
use-after-free read in j1939_tp_txtimer() (bsc#1012628).
- can: j1939: socket: j1939_sk_bind(): make sure ml_priv is
allocated (bsc#1012628).
- spi: Prevent adding devices below an unregistering controller
(bsc#1012628).
- io_uring: find and cancel head link async work on files exit
(bsc#1012628).
- mm/vunmap: add cond_resched() in vunmap_pmd_range (bsc#1012628).
- romfs: fix uninitialized memory leak in romfs_dev_read()
(bsc#1012628).
- kernel/relay.c: fix memleak on destroy relay channel
(bsc#1012628).
- uprobes: __replace_page() avoid BUG in munlock_vma_page()
(bsc#1012628).
- squashfs: avoid bio_alloc() failure with 1Mbyte blocks
(bsc#1012628 bsc#1175308).
- mm: include CMA pages in lowmem_reserve at boot (bsc#1012628).
- mm, page_alloc: fix core hung in free_pcppages_bulk()
(bsc#1012628).
- ASoC: amd: renoir: restore two more registers during resume
(bsc#1012628).
- RDMA/hfi1: Correct an interlock issue for TID RDMA WRITE request
(bsc#1012628).
- opp: Enable resources again if they were disabled earlier
(bsc#1012628).
- opp: Put opp table in dev_pm_opp_set_rate() for empty tables
(bsc#1012628).
- opp: Put opp table in dev_pm_opp_set_rate() if _set_opp_bw()
fails (bsc#1012628).
- ext4: do not block RWF_NOWAIT dio write on unallocated space
(bsc#1012628).
- ext4: fix checking of directory entry validity for inline
directories (bsc#1012628).
- jbd2: add the missing unlock_buffer() in the error path of
jbd2_write_superblock() (bsc#1012628).
- scsi: zfcp: Fix use-after-free in request timeout handlers
(bsc#1012628).
- selftests: kvm: Use a shorter encoding to clear RAX
(bsc#1012628).
- s390/pci: fix zpci_bus_link_virtfn() (bsc#1012628).
- s390/pci: re-introduce zpci_remove_device() (bsc#1012628).
- s390/pci: fix PF/VF linking on hot plug (bsc#1012628).
- s390/pci: ignore stale configuration request event
(bsc#1012628).
- mm/memory.c: skip spurious TLB flush for retried page fault
(bsc#1012628).
- drm: amdgpu: Use the correct size when allocating memory
(bsc#1012628).
- drm/amdgpu/display: use GFP_ATOMIC in
dcn20_validate_bandwidth_internal (bsc#1012628).
- drm/amd/display: Fix incorrect backlight register offset for
DCN (bsc#1012628).
- drm/amd/display: Fix EDID parsing after resume from suspend
(bsc#1012628).
- drm/amd/display: Blank stream before destroying HDCP session
(bsc#1012628).
- drm/amd/display: Fix DFPstate hang due to view port changed
(bsc#1012628).
- drm/amd/display: fix pow() crashing when given base 0
(bsc#1012628).
- drm/i915/pmu: Prefer drm_WARN_ON over WARN_ON (bsc#1012628).
- drm/i915: Provide the perf pmu.module (bsc#1012628).
- scsi: ufs: Add DELAY_BEFORE_LPM quirk for Micron devices
(bsc#1012628).
- scsi: target: tcmu: Fix crash in tcmu_flush_dcache_range on ARM
(bsc#1012628).
- media: budget-core: Improve exception handling in
budget_register() (bsc#1012628).
- media: coda: jpeg: add NULL check after kmalloc (bsc#1012628).
- f2fs: fix to check page dirty status before writeback
(bsc#1012628).
- rtc: goldfish: Enable interrupt in set_alarm() when necessary
(bsc#1012628).
- media: vpss: clean up resources in init (bsc#1012628).
- f2fs: should avoid inode eviction in synchronous path
(bsc#1012628).
- Input: psmouse - add a newline when printing 'proto' by sysfs
(bsc#1012628).
- MIPS: Fix unable to reserve memory for Crash kernel
(bsc#1012628).
- m68knommu: fix overwriting of bits in ColdFire V3 cache control
(bsc#1012628).
- svcrdma: Fix another Receive buffer leak (bsc#1012628).
- xfs: fix inode quota reservation checks (bsc#1012628).
- drm/ttm: fix offset in VMAs with a pg_offs in ttm_bo_vm_access
(bsc#1012628).
- riscv: Fixup static_obj() fail (bsc#1012628).
- jffs2: fix UAF problem (bsc#1012628).
- ceph: fix use-after-free for fsc->mdsc (bsc#1012628).
- swiotlb-xen: use vmalloc_to_page on vmalloc virt addresses
(bsc#1012628).
- cpufreq: intel_pstate: Fix cpuinfo_max_freq when
MSR_TURBO_RATIO_LIMIT is 0 (bsc#1012628).
- scsi: libfc: Free skb in fc_disc_gpn_id_resp() for valid cases
(bsc#1012628).
- virtio_ring: Avoid loop when vq is broken in virtqueue_poll
(bsc#1012628).
- media: camss: fix memory leaks on error handling paths in probe
(bsc#1012628).
- tools/testing/selftests/cgroup/cgroup_util.c: cg_read_strcmp:
fix null pointer dereference (bsc#1012628).
- xfs: Fix UBSAN null-ptr-deref in xfs_sysfs_init (bsc#1012628).
- alpha: fix annotation of io{read,write}{16,32}be()
(bsc#1012628).
- fat: fix fat_ra_init() for data clusters == 0 (bsc#1012628).
- fs/signalfd.c: fix inconsistent return codes for signalfd4
(bsc#1012628).
- ext4: fix potential negative array index in do_split()
(bsc#1012628).
- drm/virtio: fix missing dma_fence_put() in
virtio_gpu_execbuffer_ioctl() (bsc#1012628).
- ext4: don't allow overlapping system zones (bsc#1012628).
- ext4: check journal inode extents more carefully (bsc#1012628).
- netfilter: nf_tables: nft_exthdr: the presence return value
should be little-endian (bsc#1012628).
- spi: stm32: fixes suspend/resume management (bsc#1012628).
- ASoC: q6afe-dai: mark all widgets registers as SND_SOC_NOPM
(bsc#1012628).
- ASoC: q6routing: add dummy register read/write function
(bsc#1012628).
- tools/bpftool: Make skeleton code C++17-friendly by dropping
typeof() (bsc#1012628).
- bpf: sock_ops ctx access may stomp registers in corner case
(bsc#1012628).
- bpf: sock_ops sk access may stomp registers when dst_reg =
src_reg (bsc#1012628).
- libbpf: Fix BTF-defined map-in-map initialization on 32-bit
host arches (bsc#1012628).
- can: j1939: fix kernel-infoleak in j1939_sk_sock2sockaddr_can()
(bsc#1012628).
- can: j1939: transport: j1939_simple_recv(): ignore local J1939
messages send not by J1939 stack (bsc#1012628).
- can: j1939: transport: add j1939_session_skb_find_by_offset()
function (bsc#1012628).
- igc: Fix PTP initialization (bsc#1012628).
- i40e: Set RX_ONLY mode for unicast promiscuous on VLAN
(bsc#1012628).
- i40e: Fix crash during removing i40e driver (bsc#1012628).
- net: fec: correct the error path for regulator disable in probe
(bsc#1012628).
- bonding: show saner speed for broadcast mode (bsc#1012628).
- can: j1939: fix support for multipacket broadcast message
(bsc#1012628).
- can: j1939: cancel rxtimer on multipacket broadcast session
complete (bsc#1012628).
- can: j1939: abort multipacket broadcast session when timeout
occurs (bsc#1012628).
- can: j1939: add rxtimer for multipacket broadcast session
(bsc#1012628).
- bonding: fix a potential double-unregister (bsc#1012628).
- ipvlan: fix device features (bsc#1012628).
- s390/runtime_instrumentation: fix storage key handling
(bsc#1012628).
- s390/ptrace: fix storage key handling (bsc#1012628).
- ASoC: msm8916-wcd-analog: fix register Interrupt offset
(bsc#1012628).
- ASoC: intel: Fix memleak in sst_media_open (bsc#1012628).
- watch_queue: Limit the number of watches a user can hold
(bsc#1012628).
- vfio-pci: Avoid recursive read-lock usage (bsc#1012628).
- vfio/type1: Add proper error unwind for vfio_iommu_replay()
(bsc#1012628).
- arch/ia64: Restore arch-specific pgd_offset_k implementation
(bsc#1012628).
- kvm: x86: Toggling CR4.SMAP does not load PDPTEs in PAE mode
(bsc#1012628).
- kvm: x86: Toggling CR4.PKE does not load PDPTEs in PAE mode
(bsc#1012628).
- of/address: check for invalid range.cpu_addr (bsc#1012628).
- scsi: ufs: ti-j721e-ufs: Fix error return in
ti_j721e_ufs_probe() (bsc#1012628).
- scsi: ufs: Add quirk to fix mishandling utrlclr/utmrlclr
(bsc#1012628).
- scsi: ufs: Add quirk to disallow reset of interrupt aggregation
(bsc#1012628).
- scsi: ufs: Add quirk to enable host controller without hce
(bsc#1012628).
- scsi: ufs: Introduce UFSHCD_QUIRK_PRDT_BYTE_GRAN quirk
(bsc#1012628).
- scsi: ufs: Add quirk to fix abnormal ocs fatal error
(bsc#1012628).
- scsi: ufs-pci: Add quirk for broken auto-hibernate for Intel
EHL (bsc#1012628).
- scsi: ufs: Fix interrupt error message for shared interrupts
(bsc#1012628).
- Revert "scsi: qla2xxx: Disable T10-DIF feature with FC-NVMe
during probe" (bsc#1012628).
- kconfig: qconf: do not limit the pop-up menu to the first row
(bsc#1012628).
- kconfig: qconf: fix signal connection to invalid slots
(bsc#1012628).
- efi: avoid error message when booting under Xen (bsc#1012628).
- Fix build error when CONFIG_ACPI is not set/enabled:
(bsc#1012628).
- RDMA/bnxt_re: Do not add user qps to flushlist (bsc#1012628).
- Revert "RDMA/hns: Reserve one sge in order to avoid local
length error" (bsc#1012628).
- afs: Fix key ref leak in afs_put_operation() (bsc#1012628).
- kconfig: qconf: remove qInfo() to get back Qt4 support
(bsc#1012628).
- afs: Fix NULL deref in afs_dynroot_depopulate() (bsc#1012628).
- ARM64: vdso32: Install vdso32 from vdso_install (bsc#1012628).
- powerpc/fixmap: Fix the size of the early debug area
(bsc#1012628).
- bpf: Use get_file_rcu() instead of get_file() for task_file
iterator (bsc#1012628).
- powerpc/pseries/hotplug-cpu: wait indefinitely for vCPU death
(bsc#1012628).
- net: ena: Prevent reset after device destruction (bsc#1012628).
- net: ena: Change WARN_ON expression in ena_del_napi_in_range()
(bsc#1012628).
- net: gemini: Fix missing free_netdev() in error path of
gemini_ethernet_port_probe() (bsc#1012628).
- selftests/bpf: Remove test_align leftovers (bsc#1012628).
- hv_netvsc: Fix the queue_mapping in netvsc_vf_xmit()
(bsc#1012628).
- net: dsa: b53: check for timeout (bsc#1012628).
- epoll: Keep a reference on files added to the check list
(bsc#1012628).
- powerpc: Fix P10 PVR revision in /proc/cpuinfo for SMT4 cores
(bsc#1012628).
- powerpc/pseries: Do not initiate shutdown when system is
running on UPS (bsc#1012628).
- EDAC/{i7core,sb,pnd2,skx}: Fix error event severity
(bsc#1012628).
- efi/x86: Mark kernel rodata non-executable for mixed mode
(bsc#1012628).
- efi: add missed destroy_workqueue when efisubsys_init fails
(bsc#1012628).
- efi/libstub: Stop parsing arguments at "--" (bsc#1012628).
- efi/libstub: Handle NULL cmdline (bsc#1012628).
- efi/libstub: Handle unterminated cmdline (bsc#1012628).
- do_epoll_ctl(): clean the failure exits up a bit (bsc#1012628).
- KVM: Pass MMU notifier range flags to kvm_unmap_hva_range()
(bsc#1012628).
- KVM: arm64: Only reschedule if MMU_NOTIFIER_RANGE_BLOCKABLE
is not set (bsc#1012628).
- Revert "drm/amd/display: Improve DisplayPort monitor interop"
(bsc#1012628).
- Delete
patches.suse/squashfs-avoid-bio_alloc-failure-with-1Mbyte-blocks.patch.
- Update config files.
- commit 64fe492
-------------------------------------------------------------------
Wed Aug 26 12:44:06 CEST 2020 - alnovak@suse.cz
- Mark the kernel properly released.
There perhaps was a typo, when SUSE_KERNEL_RELEASED missed the trailing
"D" - this leads to our kernels being marked as "Unreleased kernel".
SUSE_KERNEL_RELEASED is defined in rpm/kernel-binary.spec.in.
To fix that, it should be enough to switch from SUSE_KERNEL_RELEASE to
SUSE_KERNEL_RELEASED.
- commit 1a2deff
-------------------------------------------------------------------
Tue Aug 25 08:12:56 CEST 2020 - jslaby@suse.cz
- Update
patches.suse/btrfs-btrfs-use-the-new-VFS-super_block_dev.patch
(bsc#865869 bsc#1175657).
Add the second bsc reference.
- commit fb64fba
-------------------------------------------------------------------
Mon Aug 24 20:02:21 CEST 2020 - mkubecek@suse.cz
- Revert "HID: usbhid: do not sleep when opening device".
- commit 4229f31
-------------------------------------------------------------------
Mon Aug 24 15:02:20 CEST 2020 - wqu@suse.com
- Refresh
patches.suse/btrfs-btrfs-use-the-new-VFS-super_block_dev.patch.
- commit 31073f8
-------------------------------------------------------------------
Mon Aug 24 14:57:08 CEST 2020 - wqu@suse.com
- Refresh
patches.suse/btrfs-btrfs-use-the-new-VFS-super_block_dev.patch.
- commit 74987e4
-------------------------------------------------------------------
Mon Aug 24 06:49:26 CEST 2020 - mkubecek@suse.cz
- Update to 5.9-rc2
- eliminated 1 patch
- patches.suse/squashfs-avoid-bio_alloc-failure-with-1Mbyte-blocks.patch
- refresh configs
- commit 7bec2d7
-------------------------------------------------------------------
Sun Aug 23 10:12:27 CEST 2020 - mkubecek@suse.cz
- series.conf: cleanup
Move an "almost mainline" patch to "almost mainline" section.
- commit 4e4b6b0
-------------------------------------------------------------------
Fri Aug 21 20:20:08 CEST 2020 - jslaby@suse.cz
- Linux 5.8.3 (bsc#1012628).
- smb3: warn on confusing error scenario with sec=krb5
(bsc#1012628).
- SMB3: Fix mkdir when idsfromsid configured on mount
(bsc#1012628).
- genirq/affinity: Make affinity setting if activated opt-in
(bsc#1012628).
- genirq: Unlock irq descriptor after errors (bsc#1012628).
- genirq/PM: Always unlock IRQ descriptor in rearm_wake_irq()
(bsc#1012628).
- PCI: hotplug: ACPI: Fix context refcounting in
acpiphp_grab_context() (bsc#1012628).
- PCI/ATS: Add pci_pri_supported() to check device or associated
PF (bsc#1012628).
- PCI: Mark AMD Navi10 GPU rev 0x00 ATS as broken (bsc#1012628).
- PCI: Add device even if driver attach failed (bsc#1012628).
- PCI: qcom: Define some PARF params needed for ipq8064 SoC
(bsc#1012628).
- PCI: qcom: Add support for tx term offset for rev 2.1.0
(bsc#1012628).
- btrfs: allow use of global block reserve for balance item
deletion (bsc#1012628).
- btrfs: free anon block device right after subvolume deletion
(bsc#1012628).
- btrfs: don't allocate anonymous block device for user invisible
roots (bsc#1012628).
- btrfs: preallocate anon block device at first phase of snapshot
creation (bsc#1012628).
- btrfs: ref-verify: fix memory leak in add_block_entry
(bsc#1012628).
- btrfs: only commit the delayed inode when doing a full fsync
(bsc#1012628).
- btrfs: stop incremening log_batch for the log root tree when
syncing log (bsc#1012628).
- btrfs: only commit delayed items at fsync if we are logging
a directory (bsc#1012628).
- btrfs: remove no longer needed use of log_writers for the log
root tree (bsc#1012628).
- btrfs: don't traverse into the seed devices in show_devname
(bsc#1012628).
- btrfs: pass checksum type via BTRFS_IOC_FS_INFO ioctl
(bsc#1012628).
- btrfs: open device without device_list_mutex (bsc#1012628).
- btrfs: move the chunk_mutex in btrfs_read_chunk_tree
(bsc#1012628).
- btrfs: relocation: review the call sites which can be
interrupted by signal (bsc#1012628).
- btrfs: add missing check for nocow and compression inode flags
(bsc#1012628).
- btrfs: avoid possible signal interruption of
btrfs_drop_snapshot() on relocation tree (bsc#1012628).
- btrfs: return EROFS for BTRFS_FS_STATE_ERROR cases
(bsc#1012628).
- btrfs: sysfs: use NOFS for device creation (bsc#1012628).
- btrfs: don't WARN if we abort a transaction with EROFS
(bsc#1012628).
- btrfs: fix race between page release and a fast fsync
(bsc#1012628).
- btrfs: don't show full path of bind mounts in subvol=
(bsc#1012628).
- btrfs: fix messages after changing compression level by remount
(bsc#1012628).
- btrfs: only search for left_info if there is no right_info in
try_merge_free_space (bsc#1012628).
- btrfs: inode: fix NULL pointer dereference if inode doesn't
need compression (bsc#1012628).
- btrfs: fix memory leaks after failure to lookup checksums
during inode logging (bsc#1012628).
- btrfs: trim: fix underflow in trim length to prevent access
beyond device boundary (bsc#1012628).
- btrfs: make sure SB_I_VERSION doesn't get unset by remount
(bsc#1012628).
- btrfs: fix return value mixup in btrfs_get_extent (bsc#1012628).
- btrfs: check correct variable after allocation in
btrfs_backref_iter_alloc (bsc#1012628).
- arm64: dts: qcom: sc7180: Drop the unused non-MSA SID
(bsc#1012628).
- arm64: perf: Correct the event index in sysfs (bsc#1012628).
- dt-bindings: iio: io-channel-mux: Fix compatible string in
example code (bsc#1012628).
- iio: dac: ad5592r: fix unbalanced mutex unlocks in
ad5592r_read_raw() (bsc#1012628).
- iio: imu: st_lsm6dsx: reset hw ts after resume (bsc#1012628).
- xtensa: add missing exclusive access state management
(bsc#1012628).
- xtensa: fix xtensa_pmu_setup prototype (bsc#1012628).
- cifs: Fix leak when handling lease break for cached root fid
(bsc#1012628).
- powerpc/ptdump: Fix build failure in hashpagetable.c
(bsc#1012628).
- powerpc: Allow 4224 bytes of stack expansion for the signal
frame (bsc#1012628).
- powerpc: Fix circular dependency between percpu.h and mmu.h
(bsc#1012628).
- pinctrl: ingenic: Enhance support for IRQ_TYPE_EDGE_BOTH
(bsc#1012628).
- pinctrl: ingenic: Properly detect GPIO direction when configured
for IRQ (bsc#1012628).
- media: venus: fix multiple encoder crash (bsc#1012628).
- media: vsp1: dl: Fix NULL pointer dereference on unbind
(bsc#1012628).
- net: ethernet: stmmac: Disable hardware multicast filter
(bsc#1012628).
- net: stmmac: dwmac1000: provide multicast filter fallback
(bsc#1012628).
- irqchip/loongson-liointc: Fix misuse of gc->mask_cache
(bsc#1012628).
- irqchip/gic-v4.1: Ensure accessing the correct RD when writing
INVALLR (bsc#1012628).
- pidfd: Add missing sock updates for pidfd_getfd() (bsc#1012628).
- net/compat: Add missing sock updates for SCM_RIGHTS
(bsc#1012628).
- selftests/seccomp: Set NNP for TSYNC ESRCH flag test
(bsc#1012628).
- md/raid5: Fix Force reconstruct-write io stuck in degraded raid5
(bsc#1012628).
- bcache: allocate meta data pages as compound pages
(bsc#1012628).
- bcache: fix overflow in offset_to_stripe() (bsc#1012628).
- bcache: avoid nr_stripes overflow in bcache_device_init()
(bsc#1012628).
- bcache: fix bio_{start,end}_io_acct with proper device
(bsc#1012628).
- bcache: use disk_{start,end}_io_acct() to count I/O for bcache
device (bsc#1012628).
- mac80211: fix misplaced while instead of if (bsc#1012628).
- appletalk: Fix atalk_proc_init() return path (bsc#1012628).
- driver core: Avoid binding drivers to dead devices
(bsc#1012628).
- MIPS: CPU#0 is not hotpluggable (bsc#1012628).
- MIPS: qi_lb60: Fix routing to audio amplifier (bsc#1012628).
- MIPS: SGI-IP27: always enable NUMA in Kconfig (bsc#1012628).
- ext2: fix missing percpu_counter_inc (bsc#1012628).
- khugepaged: collapse_pte_mapped_thp() flush the right range
(bsc#1012628).
- mm/hugetlb: fix calculation of
adjust_range_if_pmd_sharing_possible (bsc#1012628).
- khugepaged: collapse_pte_mapped_thp() protect the pmd lock
(bsc#1012628).
- khugepaged: retract_page_tables() remember to test exit
(bsc#1012628).
- hugetlbfs: remove call to huge_pte_alloc without i_mmap_rwsem
(bsc#1012628).
- mm/shuffle: don't move pages between zones and don't read
garbage memmaps (bsc#1012628).
- mm: fix kthread_use_mm() vs TLB invalidate (bsc#1012628).
- ocfs2: change slot number type s16 to u16 (bsc#1012628).
- mm/page_counter.c: fix protection usage propagation
(bsc#1012628).
- cma: don't quit at first error when activating reserved areas
(bsc#1012628).
- mm/memory_hotplug: fix unpaired mem_hotplug_begin/done
(bsc#1012628).
- ftrace: Setup correct FTRACE_FL_REGS flags for module
(bsc#1012628).
- kprobes: Fix NULL pointer dereference at kprobe_ftrace_handler
(bsc#1012628).
- tracing/hwlat: Honor the tracing_cpumask (bsc#1012628).
- tracing: Use trace_sched_process_free() instead of exit()
for pid tracing (bsc#1012628).
- watchdog: f71808e_wdt: indicate WDIOF_CARDRESET support in
watchdog_info.options (bsc#1012628).
- watchdog: f71808e_wdt: remove use of wrong watchdog_info option
(bsc#1012628).
- watchdog: f71808e_wdt: clear watchdog timeout occurred flag
(bsc#1012628).
- ceph: set sec_context xattr on symlink creation (bsc#1012628).
- ceph: handle zero-length feature mask in session messages
(bsc#1012628).
- pseries: Fix 64 bit logical memory block panic (bsc#1012628).
- dm ebs: Fix incorrect checking for REQ_OP_FLUSH (bsc#1012628).
- dm: don't call report zones for more than the user requested
(bsc#1012628).
- module: Correctly truncate sysfs sections output (bsc#1012628).
- bootconfig: Fix to find the initargs correctly (bsc#1012628).
- perf probe: Fix wrong variable warning when the probe point
is not found (bsc#1012628).
- perf probe: Fix memory leakage when the probe point is not found
(bsc#1012628).
- perf intel-pt: Fix FUP packet state (bsc#1012628).
- perf intel-pt: Fix duplicate branch after CBR (bsc#1012628).
- gfs2: Fix refcount leak in gfs2_glock_poke (bsc#1012628).
- gfs2: Never call gfs2_block_zero_range with an open transaction
(bsc#1012628).
- remoteproc: qcom: q6v5: Update running state before requesting
stop (bsc#1012628).
- remoteproc: qcom_q6v5_mss: Validate MBA firmware size before
load (bsc#1012628).
- remoteproc: qcom_q6v5_mss: Validate modem blob firmware size
before load (bsc#1012628).
- libnvdimm: Validate command family indices (bsc#1012628).
- drm/imx: imx-ldb: Disable both channels for split mode in
enc->disable() (bsc#1012628).
- drm/ingenic: Fix incorrect assumption about plane->index
(bsc#1012628).
- crypto: algif_aead - Only wake up when ctx->more is zero
(bsc#1012628).
- mfd: arizona: Ensure 32k clock is put on driver unbind and error
(bsc#1012628).
- octeontx2-af: change (struct qmem)->entry_sz from u8 to u16
(bsc#1012628).
- mtd: rawnand: fsl_upm: Remove unused mtd var (bsc#1012628).
- mtd: rawnand: brcmnand: ECC error handling on EDU transfers
(bsc#1012628).
- platform/chrome: cros_ec_ishtp: Fix a double-unlock issue
(bsc#1012628).
- drm/amdgpu/debugfs: fix memory leak when pm_runtime_get_sync
failed (bsc#1012628).
- RDMA/ipoib: Return void from ipoib_ib_dev_stop() (bsc#1012628).
- RDMA/ipoib: Fix ABBA deadlock with ipoib_reap_ah()
(bsc#1012628).
- rtc: cpcap: fix range (bsc#1012628).
- media: staging: rkisp1: remove macro RKISP1_DIR_SINK_SRC
(bsc#1012628).
- media: staging: rkisp1: rename macros 'RKISP1_DIR_*' to
'RKISP1_ISP_SD_*' (bsc#1012628).
- media: staging: rkisp1: rsz: set default format if the given
format is not RKISP1_ISP_SD_SRC (bsc#1012628).
- media: rockchip: rga: Introduce color fmt macros and refactor
CSC mode logic (bsc#1012628).
- media: rockchip: rga: Only set output CSC mode for RGB input
(bsc#1012628).
- IB/uverbs: Set IOVA on IB MR in uverbs layer (bsc#1012628).
- sched/uclamp: Protect uclamp fast path code with static key
(bsc#1012628).
- selftests/bpf: Test_progs indicate to shell on non-actions
(bsc#1012628).
- bpf: selftests: Restore netns after each test (bsc#1012628).
- selftests/bpf: test_progs use another shell exit on non-actions
(bsc#1012628).
- selftests/bpf: test_progs avoid minus shell exit codes
(bsc#1012628).
- USB: serial: ftdi_sio: make process-packet buffer unsigned
(bsc#1012628).
- USB: serial: ftdi_sio: clean up receive processing
(bsc#1012628).
- crypto: af_alg - Fix regression on empty requests (bsc#1012628).
- devres: keep both device name and resource name in pretty name
(bsc#1012628).
- RDMA/counter: Only bind user QPs in auto mode (bsc#1012628).
- RDMA/counter: Allow manually bind QPs with different pids to
same counter (bsc#1012628).
- mmc: renesas_sdhi_internal_dmac: clean up the code for dma
complete (bsc#1012628).
- rtw88: pci: disable aspm for platform inter-op with module
parameter (bsc#1012628).
- rtc: pl031: fix set_alarm by adding back call to
alarm_irq_enable (bsc#1012628).
- crypto: caam - Remove broken arc4 support (bsc#1012628).
- gpu: ipu-v3: image-convert: Combine rotate/no-rotate irq
handlers (bsc#1012628).
- gpu: ipu-v3: image-convert: Wait for all EOFs before completing
a tile (bsc#1012628).
- dm rq: don't call blk_mq_queue_stopped() in dm_stop_queue()
(bsc#1012628).
- ima: Fail rule parsing when appraise_flag=blacklist is
unsupportable (bsc#1012628).
- f2fs: compress: fix to avoid memory leak on cc->cpages
(bsc#1012628).
- clk: actions: Fix h_clk for Actions S500 SoC (bsc#1012628).
- selftests/powerpc: ptrace-pkey: Rename variables to make it
easier to follow code (bsc#1012628).
- selftests/powerpc: ptrace-pkey: Update the test to mark an
invalid pkey correctly (bsc#1012628).
- selftests/powerpc: ptrace-pkey: Don't update expected UAMOR
value (bsc#1012628).
- iommu/omap: Check for failure of a call to omap_iommu_dump_ctx
(bsc#1012628).
- clk: qcom: gcc: fix sm8150 GPU and NPU clocks (bsc#1012628).
- clk: qcom: clk-alpha-pll: remove unused/incorrect PLL_CAL_VAL
(bsc#1012628).
- iommu/vt-d: Handle non-page aligned address (bsc#1012628).
- iommu/vt-d: Enforce PASID devTLB field mask (bsc#1012628).
- iommu/vt-d: Warn on out-of-range invalidation address
(bsc#1012628).
- iommu/vt-d: Disable multiple GPASID-dev bind (bsc#1012628).
- i2c: rcar: slave: only send STOP event when we have been
addressed (bsc#1012628).
- f2fs: compress: fix to update isize when overwriting compressed
file (bsc#1012628).
- clk: qcom: gcc-sdm660: Fix up gcc_mss_mnoc_bimc_axi_clk
(bsc#1012628).
- clk: clk-atlas6: fix return value check in atlas6_clk_init()
(bsc#1012628).
- nvme: fix deadlock in disconnect during scan_work and/or
ana_work (bsc#1012628).
- sched/uclamp: Fix a deadlock when enabling uclamp static key
(bsc#1012628).
- pwm: bcm-iproc: handle clk_get_rate() return (bsc#1012628).
- perf tools: Fix term parsing for raw syntax (bsc#1012628).
- tools build feature: Use CC and CXX from parent (bsc#1012628).
- i2c: rcar: avoid race when unregistering slave (bsc#1012628).
- nfs: ensure correct writeback errors are returned on close()
(bsc#1012628).
- ubi: fastmap: Don't produce the initial next anchor PEB when
fastmap is disabled (bsc#1012628).
- ubi: fastmap: Free fastmap next anchor peb during detach
(bsc#1012628).
- ubifs: Fix wrong orphan node deletion in ubifs_jnl_update|rename
(bsc#1012628).
- clk: hsdk: Fix bad dependency on IOMEM (bsc#1012628).
- libnvdimm/security: fix a typo (bsc#1012628).
- libnvdimm/security: ensure sysfs poll thread woke up and fetch
updated attr (bsc#1012628).
- openrisc: Fix oops caused when dumping stack (bsc#1012628).
- perf evsel: Don't set sample_regs_intr/sample_regs_user for
dummy event (bsc#1012628).
- scsi: lpfc: nvmet: Avoid hang / use-after-free again when
destroying targetport (bsc#1012628).
- nfs: nfs_file_write() should check for writeback errors
(bsc#1012628).
- watchdog: initialize device before misc_register (bsc#1012628).
- watchdog: rti-wdt: balance pm runtime enable calls
(bsc#1012628).
- md-cluster: Fix potential error pointer dereference in
resize_bitmaps() (bsc#1012628).
- kprobes: Fix compiler warning for !CONFIG_KPROBES_ON_FTRACE
(bsc#1012628).
- x86/tsr: Fix tsc frequency enumeration bug on Lightning Mountain
SoC (bsc#1012628).
- x86/bugs/multihit: Fix mitigation reporting when VMX is not
in use (bsc#1012628).
- selftests/bpf: Prevent runqslower from racing on building
bpftool (bsc#1012628).
- Input: sentelic - fix error return when fsp_reg_write fails
(bsc#1012628).
- perf record: Skip side-band event setup if HAVE_LIBBPF_SUPPORT
is not set (bsc#1012628).
- selftests/bpf: Fix silent Makefile output (bsc#1012628).
- vdpa: Fix pointer math bug in vdpasim_get_config()
(bsc#1012628).
- drm/vmwgfx: Use correct vmw_legacy_display_unit pointer
(bsc#1012628).
- drm/vmwgfx: Fix two list_for_each loop exit tests (bsc#1012628).
- s390/test_unwind: fix possible memleak in test_unwind()
(bsc#1012628).
- s390/Kconfig: add missing ZCRYPT dependency to VFIO_AP
(bsc#1012628).
- net: qcom/emac: add missed clk_disable_unprepare in error path
of emac_clks_phase1_init (bsc#1012628).
- nfs: Fix getxattr kernel panic and memory overflow
(bsc#1012628).
- NFS: Fix flexfiles read failover (bsc#1012628).
- lib/test_lockup.c: fix return value of test_lockup_init()
(bsc#1012628).
- fs/minix: set s_maxbytes correctly (bsc#1012628).
- fs/minix: fix block limit check for V1 filesystems
(bsc#1012628).
- fs/minix: remove expected error message in block_to_path()
(bsc#1012628).
- fs/ufs: avoid potential u32 multiplication overflow
(bsc#1012628).
- test_kmod: avoid potential double free in
trigger_config_run_type() (bsc#1012628).
- i2c: iproc: fix race between client unreg and isr (bsc#1012628).
- mfd: dln2: Run event handler loop under spinlock (bsc#1012628).
- crypto: algif_aead - fix uninitialized ctx->init (bsc#1012628).
- ALSA: echoaudio: Fix potential Oops in snd_echo_resume()
(bsc#1012628).
- perf bench mem: Always memset source before memcpy
(bsc#1012628).
- tools build feature: Quote CC and CXX for their arguments
(bsc#1012628).
- perf/x86/rapl: Fix missing psys sysfs attributes (bsc#1012628).
- sh: landisk: Add missing initialization of sh_io_port_base
(bsc#1012628).
- sh: fault: Fix duplicate printing of "PC:" (bsc#1012628).
- drm/i915/gt: Force the GT reset on shutdown (bsc#1012628).
- drm/panfrost: Use kvfree() to free bo->sgts (bsc#1012628).
- drm/dp_mst: Fix the DDC I2C device registration of an MST port
(bsc#1012628).
- drm/dp_mst: Fix timeout handling of MST down messages
(bsc#1012628).
- drm/dp_mst: Fix the DDC I2C device unregistration of an MST port
(bsc#1012628).
- drm/omap: force runtime PM suspend on system suspend
(bsc#1012628).
- drm/tidss: fix modeset init for DPI panels (bsc#1012628).
- drm: Added orientation quirk for ASUS tablet model T103HAF
(bsc#1012628).
- drm: fix drm_dp_mst_port refcount leaks in
drm_dp_mst_allocate_vcpi (bsc#1012628).
- drm/amdgpu: Fix bug where DPM is not enabled after hibernate
and resume (bsc#1012628).
- drm/amd/display: Fix dmesg warning from setting abm level
(bsc#1012628).
- drm/amd/display: dchubbub p-state warning during surface planes
switch (bsc#1012628).
- Disable patches.suse/btrfs-btrfs-use-the-new-VFS-super_block_dev.patch
(does not build).
- Update config files.
- commit bad027a
-------------------------------------------------------------------
Fri Aug 21 12:16:12 CEST 2020 - jwiesner@suse.com
- bonding: fix active-backup failover for current ARP slave
(bsc#1174771).
- commit 1874dbd
-------------------------------------------------------------------
Thu Aug 20 08:39:02 CEST 2020 - jslaby@suse.cz
- drm/virtio: fix unblank (make virtio gpu work again).
- commit 42af09b
-------------------------------------------------------------------
Wed Aug 19 11:43:13 CEST 2020 - jslaby@suse.cz
- recordmcount: Fix build failure on non arm64 (git-fixes).
- ALSA: hda/realtek - Fix unused variable warning (git-fixes).
- vdpa_sim: init iommu lock (git-fixes).
- commit 71b519a
-------------------------------------------------------------------
Wed Aug 19 11:24:44 CEST 2020 - nstange@suse.de
- rpm/kernel-binary.spec.in: restrict livepatch metapackage to default flavor
It has been reported that the kernel-*-livepatch metapackage got
erroneously enabled for SLE15-SP3's new -preempt flavor, leading to a
unresolvable dependency to a non-existing kernel-livepatch-x.y.z-preempt
package.
As SLE12 and SLE12-SP1 have run out of livepatching support, the need to
build said metapackage for the -xen flavor is gone and the only remaining
flavor for which they're still wanted is -default.
Restrict the build of the kernel-*-livepatch metapackage to the -default
flavor.
- commit 58949f3
-------------------------------------------------------------------
Wed Aug 19 11:19:42 CEST 2020 - jslaby@suse.cz
- Update config files.
Only gcc+ld texts updated.
- commit b1b5b8e
-------------------------------------------------------------------
Wed Aug 19 08:59:35 CEST 2020 - jslaby@suse.cz
- Linux 5.8.2 (bnc#1012628).
- tracepoint: Mark __tracepoint_string's __used (bnc#1012628).
- kunit: capture stderr on all make subprocess calls
(bnc#1012628).
- io_uring: abstract out task work running (bnc#1012628).
- HID: input: Fix devices that return multiple bytes in battery
report (bnc#1012628).
- ARM: dts: stm32: fix uart nodes ordering in stm32mp15-pinctrl
(bnc#1012628).
- ARM: dts: stm32: fix uart7_pins_a comments in stm32mp15-pinctrl
(bnc#1012628).
- x86/mce/inject: Fix a wrong assignment of i_mce.status
(bnc#1012628).
- perf/x86/intel/uncore: Fix oops when counting IMC uncore events
on some TGL (bnc#1012628).
- x86, sched: check for counters overflow in frequency invariant
accounting (bnc#1012628).
- x86, sched: Bail out of frequency invariance if turbo frequency
is unknown (bnc#1012628).
- x86, sched: Bail out of frequency invariance if
turbo_freq/base_freq gives 0 (bnc#1012628).
- sched/fair: Fix NOHZ next idle balance (bnc#1012628).
- sched: correct SD_flags returned by tl->sd_flags()
(bnc#1012628).
- arm64: dts: rockchip: fix rk3368-lion gmac reset gpio
(bnc#1012628).
- arm64: dts: rockchip: fix rk3399-puma vcc5v0-host gpio
(bnc#1012628).
- arm64: dts: rockchip: fix rk3399-puma gmac reset gpio
(bnc#1012628).
- EDAC: Fix reference count leaks (bnc#1012628).
- crc-t10dif: Fix potential crypto notify dead-lock (bnc#1012628).
- arm64: dts: qcom: msm8916: Replace invalid bias-pull-none
property (bnc#1012628).
- memory: tegra: Fix an error handling path in
tegra186_emc_probe() (bnc#1012628).
- blktrace: fix debugfs use after free (bnc#1012628).
- soc: qcom: rpmh-rsc: Don't use ktime for timeout in
write_tcs_reg_sync() (bnc#1012628).
- crypto: ccree - fix resource leak on error path (bnc#1012628).
- ARM: exynos: MCPM: Restore big.LITTLE cpuidle support
(bnc#1012628).
- rcu/tree: Repeat the monitor if any free channel is busy
(bnc#1012628).
- firmware: arm_scmi: Fix SCMI genpd domain probing (bnc#1012628).
- arm64: dts: sun50i-pinephone: dldo4 must not be >= 1.8V
(bnc#1012628).
- arm64: dts: exynos: Fix silent hang after boot on Espresso
(bnc#1012628).
- sched/uclamp: Fix initialization of struct uclamp_rq
(bnc#1012628).
- crypto: qat - allow xts requests not multiple of block
(bnc#1012628).
- clk: scmi: Fix min and max rate when registering clocks with
discrete rates (bnc#1012628).
- m68k: mac: Don't send IOP message until channel is idle
(bnc#1012628).
- m68k: mac: Fix IOP status/control register writes (bnc#1012628).
- platform/x86: intel-hid: Fix return value check in
check_acpi_dev() (bnc#1012628).
- platform/x86: intel-vbtn: Fix return value check in
check_acpi_dev() (bnc#1012628).
- ARM: dts: gose: Fix ports node name for adv7180 (bnc#1012628).
- arm64: dts: renesas: Fix SD Card/eMMC interface device node
names (bnc#1012628).
- ARM: dts: gose: Fix ports node name for adv7612 (bnc#1012628).
- ARM: at91: pm: add missing put_device() call in
at91_pm_sram_init() (bnc#1012628).
- ARM: dts: exynos: Disable frequency scaling for FSYS bus on
Odroid XU3 family (bnc#1012628).
- reset: intel: fix a compile warning about REG_OFFSET redefined
(bnc#1012628).
- ARM: dts: at91: sama5d3_xplained: change phy-mode (bnc#1012628).
- ARM: dts: sunxi: bananapi-m2-plus-v1.2: Add regulator supply
to all CPU cores (bnc#1012628).
- ARM: dts: sunxi: bananapi-m2-plus-v1.2: Fix CPU supply voltages
(bnc#1012628).
- ARM: dts: stm32: Fix spi4 pins in stm32mp15-pinctrl
(bnc#1012628).
- spi: dw-dma: Fix Tx DMA channel working too fast (bnc#1012628).
- spi: lantiq: fix: Rx overflow error in full duplex mode
(bnc#1012628).
- crypto: x86/crc32c - fix building with clang ias (bnc#1012628).
- tpm: Require that all digests are present in TCG_PCR_EVENT2
structures (bnc#1012628).
- recordmcount: only record relocation of type R_AARCH64_CALL26
on arm64 (bnc#1012628).
- regulator: fix memory leak on error path of regulator_register()
(bnc#1012628).
- io_uring: fix sq array offset calculation (bnc#1012628).
- arm64: dts: meson: misc fixups for w400 dtsi (bnc#1012628).
- arm64: dts: meson: fix mmc0 tuning error on Khadas VIM3
(bnc#1012628).
- soc: qcom: pdr: Reorder the PD state indication ack
(bnc#1012628).
- spi: rockchip: Fix error in SPI slave pio read (bnc#1012628).
- ARM: socfpga: PM: add missing put_device() call in
socfpga_setup_ocram_self_refresh() (bnc#1012628).
- iocost: Fix check condition of iocg abs_vdebt (bnc#1012628).
- scripts/selinux/mdp: fix initial SID handling (bnc#1012628).
- irqchip/ti-sci-inta: Fix return value about
devm_ioremap_resource() (bnc#1012628).
- seccomp: Fix ioctl number for SECCOMP_IOCTL_NOTIF_ID_VALID
(bnc#1012628).
- md: raid0/linear: fix dereference before null check on pointer
mddev (bnc#1012628).
- irqchip/loongson-htvec: Fix potential resource leak
(bnc#1012628).
- irqchip/loongson-htvec: Check return value of
irq_domain_translate_onecell() (bnc#1012628).
- irqchip/loongson-pch-pic: Check return value of
irq_domain_translate_twocell() (bnc#1012628).
- irqchip/loongson-liointc: Fix potential dead lock (bnc#1012628).
- kunit: tool: fix broken default args in unit tests
(bnc#1012628).
- kunit: tool: fix improper treatment of file location
(bnc#1012628).
- irqchip/irq-bcm7038-l1: Guard uses of cpu_logical_map
(bnc#1012628).
- irqchip/gic-v4.1: Use GFP_ATOMIC flag in allocate_vpe_l1_table()
(bnc#1012628).
- nvme-tcp: fix controller reset hang during traffic
(bnc#1012628).
- nvme-rdma: fix controller reset hang during traffic
(bnc#1012628).
- nvme-multipath: fix logic for non-optimized paths (bnc#1012628).
- nvme-multipath: do not fall back to __nvme_find_path() for
non-optimized paths (bnc#1012628).
- irqchip/loongson-pch-pic: Fix the misused irq flow handler
(bnc#1012628).
- block: don't do revalidate zones on invalid devices
(bnc#1012628).
- drm/tilcdc: fix leak & null ref in panel_connector_get_modes
(bnc#1012628).
- soc: qcom: rpmh-rsc: Set suppress_bind_attrs flag (bnc#1012628).
- net: mscc: ocelot: fix encoding destination ports into multicast
IPv4 address (bnc#1012628).
- ARM: exynos: clear L310_AUX_CTRL_FULL_LINE_ZERO in default
l2c_aux_val (bnc#1012628).
- Bluetooth: add a mutex lock to avoid UAF in do_enale_set
(bnc#1012628).
- loop: be paranoid on exit and prevent new additions / removals
(bnc#1012628).
- io_uring: fix req->work corruption (bnc#1012628).
- fs/btrfs: Add cond_resched() for try_release_extent_mapping()
stalls (bnc#1012628).
- drm/amdgpu: avoid dereferencing a NULL pointer (bnc#1012628).
- drm/radeon: Fix reference count leaks caused by
pm_runtime_get_sync (bnc#1012628).
- crypto: aesni - Fix build with LLVM_IAS=1 (bnc#1012628).
- video: fbdev: savage: fix memory leak on error handling path
in probe (bnc#1012628).
- video: fbdev: neofb: fix memory leak in neo_scan_monitor()
(bnc#1012628).
- bus: ti-sysc: Add missing quirk flags for usb_host_hs
(bnc#1012628).
- md-cluster: fix wild pointer of unlock_all_bitmaps()
(bnc#1012628).
- rtw88: 8822ce: add support for device ID 0xc82f (bnc#1012628).
- drm/nouveau/kms/nv50-: Fix disabling dithering (bnc#1012628).
- arm64: dts: hisilicon: hikey: fixes to comply with adi,
adv7533 DT binding (bnc#1012628).
- drm/etnaviv: fix ref count leak via pm_runtime_get_sync
(bnc#1012628).
- ionic: rearrange reset and bus-master control (bnc#1012628).
- memory: samsung: exynos5422-dmc: Do not ignore return code of
regmap_read() (bnc#1012628).
- drm/nouveau: fix reference count leak in
nouveau_debugfs_strap_peek (bnc#1012628).
- drm/nouveau: fix multiple instances of reference count leaks
(bnc#1012628).
- mmc: sdhci-cadence: do not use hardware tuning for SD mode
(bnc#1012628).
- btrfs: fix lockdep splat from btrfs_dump_space_info
(bnc#1012628).
- usb: mtu3: clear dual mode of u3port when disable device
(bnc#1012628).
- drm: msm: a6xx: fix gpu failure after system resume
(bnc#1012628).
- drm/msm: Fix a null pointer access in msm_gem_shrinker_count()
(bnc#1012628).
- drm/debugfs: fix plain echo to connector "force" attribute
(bnc#1012628).
- drm/radeon: disable AGP by default (bnc#1012628).
- net: phy: mscc: restore the base page in
vsc8514/8584_config_init (bnc#1012628).
- irqchip/irq-mtk-sysirq: Replace spinlock with raw_spinlock
(bnc#1012628).
- mm/mmap.c: Add cond_resched() for exit_mmap() CPU stalls
(bnc#1012628).
- drm/amd/display: Improve DisplayPort monitor interop
(bnc#1012628).
- drm/amdgpu/debugfs: fix ref count leak when pm_runtime_get_sync
fails (bnc#1012628).
- drm/amdgpu/display bail early in dm_pp_get_static_clocks
(bnc#1012628).
- drm/amdgpu/display: properly guard the calls to swSMU functions
(bnc#1012628).
- drm/amd/display: allow query ddc data over aux to be read only
operation (bnc#1012628).
- drm/amd/powerplay: fix compile error with ARCH=arc
(bnc#1012628).
- bpf: Fix fds_example SIGSEGV error (bnc#1012628).
- Bluetooth: hci_qca: Bug fixes for SSR (bnc#1012628).
- brcmfmac: keep SDIO watchdog running when console_interval is
non-zero (bnc#1012628).
- brcmfmac: To fix Bss Info flag definition Bug (bnc#1012628).
- brcmfmac: set state of hanger slot to FREE when flushing PSQ
(bnc#1012628).
- platform/x86: asus-nb-wmi: add support for ASUS ROG Zephyrus
G14 and G15 (bnc#1012628).
- iwlegacy: Check the return value of pcie_capability_read_*()
(bnc#1012628).
- gpu: host1x: debug: Fix multiple channels emitting messages
simultaneously (bnc#1012628).
- drm/amd/powerplay: suppress compile error around BUG_ON
(bnc#1012628).
- ionic: update eid test for overflow (bnc#1012628).
- x86/uaccess: Make __get_user_size() Clang compliant on 32-bit
(bnc#1012628).
- mmc: sdhci-pci-o2micro: Bug fix for O2 host controller Seabird1
(bnc#1012628).
- usb: gadget: net2280: fix memory leak on probe error handling
paths (bnc#1012628).
- bdc: Fix bug causing crash after multiple disconnects
(bnc#1012628).
- usb: bdc: Halt controller on suspend (bnc#1012628).
- dyndbg: fix a BUG_ON in ddebug_describe_flags (bnc#1012628).
- bcache: fix super block seq numbers comparision in
register_cache_set() (bnc#1012628).
- btrfs: allow btrfs_truncate_block() to fallback to nocow for
data space reservation (bnc#1012628).
- btrfs: qgroup: free per-trans reserved space when a subvolume
gets dropped (bnc#1012628).
- ACPICA: Do not increment operation_region reference counts
for field units (bnc#1012628).
- io_uring: fix racy overflow count reporting (bnc#1012628).
- io_uring: fix stalled deferred requests (bnc#1012628).
- crypto: caam - silence .setkey in case of bad key length
(bnc#1012628).
- drm/msm: ratelimit crtc event overflow error (bnc#1012628).
- drm/gem: Fix a leak in drm_gem_objects_lookup() (bnc#1012628).
- drm/bridge: ti-sn65dsi86: Clear old error bits before AUX
transfers (bnc#1012628).
- drm/bridge: ti-sn65dsi86: Fix off-by-one error in clock choice
(bnc#1012628).
- drm/amdgpu: use the unlocked drm_gem_object_put (bnc#1012628).
- agp/intel: Fix a memory leak on module initialisation failure
(bnc#1012628).
- mwifiex: Fix firmware filename for sd8977 chipset (bnc#1012628).
- mwifiex: Fix firmware filename for sd8997 chipset (bnc#1012628).
- btmrvl: Fix firmware filename for sd8977 chipset (bnc#1012628).
- btmrvl: Fix firmware filename for sd8997 chipset (bnc#1012628).
- video: fbdev: sm712fb: fix an issue about iounmap for a wrong
address (bnc#1012628).
- console: newport_con: fix an issue about leak related system
resources (bnc#1012628).
- video: pxafb: Fix the function used to balance a
'dma_alloc_coherent()' call (bnc#1012628).
- Bluetooth: hci_qca: Fix an error pointer dereference
(bnc#1012628).
- drm/mm: fix hole size comparison (bnc#1012628).
- Bluetooth: hci_qca: Only remove TX clock vote after TX is
completed (bnc#1012628).
- Bluetooth: Allow suspend even when preparation has failed
(bnc#1012628).
- ath10k: Acquire tx_lock in tx error paths (bnc#1012628).
- Bluetooth: hci_qca: Bug fix during SSR timeout (bnc#1012628).
- Bluetooth: hci_qca: Increase SoC idle timeout to 200ms
(bnc#1012628).
- iio: improve IIO_CONCENTRATION channel type description
(bnc#1012628).
- iio: amplifiers: ad8366: Change devm_gpiod_get() to optional
and add the missed check (bnc#1012628).
- scsi: ufs: Fix imprecise load calculation in devfreq window
(bnc#1012628).
- drm/etnaviv: Fix error path on failure to enable bus clk
(bnc#1012628).
- drm/panfrost: Fix inbalance of devfreq record_busy/idle()
(bnc#1012628).
- drm/arm: fix unintentional integer overflow on left shift
(bnc#1012628).
- clk: bcm63xx-gate: fix last clock availability (bnc#1012628).
- powerpc/mm: Fix typo in IS_ENABLED() (bnc#1012628).
- powerpc/fixmap: Fix FIX_EARLY_DEBUG_BASE when page size is 256k
(bnc#1012628).
- leds: lm355x: avoid enum conversion warning (bnc#1012628).
- ASoC: fsl_easrc: Fix uninitialized scalar variable in
fsl_easrc_set_ctx_format (bnc#1012628).
- Bluetooth: btusb: fix up firmware download sequence
(bnc#1012628).
- Bluetooth: btmtksdio: fix up firmware download sequence
(bnc#1012628).
- media: cxusb-analog: fix V4L2 dependency (bnc#1012628).
- media: marvell-ccic: Add missed v4l2_async_notifier_cleanup()
(bnc#1012628).
- media: omap3isp: Add missed v4l2_ctrl_handler_free() for
preview_init_entities() (bnc#1012628).
- staging: most: avoid null pointer dereference when iface is null
(bnc#1012628).
- dpaa2-eth: fix condition for number of buffer acquire retries
(bnc#1012628).
- ASoC: SOF: nocodec: add missing .owner field (bnc#1012628).
- ASoC: Intel: cml_rt1011_rt5682: add missing .owner field
(bnc#1012628).
- ASoC: Intel: sof_sdw: add missing .owner field (bnc#1012628).
- ASoC: Intel: bxt_rt298: add missing .owner field (bnc#1012628).
- ASoC: Intel: Boards: cml_rt1011_rt5682: use statically define
codec config (bnc#1012628).
- net: atlantic: MACSec offload statistics checkpatch fix
(bnc#1012628).
- scsi: cumana_2: Fix different dev_id between request_irq()
and free_irq() (bnc#1012628).
- scsi: ufs: Disable WriteBooster capability for non-supported
UFS devices (bnc#1012628).
- drm/mipi: use dcs write for mipi_dsi_dcs_set_tear_scanline
(bnc#1012628).
- cxl: Fix kobject memleak (bnc#1012628).
- lkdtm: Avoid more compiler optimizations for bad writes
(bnc#1012628).
- selftests/lkdtm: Reset WARN_ONCE to avoid false negatives
(bnc#1012628).
- lkdtm: Make arch-specific tests always available (bnc#1012628).
- net: ethernet: ti: am65-cpsw-nuss: restore vlan configuration
while down/up (bnc#1012628).
- tracing: Move pipe reference to trace array instead of
current_tracer (bnc#1012628).
- scsi: qla2xxx: Make __qla2x00_alloc_iocbs() initialize 32 bits
of request_t.handle (bnc#1012628).
- drm/amdgpu/debugfs: fix memory leak when
amdgpu_virt_enable_access_debugfs failed (bnc#1012628).
- drm/amdgpu: ensure 0 is returned for success in
jpeg_v2_5_wait_for_idle (bnc#1012628).
- drm/radeon: fix array out-of-bounds read and write issues
(bnc#1012628).
- staging: vchiq_arm: Add a matching unregister call
(bnc#1012628).
- iavf: fix error return code in iavf_init_get_resources()
(bnc#1012628).
- iavf: Fix updating statistics (bnc#1012628).
- dma-buf: fix dma-fence-chain out of order test (bnc#1012628).
- RDMA/core: Fix bogus WARN_ON during
ib_unregister_device_queued() (bnc#1012628).
- scsi: powertec: Fix different dev_id between request_irq()
and free_irq() (bnc#1012628).
- scsi: eesox: Fix different dev_id between request_irq() and
free_irq() (bnc#1012628).
- ipvs: allow connection reuse for unconfirmed conntrack
(bnc#1012628).
- media: firewire: Using uninitialized values in node_probe()
(bnc#1012628).
- media: allegro: Fix some NULL vs IS_ERR() checks in probe
(bnc#1012628).
- media: staging: rkisp1: rsz: supported formats are the isp's
src formats, not sink formats (bnc#1012628).
- media: staging: rkisp1: rsz: fix resolution limitation on sink
pad (bnc#1012628).
- media: tvp5150: Add missed media_entity_cleanup() (bnc#1012628).
- media: exynos4-is: Add missed check for pinctrl_lookup_state()
(bnc#1012628).
- media: cros-ec-cec: do not bail on device_init_wakeup failure
(bnc#1012628).
- xfs: preserve rmapbt swapext block reservation from freed blocks
(bnc#1012628).
- xfs: don't eat an EIO/ENOSPC writeback error when scrubbing
data fork (bnc#1012628).
- xfs: fix reflink quota reservation accounting error
(bnc#1012628).
- RDMA/rxe: Skip dgid check in loopback mode (bnc#1012628).
- PCI: Fix pci_cfg_wait queue locking problem (bnc#1012628).
- samples: bpf: Fix bpf programs with kprobe/sys_connect event
(bnc#1012628).
- drm/stm: repair runtime power management (bnc#1012628).
- kobject: Avoid premature parent object freeing in
kobject_cleanup() (bnc#1012628).
- leds: core: Flush scheduled work for system suspend
(bnc#1012628).
- drm: panel: simple: Fix bpc for LG LB070WV8 panel (bnc#1012628).
- phy: cadence: salvo: fix wrong bit definition (bnc#1012628).
- dt-bindings: phy: uniphier: Fix incorrect clocks and clock-names
for PXs3 usb3-hsphy (bnc#1012628).
- phy: exynos5-usbdrd: Calibrating makes sense only for USB2.0
PHY (bnc#1012628).
- mmc: sdhci-of-arasan: Add missed checks for devm_clk_register()
(bnc#1012628).
- drm/bridge: sil_sii8620: initialize return of sii8620_readb
(bnc#1012628).
- scsi: scsi_debug: Add check for sdebug_max_queue during module
init (bnc#1012628).
- bpfilter: Initialize pos variable (bnc#1012628).
- mwifiex: Prevent memory corruption handling keys (bnc#1012628).
- kernfs: do not call fsnotify() with name without a parent
(bnc#1012628).
- powerpc/pseries: remove cede offline state for CPUs
(bnc#1012628).
- powerpc/rtas: don't online CPUs for partition suspend
(bnc#1012628).
- powerpc/vdso: Fix vdso cpu truncation (bnc#1012628).
- Bluetooth: Fix update of connection state in `hci_encrypt_cfm`
(bnc#1012628).
- RDMA/qedr: SRQ's bug fixes (bnc#1012628).
- RDMA/qedr: Add EDPM mode type for user-fw compatibility
(bnc#1012628).
- RDMA/qedr: Add EDPM max size to alloc ucontext response
(bnc#1012628).
- RDMA/rxe: Prevent access to wr->next ptr afrer wr is posted
to send queue (bnc#1012628).
- ima: Have the LSM free its audit rule (bnc#1012628).
- ima: Free the entire rule when deleting a list of rules
(bnc#1012628).
- ima: Free the entire rule if it fails to parse (bnc#1012628).
- ima: Fail rule parsing when buffer hook functions have an
invalid action (bnc#1012628).
- ima: Fail rule parsing when the KEXEC_CMDLINE hook is combined
with an invalid cond (bnc#1012628).
- ima: Fail rule parsing when the KEY_CHECK hook is combined
with an invalid cond (bnc#1012628).
- staging: rtl8192u: fix a dubious looking mask before a shift
(bnc#1012628).
- ASoC: meson: fixes the missed kfree() for
axg_card_add_tdm_loopback (bnc#1012628).
- PCI/ASPM: Add missing newline in sysfs 'policy' (bnc#1012628).
- go7007: add sanity checking for endpoints (bnc#1012628).
- media: s5p-g2d: Fix a memory leak in an error handling path in
'g2d_probe()' (bnc#1012628).
- phy: renesas: rcar-gen3-usb2: move irq registration to init
(bnc#1012628).
- powerpc/mm/radix: Free PUD table when freeing pagetable
(bnc#1012628).
- powerpc/book3s64/pkeys: Use PVR check instead of cpu feature
(bnc#1012628).
- drm/imx: fix use after free (bnc#1012628).
- drm/imx: tve: fix regulator_disable error path (bnc#1012628).
- gpu: ipu-v3: Restore RGB32, BGR32 (bnc#1012628).
- spi: lantiq-ssc: Fix warning by using WQ_MEM_RECLAIM
(bnc#1012628).
- PCI: loongson: Use DECLARE_PCI_FIXUP_EARLY for
bridge_class_quirk() (bnc#1012628).
- USB: serial: iuu_phoenix: fix led-activity helpers
(bnc#1012628).
- clk: qcom: gcc: Make disp gpll0 branch aon for sc7180/sdm845
(bnc#1012628).
- usb: core: fix quirks_param_set() writing to a const pointer
(bnc#1012628).
- thermal: ti-soc-thermal: Fix reversed condition in
ti_thermal_expose_sensor() (bnc#1012628).
- coresight: etmv4: Fix resource selector constant (bnc#1012628).
- coresight: etmv4: Counter values not saved on disable
(bnc#1012628).
- coresight: tmc: Fix TMC mode read in tmc_read_unprepare_etb()
(bnc#1012628).
- coresight: etm4x: Fix save/restore during cpu idle
(bnc#1012628).
- powerpc/perf: Fix missing is_sier_aviable() during build
(bnc#1012628).
- mt76: mt7663u: fix memory leak in set key (bnc#1012628).
- mt76: mt7663u: fix potential memory leak in mcu message handler
(bnc#1012628).
- mt76: mt7615: fix potential memory leak in mcu message handler
(bnc#1012628).
- mt76: mt7915: potential array overflow in
mt7915_mcu_tx_rate_report() (bnc#1012628).
- mt76: mt7915: add missing CONFIG_MAC80211_DEBUGFS (bnc#1012628).
- mt76: mt7615: fix possible memory leak in
mt7615_mcu_wtbl_sta_add (bnc#1012628).
- phy: armada-38x: fix NETA lockup when repeatedly switching
speeds (bnc#1012628).
- s390/bpf: Fix sign extension in branch_ku (bnc#1012628).
- s390/bpf: Use brcl for jumping to exit_ip if necessary
(bnc#1012628).
- s390/bpf: Tolerate not converging code shrinking (bnc#1012628).
- tools/bpftool: Fix error handing in do_skeleton() (bnc#1012628).
- ASoC: tas2770: Fix reset gpio property name (bnc#1012628).
- qed: Fix ILT and XRCD bitmap memory leaks (bnc#1012628).
- ASoC: hdac_hda: fix deadlock after PCM open error (bnc#1012628).
- powerpc/spufs: Fix the type of ret in spufs_arch_write_note
(bnc#1012628).
- PCI: rcar: Fix runtime PM imbalance on error (bnc#1012628).
- MIPS: OCTEON: add missing put_device() call in
dwc3_octeon_device_init() (bnc#1012628).
- thermal: int340x: processor_thermal: fix: update Jasper Lake
PCI id (bnc#1012628).
- usb: dwc3: meson-g12a: fix shared reset control use
(bnc#1012628).
- usb: dwc2: Fix error path in gadget registration (bnc#1012628).
- usb: gadget: f_uac2: fix AC Interface Header Descriptor
wTotalLength (bnc#1012628).
- scsi: megaraid_sas: Clear affinity hint (bnc#1012628).
- scsi: mesh: Fix panic after host or bus reset (bnc#1012628).
- scsi: core: Add missing scsi_device_put() in scsi_host_block()
(bnc#1012628).
- net: dsa: mv88e6xxx: MV88E6097 does not support jumbo
configuration (bnc#1012628).
- bpf: Fix pos computation for bpf_iter seq_ops->start()
(bnc#1012628).
- powerpc/watchpoint: Fix 512 byte boundary limit (bnc#1012628).
- powerpc/watchpoint: Fix DAWR exception constraint (bnc#1012628).
- powerpc/watchpoint: Fix DAWR exception for CACHEOP
(bnc#1012628).
- macintosh/via-macii: Access autopoll_devs when inside lock
(bnc#1012628).
- gpio: regmap: fix type clash (bnc#1012628).
- PCI: cadence: Fix cdns_pcie_{host|ep}_setup() error path
(bnc#1012628).
- PCI: cadence: Fix updating Vendor ID and Subsystem Vendor ID
register (bnc#1012628).
- RDMA/core: Fix return error value in _ib_modify_qp() to negative
(bnc#1012628).
- Smack: fix another vsscanf out of bounds (bnc#1012628).
- Smack: prevent underflow in smk_set_cipso() (bnc#1012628).
- power: supply: check if calc_soc succeeded in
pm860x_init_battery (bnc#1012628).
- Bluetooth: btusb: Fix and detect most of the Chinese Bluetooth
controllers (bnc#1012628).
- Bluetooth: hci_h5: Set HCI_UART_RESET_ON_INIT to correct flags
(bnc#1012628).
- Bluetooth: hci_serdev: Only unregister device if it was
registered (bnc#1012628).
- bpf: Fix bpf_ringbuf_output() signature to return long
(bnc#1012628).
- Bluetooth: Fix suspend notifier race (bnc#1012628).
- Bluetooth: hci_qca: Stop collecting memdump again for command
timeout during SSR (bnc#1012628).
- net: dsa: rtl8366: Fix VLAN semantics (bnc#1012628).
- net: dsa: rtl8366: Fix VLAN set-up (bnc#1012628).
- xfs: fix inode allocation block res calculation precedence
(bnc#1012628).
- xfs: clear XFS_DQ_FREEING if we can't lock the dquot buffer
to flush (bnc#1012628).
- selftests/powerpc: Squash spurious errors due to device removal
(bnc#1012628).
- powerpc/32s: Fix CONFIG_BOOK3S_601 uses (bnc#1012628).
- powerpc/boot: Fix CONFIG_PPC_MPC52XX references (bnc#1012628).
- selftests/powerpc: Fix CPU affinity for child process
(bnc#1012628).
- nvmem: sprd: Fix return value of sprd_efuse_probe()
(bnc#1012628).
- RDMA/rtrs-clt: add an additional random 8 seconds before
reconnecting (bnc#1012628).
- RDMA/rtrs: remove WQ_MEM_RECLAIM for rtrs_wq (bnc#1012628).
- RDMA/netlink: Remove CAP_NET_RAW check when dump a raw QP
(bnc#1012628).
- PCI: Release IVRS table in AMD ACS quirk (bnc#1012628).
- cpufreq: ap806: fix cpufreq driver needs ap cpu clk
(bnc#1012628).
- powerpc/pseries/hotplug-cpu: Remove double free in error path
(bnc#1012628).
- selftests/powerpc: Fix online CPU selection (bnc#1012628).
- RDMA/hns: Fix error during modify qp RTS2RTS (bnc#1012628).
- RDMA/hns: Fix the unneeded process when getting a general type
of CQE error (bnc#1012628).
- ASoC: meson: axg-tdm-interface: fix link fmt setup
(bnc#1012628).
- ASoC: meson: axg-tdmin: fix g12a skew (bnc#1012628).
- ASoC: meson: axg-tdm-formatters: fix sclk inversion
(bnc#1012628).
- ASoC: soc-core: Fix regression causing sysfs entries to
disappear (bnc#1012628).
- net: ll_temac: Use devm_platform_ioremap_resource_byname()
(bnc#1012628).
- drm/msm/dpu: don't use INTF_INPUT_CTRL feature on sdm845
(bnc#1012628).
- libbpf: Fix register in PT_REGS MIPS macros (bnc#1012628).
- MIPS: only register FTLBPar exception handler for supported
models (bnc#1012628).
- ASoC: core: use less strict tests for dailink capabilities
(bnc#1012628).
- ASoC: meson: cards: deal dpcm flag change (bnc#1012628).
- ASoC: fsl_sai: Fix value of FSL_SAI_CR1_RFW_MASK (bnc#1012628).
- s390/qeth: tolerate pre-filled RX buffer (bnc#1012628).
- s390/qeth: don't process empty bridge port events (bnc#1012628).
- ice: Clear and free XLT entries on reset (bnc#1012628).
- ice: Graceful error handling in HW table calloc failure
(bnc#1012628).
- netfilter: nft_meta: fix iifgroup matching (bnc#1012628).
- rtw88: fix LDPC field for RA info (bnc#1012628).
- rtw88: fix short GI capability based on current bandwidth
(bnc#1012628).
- rtw88: coex: only skip coex triggered by BT info (bnc#1012628).
- qtnfmac: Missing platform_device_unregister() on error in
qtnf_core_mac_alloc() (bnc#1012628).
- wl1251: fix always return 0 error (bnc#1012628).
- tools, build: Propagate build failures from
tools/build/Makefile.build (bnc#1012628).
- tools, bpftool: Fix wrong return value in do_dump()
(bnc#1012628).
- net/mlx5: DR, Change push vlan action sequence (bnc#1012628).
- net/mlx5: Delete extra dump stack that gives nothing
(bnc#1012628).
- ftrace: Fix ftrace_trace_task return value (bnc#1012628).
- net: mvpp2: fix memory leak in mvpp2_rx (bnc#1012628).
- net: ethernet: aquantia: Fix wrong return value (bnc#1012628).
- liquidio: Fix wrong return value in cn23xx_get_pf_num()
(bnc#1012628).
- net: sgi: ioc3-eth: Fix the size used in some
'dma_free_coherent()' calls (bnc#1012628).
- net: spider_net: Fix the size used in a 'dma_free_coherent()'
call (bnc#1012628).
- fsl/fman: use 32-bit unsigned integer (bnc#1012628).
- fsl/fman: fix dereference null return value (bnc#1012628).
- fsl/fman: fix unreachable code (bnc#1012628).
- fsl/fman: check dereferencing null pointer (bnc#1012628).
- fsl/fman: fix eth hash table allocation (bnc#1012628).
- gpio: don't use same lockdep class for all
devm_gpiochip_add_data users (bnc#1012628).
- net: thunderx: use spin_lock_bh in nicvf_set_rx_mode_task()
(bnc#1012628).
- net: macb: Properly handle phylink on at91sam9x (bnc#1012628).
- dpaa2-eth: Fix passing zero to 'PTR_ERR' warning (bnc#1012628).
- hv_netvsc: do not use VF device if link is down (bnc#1012628).
- Revert "vxlan: fix tos value before xmit" (bnc#1012628).
- selftests/net: relax cpu affinity requirement in msg_zerocopy
test (bnc#1012628).
- net: thunderx: initialize VF's mailbox mutex before first usage
(bnc#1012628).
- media: mtk-mdp: Fix a refcounting bug on error in init
(bnc#1012628).
- dlm: Fix kobject memleak (bnc#1012628).
- ocfs2: fix unbalanced locking (bnc#1012628).
- pinctrl-single: fix pcs_parse_pinconf() return value
(bnc#1012628).
- mtd: rawnand: brcmnand: Don't default to edu transfer
(bnc#1012628).
- svcrdma: Fix page leak in svc_rdma_recv_read_chunk()
(bnc#1012628).
- nfsd: avoid a NULL dereference in __cld_pipe_upcall()
(bnc#1012628).
- SUNRPC: Fix ("SUNRPC: Add "@len" parameter to gss_unwrap()")
(bnc#1012628).
- x86/fsgsbase/64: Fix NULL deref in 86_fsgsbase_read_task
(bnc#1012628).
- crypto: aesni - add compatibility with IAS (bnc#1012628).
- af_packet: TPACKET_V3: fix fill status rwlock imbalance
(bnc#1012628).
- drivers/net/wan/lapbether: Added needed_headroom and a skb->len
check (bnc#1012628).
- net: Fix potential memory leak in proto_register()
(bnc#1012628).
- net/nfc/rawsock.c: add CAP_NET_RAW check (bnc#1012628).
- net: phy: fix memory leak in device-create error path
(bnc#1012628).
- net: Set fput_needed iff FDPUT_FPUT is set (bnc#1012628).
- net/tls: Fix kmap usage (bnc#1012628).
- tcp: correct read of TFO keys on big endian systems
(bnc#1012628).
- vmxnet3: use correct tcp hdr length when packet is encapsulated
(bnc#1012628).
- net: refactor bind_bucket fastreuse into helper (bnc#1012628).
- net: initialize fastreuse on inet_inherit_port (bnc#1012628).
- vsock: fix potential null pointer dereference in vsock_poll()
(bnc#1012628).
- net: phy: marvell10g: fix null pointer dereference
(bnc#1012628).
- r8152: Use MAC address from correct device tree node
(bnc#1012628).
- USB: serial: cp210x: re-enable auto-RTS on open (bnc#1012628).
- USB: serial: cp210x: enable usb generic throttle/unthrottle
(bnc#1012628).
- usb: cdns3: gadget: always zeroed TRB buffer when enable
endpoint (bnc#1012628).
- iommu/vt-d: Skip TE disabling on quirky gfx dedicated iommu
(bnc#1012628).
- vdpasim: protect concurrent access to iommu iotlb (bnc#1012628).
- ALSA: hda - fix the micmute led status for Lenovo ThinkCentre
AIO (bnc#1012628).
- ALSA: hda - reverse the setting value in the micmute_led_set
(bnc#1012628).
- ALSA: usb-audio: Creative USB X-Fi Pro SB1095 volume knob
support (bnc#1012628).
- ALSA: usb-audio: work around streaming quirk for MacroSilicon
MS2109 (bnc#1012628).
- ALSA: usb-audio: add quirk for Pioneer DDJ-RB (bnc#1012628).
- tpm: Unify the mismatching TPM space buffer sizes (bnc#1012628).
- pstore: Fix linking when crypto API disabled (bnc#1012628).
- crypto: hisilicon - don't sleep of CRYPTO_TFM_REQ_MAY_SLEEP
was not specified (bnc#1012628).
- crypto: qat - fix double free in qat_uclo_create_batch_init_list
(bnc#1012628).
- crypto: ccp - Fix use of merged scatterlists (bnc#1012628).
- crypto: cpt - don't sleep of CRYPTO_TFM_REQ_MAY_SLEEP was not
specified (bnc#1012628).
- tick/nohz: Narrow down noise while setting current task's tick
dependency (bnc#1012628).
- bitfield.h: don't compile-time validate _val in FIELD_FIT
(bnc#1012628).
- fs/minix: check return value of sb_getblk() (bnc#1012628).
- fs/minix: don't allow getting deleted inodes (bnc#1012628).
- fs/minix: reject too-large maximum file size (bnc#1012628).
- kvm: x86: replace kvm_spec_ctrl_test_value with runtime test
on the host (bnc#1012628).
- 9p: Fix memory leak in v9fs_mount (bnc#1012628).
- driver core: Fix probe_count imbalance in really_probe()
(bnc#1012628).
- media: media-request: Fix crash if memory allocation fails
(bnc#1012628).
- drm/ttm/nouveau: don't call tt destroy callback on alloc failure
(bnc#1012628).
- io_uring: set ctx sq/cq entry count earlier (bnc#1012628).
- io_uring: use TWA_SIGNAL for task_work uncondtionally
(bnc#1012628).
- io_uring: fail poll arm on queue proc failure (bnc#1012628).
- io_uring: sanitize double poll handling (bnc#1012628).
- NFS: Don't move layouts to plh_return_segs list while in use
(bnc#1012628).
- NFS: Don't return layout segments that are in use (bnc#1012628).
- cpufreq: Fix locking issues with governors (bnc#1012628).
- cpufreq: dt: fix oops on armada37xx (bnc#1012628).
- MIPS: VZ: Only include loongson_regs.h for CPU_LOONGSON64
(bnc#1012628).
- include/asm-generic/vmlinux.lds.h: align ro_after_init
(bnc#1012628).
- PM / devfreq: rk3399_dmc: Fix kernel oops when rockchip,pmu
is absent (bnc#1012628).
- PM / devfreq: Fix indentaion of devfreq_summary debugfs node
(bnc#1012628).
- spi: spidev: Align buffers for DMA (bnc#1012628).
- mtd: rawnand: qcom: avoid write to unavailable register
(bnc#1012628).
- mtd: spi-nor: intel-spi: Simulate WRDI command (bnc#1012628).
- erofs: fix extended inode could cross boundary (bnc#1012628).
- Revert "mm/vmstat.c: do not show lowmem reserve protection
information of empty zone" (bnc#1012628).
- Revert "parisc: Improve interrupt handling in
arch_spin_lock_flags()" (bnc#1012628).
- Revert "parisc: Drop LDCW barrier in CAS code when running UP"
(bnc#1012628).
- Revert "parisc: Use ldcw instruction for SMP spinlock release
barrier" (bnc#1012628).
- Revert "parisc: Revert "Release spinlocks using ordered store""
(bnc#1012628).
- parisc: Do not use an ordered store in pa_tlb_lock()
(bnc#1012628).
- parisc: Implement __smp_store_release and __smp_load_acquire
barriers (bnc#1012628).
- parisc: mask out enable and reserved bits from sba imask
(bnc#1012628).
- ARM: dts: exynos: Extend all Exynos5800 A15's OPPs with max
voltage data (bnc#1012628).
- ARM: 8992/1: Fix unwind_frame for clang-built kernels
(bnc#1012628).
- firmware: qcom_scm: Fix legacy convention SCM accessors
(bnc#1012628).
- irqdomain/treewide: Free firmware node after domain removal
(bnc#1012628).
- firmware_loader: EFI firmware loader must handle pre-allocated
buffer (bnc#1012628).
- xen/balloon: fix accounting in alloc_xenballooned_pages error
path (bnc#1012628).
- xen/balloon: make the balloon wait interruptible (bnc#1012628).
- xen/gntdev: Fix dmabuf import with non-zero sgt offset
(bnc#1012628).
- drm/xen-front: Fix misused IS_ERR_OR_NULL checks (bnc#1012628).
- s390/dasd: fix inability to use DASD with DIAG driver
(bnc#1012628).
- s390/numa: set node distance to LOCAL_DISTANCE (bnc#1012628).
- s390/gmap: improve THP splitting (bnc#1012628).
- io_uring: Fix NULL pointer dereference in loop_rw_iter()
(bnc#1012628).
- io_uring: hold 'ctx' reference around task_work queue + execute
(bnc#1012628).
- io_uring: add missing REQ_F_COMP_LOCKED for nested requests
(bnc#1012628).
- io_uring: enable lookup of links holding inflight files
(bnc#1012628).
- task_work: only grab task signal lock when needed (bnc#1012628).
- Update config files (ARMADA_AP_CPU_CLK autoselected).
- commit e2a4265
-------------------------------------------------------------------
Wed Aug 19 08:13:41 CEST 2020 - tiwai@suse.de
- squashfs: avoid bio_alloc() failure with 1Mbyte blocks
(bsc#1175308).
- commit 8f3c2bf
-------------------------------------------------------------------
Tue Aug 18 01:50:23 CEST 2020 - mkubecek@suse.cz
- config: restore PHYLIB=m in */vanilla
As the PHYLIB/ETHTOOL_NETLINK dependency fix is now in 5.9-rc1 tarball,
we can apply the changes from commit 4756d9edf730 ("config: restore
PHYLIB=m") also to vanilla configs.
- commit 4d4447f
-------------------------------------------------------------------
Tue Aug 18 00:47:00 CEST 2020 - mkubecek@suse.cz
- Update to 5.9-rc1
- eliminated 50 patches (39 stable, 11 other)
- disable ARM architectures (need config update)
- disable (needs update)
- patches.suse/btrfs-btrfs-use-the-new-VFS-super_block_dev.patch
- refresh
- patches.rpmify/Add-ksym-provides-tool.patch
- patches.suse/acpi_thermal_passive_blacklist.patch
- patches.suse/add-product-identifying-information-to-vmcoreinfo.patch
- patches.suse/apparmor-compatibility-with-v2.x-net.patch
- patches.suse/b43-missing-firmware-info.patch
- patches.suse/dm-mpath-no-partitions-feature
- patches.suse/vfs-add-super_operations-get_inode_dev
- new config options:
- General setup
- KERNEL_ZSTD=n
- RD_ZSTD=y
- File systems
- FS_ENCRYPTION_INLINE_CRYPT=y
- TMPFS_INODE64=y
- Kernel hacking
- DEBUG_FORCE_FUNCTION_ALIGN_32B=n
- DEBUG_FS_ALLOW_ALL=y
- DEBUG_FS_DISALLOW_MOUNT=n
- DEBUG_FS_ALLOW_NONE=n
- RCU_REF_SCALE_TEST=n
- TEST_FPU=n
- PCI support
- PCI_J721E_HOST=n
- PCI_J721E_EP=n
- Storage
- NVME_TARGET_PASSTHRU=n
- SCSI_UFS_CRYPTO=y
- BCACHE_ASYNC_REGISTRATION=y
- Network device support
- MLX5_IPSEC=y
- MT7663S=m
- WLAN_VENDOR_MICROCHIP=y
- RTW88_8821CE=m
- Character devices
- HW_RANDOM_BA431=m
- SERIAL_IMX_EARLYCON=n
- Power management
- CHARGER_BQ2515X=m
- SENSORS_CORSAIR_CPRO=m
- THERMAL_NETLINK=y
- REGULATOR_PCA9450=m
- REGULATOR_QCOM_USB_VBUS=m
- REGULATOR_QCOM_LABIBB=m
- REGULATOR_FAN53880=m
- REGULATOR_SY8827N=m
- Multimedia support
- VIDEO_RDACM20=m
- VIDEO_DW9768=m
- VIDEO_MAX9286=m
- Graphics support
- DRM_AMD_DC_DCN3_0=y
- NOUVEAU_DEBUG_PUSH=n
- DRM_PANEL_SITRONIX_ST7703=n
- Sound card support
- SND_HDA_INTEL_HDMI_SILENT_STREAM=y
- SND_SOC_MAX98373_SDW=m
- USB support
- USB_OTG_PRODUCTLIST=n
- USB_OTG_DISABLE_EXTERNAL_HUB=n
- X86 Platform Specific Device Drivers
- INTEL_ATOMISP2_LED=m
- Industrial I/O support
- SCD30_CORE=n
- INV_ICM42600_I2C=n
- INV_ICM42600_SPI=n
- Misc drivers
- SPI_LANTIQ_SSC=n
- PINCTRL_EMMITSBURG=m
- GPIO_PCA9570=m
- IR_TOY=m
- CEC_CH7322=m
- LEDS_CLASS_MULTICOLOR=m
- XILINX_ZYNQMP_DPDMA=m
- MLX5_VDPA=n
- CLK_HSDK=n
- i386
- REGULATOR_CROS_EC=m
- ppc
- PPC_QUEUED_SPINLOCKS=y
- s390x
- BPF_KPROBE_OVERRIDE=n
- FAIL_FUNCTION=n
- riscv64
- NO_HZ_IDLE=y
- VIRT_CPU_ACCOUNTING_GEN=y
- JUMP_LABEL=y
- STATIC_KEYS_SELFTEST=n
- STACKPROTECTOR=y
- STACKPROTECTOR_STRONG=y
- SND_SOC_MAX98373_I2C=m
- DEBUG_KMEMLEAK=n
- DEBUG_VM_PGTABLE=n
- PROVE_LOCKING=n
- LOCK_STAT=n
- DEBUG_WW_MUTEX_SLOWPATH=n
- DEBUG_LOCK_ALLOC=n
- KCOV=n
- CONTEXT_TRACKING_FORCE=n
- commit 6a30651
-------------------------------------------------------------------
Mon Aug 17 16:31:56 CEST 2020 - tiwai@suse.de
- firmware_loader: fix memory leak for paged buffer (bsc#1175367).
- commit 996bcd6
-------------------------------------------------------------------
Fri Aug 14 09:17:24 CEST 2020 - jslaby@suse.cz
- r8169: add support for RTL8125B (bsc#1174875).
- r8169: rename RTL8125 to RTL8125A (bsc#1174875).
- commit d6761b2
-------------------------------------------------------------------
Wed Aug 12 10:07:31 CEST 2020 - duwe@suse.de
- rpm/modules.fips:
* add ecdh_generic (boo#1173813)
- commit 42f38df
-------------------------------------------------------------------
Wed Aug 12 09:46:06 CEST 2020 - jslaby@suse.cz
- Update config files (bsc#1174058).
Enable GENERIC_IRQ_DEBUGFS.
This (automatically) sets GENERIC_IRQ_INJECTION=y on some platforms and
aligns them with x86.
- commit 7e5ee01
-------------------------------------------------------------------
Wed Aug 12 07:25:06 CEST 2020 - jslaby@suse.cz
- Linux 5.8.1 (bnc#1012628).
- scsi: ufs: Fix and simplify setup_xfer_req variant operation
(bnc#1012628).
- USB: serial: qcserial: add EM7305 QDL product ID (bnc#1012628).
- USB: iowarrior: fix up report size handling for some devices
(bnc#1012628).
- usb: xhci: define IDs for various ASMedia host controllers
(bnc#1012628).
- usb: xhci: Fix ASMedia ASM1142 DMA addressing (bnc#1012628).
- Revert "ALSA: hda: call runtime_allow() for all hda controllers"
(bnc#1012628).
- ALSA: hda/realtek: Add alc269/alc662 pin-tables for Loongson-3
laptops (bnc#1012628).
- ALSA: hda/ca0132 - Add new quirk ID for Recon3D (bnc#1012628).
- ALSA: hda/ca0132 - Fix ZxR Headphone gain control get value
(bnc#1012628).
- ALSA: hda/ca0132 - Fix AE-5 microphone selection commands
(bnc#1012628).
- ALSA: seq: oss: Serialize ioctls (bnc#1012628).
- staging: android: ashmem: Fix lockdep warning for write
operation (bnc#1012628).
- staging: rtl8712: handle firmware load failure (bnc#1012628).
- Staging: rtl8188eu: rtw_mlme: Fix uninitialized variable
authmode (bnc#1012628).
- Bluetooth: Fix slab-out-of-bounds read in
hci_extended_inquiry_result_evt() (bnc#1012628).
- Bluetooth: Prevent out-of-bounds read in
hci_inquiry_result_evt() (bnc#1012628).
- Bluetooth: Prevent out-of-bounds read in
hci_inquiry_result_with_rssi_evt() (bnc#1012628).
- omapfb: dss: Fix max fclk divider for omap36xx (bnc#1012628).
- binder: Prevent context manager from incrementing ref 0
(bnc#1012628).
- Smack: fix use-after-free in smk_write_relabel_self()
(bnc#1012628).
- scripts: add dummy report mode to add_namespace.cocci
(bnc#1012628).
- lkdtm/heap: Avoid edge and middle of slabs (bnc#1012628).
- mtd: properly check all write ioctls for permissions
(bnc#1012628).
- leds: wm831x-status: fix use-after-free on unbind (bnc#1012628).
- leds: lm36274: fix use-after-free on unbind (bnc#1012628).
- leds: da903x: fix use-after-free on unbind (bnc#1012628).
- leds: lm3533: fix use-after-free on unbind (bnc#1012628).
- leds: 88pm860x: fix use-after-free on unbind (bnc#1012628).
- gpio: max77620: Fix missing release of interrupt (bnc#1012628).
- xattr: break delegations in {set,remove}xattr (bnc#1012628).
- Revert "powerpc/kasan: Fix shadow pages allocation failure"
(bnc#1012628).
- powerpc/kasan: Fix shadow pages allocation failure
(bnc#1012628).
- PCI: tegra: Revert tegra124 raw_violation_fixup (bnc#1012628).
- ima: move APPRAISE_BOOTPARAM dependency on ARCH_POLICY to
runtime (bnc#1012628).
- random32: move the pseudo-random 32-bit definitions to prandom.h
(bnc#1012628).
- random: random.h should include archrandom.h, not the other
way around (bnc#1012628).
- arm64: kaslr: Use standard early random function (bnc#1012628).
- commit 7303946
-------------------------------------------------------------------
Mon Aug 10 09:16:56 CEST 2020 - tiwai@suse.de
- ALSA: usb-audio: fix overeager device match for MacroSilicon
MS2109 (bsc#1174625).
- commit e0b3a44
-------------------------------------------------------------------
Sun Aug 9 22:11:25 CEST 2020 - mkubecek@suse.cz
- config: refresh
- only headers update (gcc 10.1.1 -> 10.2.1)
- commit 2efb7ba
-------------------------------------------------------------------
Tue Aug 4 13:56:46 CEST 2020 - nsaenzjulienne@suse.de
- clk: bcm2835: Do not use prediv with bcm2711's PLLs
(bsc#1174865).
- commit bb9b402
-------------------------------------------------------------------
Mon Aug 3 23:28:52 CEST 2020 - afaerber@suse.com
- config: armv7hl: Update to 5.8
- commit ede84e7
-------------------------------------------------------------------
Mon Aug 3 22:45:33 CEST 2020 - afaerber@suse.com
- config: armv6hl: Update to 5.8
- commit ecdaa95
-------------------------------------------------------------------
Mon Aug 3 17:31:10 CEST 2020 - schwab@suse.de
- config: refresh riscv64/default
- commit d1aeef8
-------------------------------------------------------------------
Mon Aug 3 10:11:08 CEST 2020 - jslaby@suse.cz
- Fix for missing check in vgacon scrollback handling (bsc#1174205
CVE-2020-14331).
Update to the latest findings/submission.
- commit e91a540
-------------------------------------------------------------------
Mon Aug 3 07:49:59 CEST 2020 - mkubecek@suse.cz
- Update to 5.8 final
- refresh configs (headers only)
- commit c02ba5f
-------------------------------------------------------------------
Sat Aug 1 08:18:48 CEST 2020 - jslaby@suse.cz
- Linux 5.7.12 (bnc#1012628).
- regmap: debugfs: check count when read regmap file
(bnc#1012628).
- io_uring: ensure double poll additions work with both request
types (bnc#1012628).
- tipc: allow to build NACK message in link timeout function
(bnc#1012628).
- udp: Improve load balancing for SO_REUSEPORT (bnc#1012628).
- udp: Copy has_conns in reuseport_grow() (bnc#1012628).
- sctp: shrink stream outq when fails to do addstream reconf
(bnc#1012628).
- sctp: shrink stream outq only when new outcnt < old outcnt
(bnc#1012628).
- AX.25: Prevent integer overflows in connect and sendmsg
(bnc#1012628).
- tcp: allow at most one TLP probe per flight (bnc#1012628).
- rxrpc: Fix sendmsg() returning EPIPE due to recvmsg() returning
ENODATA (bnc#1012628).
- rtnetlink: Fix memory(net_device) leak when ->newlink fails
(bnc#1012628).
- qrtr: orphan socket in qrtr_release() (bnc#1012628).
- net: udp: Fix wrong clean up for IS_UDPLITE macro (bnc#1012628).
- net-sysfs: add a newline when printing 'tx_timeout' by sysfs
(bnc#1012628).
- net/sched: act_ct: fix restore the qdisc_skb_cb after defrag
(bnc#1012628).
- ip6_gre: fix null-ptr-deref in ip6gre_init_net() (bnc#1012628).
- drivers/net/wan/x25_asy: Fix to make it work (bnc#1012628).
- dev: Defer free of skbs in flush_backlog (bnc#1012628).
- AX.25: Prevent out-of-bounds read in ax25_sendmsg()
(bnc#1012628).
- AX.25: Fix out-of-bounds read in ax25_connect() (bnc#1012628).
- commit 9c98feb
-------------------------------------------------------------------
Wed Jul 29 11:32:21 CEST 2020 - jslaby@suse.cz
- Refresh
patches.suse/vgacon-fix-out-of-bounds-write-to-the-scrollback-buf.patch.
Update according to the latest findings.
- commit 5015994
-------------------------------------------------------------------
Wed Jul 29 10:55:18 CEST 2020 - jslaby@suse.cz
- Linux 5.7.11 (bnc#1012628).
- gpio: arizona: handle pm_runtime_get_sync failure case
(bnc#1012628).
- gpio: arizona: put pm_runtime in case of failure (bnc#1012628).
- pinctrl: amd: fix npins for uart0 in kerncz_groups
(bnc#1012628).
- bpf: Set the number of exception entries properly for
subprograms (bnc#1012628).
- mac80211: allow rx of mesh eapol frames with default rx key
(bnc#1012628).
- scsi: scsi_transport_spi: Fix function pointer check
(bnc#1012628).
- xtensa: fix __sync_fetch_and_{and,or}_4 declarations
(bnc#1012628).
- xtensa: update *pos in cpuinfo_op.next (bnc#1012628).
- scsi: mpt3sas: Fix unlock imbalance (bnc#1012628).
- drivers/net/wan/lapbether: Fixed the value of hard_header_len
(bnc#1012628).
- ALSA: hda/hdmi: fix failures at PCM open on Intel ICL and later
(bnc#1012628).
- net: sky2: initialize return of gm_phy_read (bnc#1012628).
- drm/nouveau/i2c/g94-: increase NV_PMGR_DP_AUXCTL_TRANSACTREQ
timeout (bnc#1012628).
- scsi: mpt3sas: Fix error returns in BRM_status_show
(bnc#1012628).
- scsi: dh: Add Fujitsu device to devinfo and dh lists
(bnc#1012628).
- dm: use bio_uninit instead of bio_disassociate_blkg
(bnc#1012628).
- drivers/firmware/psci: Fix memory leakage in
alloc_init_cpu_groups() (bnc#1012628).
- fuse: fix weird page warning (bnc#1012628).
- irqdomain/treewide: Keep firmware node unconditionally allocated
(bnc#1012628).
- drm/nouveau/nouveau: fix page fault on device private memory
(bnc#1012628).
- drm/amd/display: Check DMCU Exists Before Loading (bnc#1012628).
- drm/amd/display: add dmcub check on RENOIR (bnc#1012628).
- SUNRPC reverting d03727b248d0 ("NFSv4 fix CLOSE not waiting
for direct IO compeletion") (bnc#1012628).
- exfat: fix overflow issue in exfat_cluster_to_sector()
(bnc#1012628).
- exfat: fix wrong hint_stat initialization in
exfat_find_dir_entry() (bnc#1012628).
- exfat: fix wrong size update of stream entry by typo
(bnc#1012628).
- exfat: fix name_hash computation on big endian systems
(bnc#1012628).
- btrfs: reloc: clear DEAD_RELOC_TREE bit for orphan roots to
prevent runaway balance (bnc#1012628).
- uprobes: Change handle_swbp() to send SIGTRAP with
si_code=SI_KERNEL, to fix GDB regression (bnc#1012628).
- ALSA: hda/realtek: Fixed ALC298 sound bug by adding quirk for
Samsung Notebook Pen S (bnc#1012628).
- ALSA: info: Drop WARN_ON() from buffer NULL sanity check
(bnc#1012628).
- ASoC: rt5670: Correct RT5670_LDO_SEL_MASK (bnc#1012628).
- ASoC: Intel: cht_bsw_rt5672: Change bus format to I2S 2 channel
(bnc#1012628).
- s390/cpum_cf,perf: change DFLT_CCERROR counter name
(bnc#1012628).
- btrfs: fix double free on ulist after backref resolution failure
(bnc#1012628).
- btrfs: fix mount failure caused by race with umount
(bnc#1012628).
- btrfs: fix page leaks after failure to lock page for delalloc
(bnc#1012628).
- mt76: mt76x02: fix handling MCU timeouts during hw restart
(bnc#1012628).
- efi/efivars: Expose RT service availability via efivars
abstraction (bnc#1012628).
- bnxt_en: Fix race when modifying pause settings (bnc#1012628).
- bnxt_en: Init ethtool link settings after reading updated PHY
configuration (bnc#1012628).
- bnxt_en: Fix completion ring sizing with TPA enabled
(bnc#1012628).
- fpga: dfl: pci: reduce the scope of variable 'ret'
(bnc#1012628).
- fpga: dfl: fix bug in port reset handshake (bnc#1012628).
- hippi: Fix a size used in a 'pci_free_consistent()' in an
error handling path (bnc#1012628).
- netfilter: nf_tables: fix nat hook table deletion (bnc#1012628).
- dpaa2-eth: check fsl_mc_get_endpoint for IS_ERR_OR_NULL()
(bnc#1012628).
- vsock/virtio: annotate 'the_virtio_vsock' RCU pointer
(bnc#1012628).
- ax88172a: fix ax88172a_unbind() failures (bnc#1012628).
- RDMA/mlx5: Use xa_lock_irq when access to SRQ table
(bnc#1012628).
- RDMA/core: Fix race in rdma_alloc_commit_uobject()
(bnc#1012628).
- RDMA/cm: Protect access to remote_sidr_table (bnc#1012628).
- net: fec: fix hardware time stamping by external devices
(bnc#1012628).
- ASoC: Intel: bytcht_es8316: Add missed put_device()
(bnc#1012628).
- net: dp83640: fix SIOCSHWTSTAMP to update the struct with
actual configuration (bnc#1012628).
- ieee802154: fix one possible memleak in adf7242_probe
(bnc#1012628).
- drm: sun4i: hdmi: Fix inverted HPD result (bnc#1012628).
- net: smc91x: Fix possible memory leak in smc_drv_probe()
(bnc#1012628).
- mlxsw: core: Fix wrong SFP EEPROM reading for upper pages 1-3
(bnc#1012628).
- net: dsa: mv88e6xxx: fix in-band AN link establishment
(bnc#1012628).
- arm64: dts: clearfog-gt-8k: fix switch link configuration
(bnc#1012628).
- bonding: check error value of register_netdevice() immediately
(bnc#1012628).
- iwlwifi: Make some Killer Wireless-AC 1550 cards work again
(bnc#1012628).
- net: bcmgenet: fix error returns in bcmgenet_probe()
(bnc#1012628).
- dpaa_eth: Fix one possible memleak in dpaa_eth_probe
(bnc#1012628).
- mlxsw: destroy workqueue when trap_register in mlxsw_emad_init
(bnc#1012628).
- ionic: use offset for ethtool regs data (bnc#1012628).
- ionic: fix up filter locks and debug msgs (bnc#1012628).
- ionic: update filter id after replay (bnc#1012628).
- ionic: keep rss hash after fw update (bnc#1012628).
- ionic: use mutex to protect queue operations (bnc#1012628).
- crypto/chtls: fix tls alert messages corrupted by tls data
(bnc#1012628).
- net: ag71xx: add missed clk_disable_unprepare in error path
of probe (bnc#1012628).
- RDMA/mlx5: Prevent prefetch from racing with implicit
destruction (bnc#1012628).
- net: hns3: fix for not calculating TX BD send size correctly
(bnc#1012628).
- net: hns3: fix error handling for desc filling (bnc#1012628).
- net: hns3: fix return value error when query MAC link status
fail (bnc#1012628).
- net: dsa: microchip: call phy_remove_link_mode during probe
(bnc#1012628).
- netdevsim: fix unbalaced locking in nsim_create() (bnc#1012628).
- qed: suppress "don't support RoCE & iWARP" flooding on HW init
(bnc#1012628).
- qed: suppress false-positives interrupt error messages on HW
init (bnc#1012628).
- ipvs: fix the connection sync failed in some cases
(bnc#1012628).
- net: ethernet: ave: Fix error returns in ave_init (bnc#1012628).
- iommu/qcom: Use domain rather than dev as tlb cookie
(bnc#1012628).
- Revert "PCI/PM: Assume ports without DLL Link Active train
links in 100 ms" (bnc#1012628).
- nfsd4: fix NULL dereference in nfsd/clients display code
(bnc#1012628).
- enetc: Remove the mdio bus on PF probe bailout (bnc#1012628).
- i2c: rcar: always clear ICSAR to avoid side effects
(bnc#1012628).
- i2c: i2c-qcom-geni: Fix DMA transfer race (bnc#1012628).
- bonding: check return value of register_netdevice() in
bond_newlink() (bnc#1012628).
- geneve: fix an uninitialized value in geneve_changelink()
(bnc#1012628).
- serial: exar: Fix GPIO configuration for Sealevel cards based
on XR17V35X (bnc#1012628).
- scripts/decode_stacktrace: strip basepath from all paths
(bnc#1012628).
- scripts/gdb: fix lx-symbols 'gdb.error' while loading modules
(bnc#1012628).
- RISC-V: Do not rely on initrd_start/end computed during early
dt parsing (bnc#1012628).
- kbuild: fix single target builds for external modules
(bnc#1012628).
- HID: i2c-hid: add Mediacom FlexBook edge13 to descriptor
override (bnc#1012628).
- HID: alps: support devices with report id 2 (bnc#1012628).
- dmaengine: ti: k3-udma: Fix cleanup code for
alloc_chan_resources (bnc#1012628).
- dmaengine: ti: k3-udma: Fix the running channel handling in
alloc_chan_resources (bnc#1012628).
- HID: steam: fixes race in handling device list (bnc#1012628).
- dmaengine: ti: k3-udma: add missing put_device() call in
of_xudma_dev_get() (bnc#1012628).
- dmaengine: idxd: fix hw descriptor fields for delta record
(bnc#1012628).
- HID: apple: Disable Fn-key key-re-mapping on clone keyboards
(bnc#1012628).
- dmaengine: tegra210-adma: Fix runtime PM imbalance on error
(bnc#1012628).
- soc: amlogic: meson-gx-socinfo: Fix S905X3 and S905D3 ID's
(bnc#1012628).
- Input: add `SW_MACHINE_COVER` (bnc#1012628).
- ARM: dts: n900: remove mmc1 card detect gpio (bnc#1012628).
- spi: mediatek: use correct SPI_CFG2_REG MACRO (bnc#1012628).
- regmap: dev_get_regmap_match(): fix string comparison
(bnc#1012628).
- hwmon: (aspeed-pwm-tacho) Avoid possible buffer overflow
(bnc#1012628).
- dmaengine: fsl-edma: fix wrong tcd endianness for big-endian
cpu (bnc#1012628).
- dmaengine: ioat setting ioat timeout as module parameter
(bnc#1012628).
- Input: synaptics - enable InterTouch for ThinkPad X1E 1st gen
(bnc#1012628).
- Input: elan_i2c - only increment wakeup count on touch
(bnc#1012628).
- usb: dwc3: pci: add support for the Intel Tiger Lake PCH -H
variant (bnc#1012628).
- usb: dwc3: pci: add support for the Intel Jasper Lake
(bnc#1012628).
- usb: gadget: udc: gr_udc: fix memleak on error handling path
in gr_ep_init() (bnc#1012628).
- usb: cdns3: ep0: fix some endian issues (bnc#1012628).
- usb: cdns3: trace: fix some endian issues (bnc#1012628).
- hwmon: (adm1275) Make sure we are reading enough data for
different chips (bnc#1012628).
- drm/amdgpu/gfx10: fix race condition for kiq (bnc#1012628).
- drm/amdgpu: fix preemption unit test (bnc#1012628).
- hwmon: (nct6775) Accept PECI Calibration as temperature source
for NCT6798D (bnc#1012628).
- platform/x86: ISST: Add new PCI device ids (bnc#1012628).
- platform/x86: asus-wmi: allow BAT1 battery name (bnc#1012628).
- hwmon: (scmi) Fix potential buffer overflow in
scmi_hwmon_probe() (bnc#1012628).
- ALSA: hda/realtek - fixup for yet another Intel reference board
(bnc#1012628).
- drivers/perf: Fix kernel panic when rmmod PMU modules during
perf sampling (bnc#1012628).
- arm64: Use test_tsk_thread_flag() for checking TIF_SINGLESTEP
(bnc#1012628).
- x86: math-emu: Fix up 'cmp' insn for clang ias (bnc#1012628).
- asm-generic/mmiowb: Allow mmiowb_set_pending() when
preemptible() (bnc#1012628).
- drivers/perf: Prevent forced unbinding of PMU drivers
(bnc#1012628).
- RISC-V: Upgrade smp_mb__after_spinlock() to iorw,iorw
(bnc#1012628).
- x86/boot: Don't add the EFI stub to targets (bnc#1012628).
- binder: Don't use mmput() from shrinker function (bnc#1012628).
- usb: xhci-mtk: fix the failure of bandwidth allocation
(bnc#1012628).
- usb: tegra: Fix allocation for the FPCI context (bnc#1012628).
- usb: xhci: Fix ASM2142/ASM3142 DMA addressing (bnc#1012628).
- Revert "cifs: Fix the target file was deleted when rename
failed." (bnc#1012628).
- iwlwifi: mvm: don't call iwl_mvm_free_inactive_queue() under
RCU (bnc#1012628).
- tty: xilinx_uartps: Really fix id assignment (bnc#1012628).
- staging: wlan-ng: properly check endpoint types (bnc#1012628).
- staging: comedi: addi_apci_1032: check INSN_CONFIG_DIGITAL_TRIG
shift (bnc#1012628).
- staging: comedi: ni_6527: fix INSN_CONFIG_DIGITAL_TRIG support
(bnc#1012628).
- staging: comedi: addi_apci_1500: check INSN_CONFIG_DIGITAL_TRIG
shift (bnc#1012628).
- staging: comedi: addi_apci_1564: check INSN_CONFIG_DIGITAL_TRIG
shift (bnc#1012628).
- serial: tegra: fix CREAD handling for PIO (bnc#1012628).
- serial: 8250: fix null-ptr-deref in serial8250_start_tx()
(bnc#1012628).
- serial: 8250_mtk: Fix high-speed baud rates clamping
(bnc#1012628).
- interconnect: msm8916: Fix buswidth of pcnoc_s nodes
(bnc#1012628).
- /dev/mem: Add missing memory barriers for devmem_inode
(bnc#1012628).
- fbdev: Detect integer underflow at "struct
fbcon_ops"->clear_margins (bnc#1012628).
- vt: Reject zero-sized screen buffer size (bnc#1012628).
- Makefile: Fix GCC_TOOLCHAIN_DIR prefix for Clang cross
compilation (bnc#1012628).
- mm/mmap.c: close race between munmap() and
expand_upwards()/downwards() (bnc#1012628).
- vfs/xattr: mm/shmem: kernfs: release simple xattr entry in a
right way (bnc#1012628).
- mm/memcg: fix refcount error while moving and swapping
(bnc#1012628).
- mm: memcg/slab: fix memory leak at non-root kmem_cache destroy
(bnc#1012628).
- mm/hugetlb: avoid hardcoding while checking if cma is enabled
(bnc#1012628).
- khugepaged: fix null-pointer dereference due to race
(bnc#1012628).
- io-mapping: indicate mapping failure (bnc#1012628).
- mmc: sdhci-of-aspeed: Fix clock divider calculation
(bnc#1012628).
- drm/amdgpu: Fix NULL dereference in dpm sysfs handlers
(bnc#1012628).
- drm/amd/powerplay: fix a crash when overclocking Vega M
(bnc#1012628).
- arm64: vdso32: Fix '--prefix=' value for newer versions of clang
(bnc#1012628).
- parisc: Add atomic64_set_release() define to avoid CPU soft
lockups (bnc#1012628).
- x86, vmlinux.lds: Page-align end of ..page_aligned sections
(bnc#1012628).
- ASoC: rt5670: Add new gpio1_is_ext_spk_en quirk and enable it
on the Lenovo Miix 2 10 (bnc#1012628).
- ASoC: qcom: Drop HAS_DMA dependency to fix link failure
(bnc#1012628).
- ASoC: topology: fix kernel oops on route addition error
(bnc#1012628).
- ASoC: topology: fix tlvs in error handling for widget_dmixer
(bnc#1012628).
- ASoC: Intel: bdw-rt5677: fix non BE conversion (bnc#1012628).
- dm integrity: fix integrity recalculation that is improperly
skipped (bnc#1012628).
- ath9k: Fix general protection fault in ath9k_hif_usb_rx_cb
(bnc#1012628).
- ath9k: Fix regression with Atheros 9271 (bnc#1012628).
- Refresh
patches.suse/btrfs-btrfs-use-the-new-VFS-super_block_dev.patch.
- commit 6157a8d
-------------------------------------------------------------------
Tue Jul 28 13:09:06 CEST 2020 - acho@suse.com
- Bluetooth: Disconnect if E0 is used for Level 4 (bsc#1171988
CVE-2020-10135).
- commit 86181ec
-------------------------------------------------------------------
Tue Jul 28 13:02:10 CEST 2020 - acho@suse.com
- Bluetooth: Disconnect if E0 is used for Level 4 (bsc#1171988
CVE-2020-10135).
- Bluetooth: Consolidate encryption handling in hci_encrypt_cfm
(bsc#1171988 CVE-2020-10135).
- commit d1148b9
-------------------------------------------------------------------
Tue Jul 28 11:36:00 CEST 2020 - dmueller@suse.com
- rpm/kernel-obs-build.spec.in: Enable overlayfs
Overlayfs is needed for podman or docker builds when no more specific
driver can be used (like lvm or btrfs). As the default build fs is ext4
currently, we need overlayfs kernel modules to be available.
- commit 29474aa
-------------------------------------------------------------------
Tue Jul 28 11:06:00 CEST 2020 - jslaby@suse.cz
- vgacon: fix out of bounds write to the scrollback buffer
(bsc#1174205 CVE-2020-14331).
- commit 62bfb93
-------------------------------------------------------------------
Mon Jul 27 00:50:54 CEST 2020 - mkubecek@suse.cz
- Update to 5.8-rc7
- eliminated 2 patches
- commit 786d3ff
-------------------------------------------------------------------
Wed Jul 22 16:25:48 CEST 2020 - jslaby@suse.cz
- soc: qcom: rpmh: Dirt can only make you dirtier, not cleaner
(git-fixes).
- commit 6a1b5cf
-------------------------------------------------------------------
Wed Jul 22 15:00:12 CEST 2020 - jslaby@suse.cz
- Linux 5.7.10 (bnc#1012628).
- bridge: mcast: Fix MLD2 Report IPv6 payload length check
(bnc#1012628).
- genetlink: remove genl_bind (bnc#1012628).
- ipv4: fill fl4_icmp_{type,code} in ping_v4_sendmsg
(bnc#1012628).
- ipv6: fib6_select_path can not use out path for nexthop objects
(bnc#1012628).
- ipv6: Fix use of anycast address with loopback (bnc#1012628).
- l2tp: remove skb_dst_set() from l2tp_xmit_skb() (bnc#1012628).
- llc: make sure applications use ARPHRD_ETHER (bnc#1012628).
- net: Added pointer check for dst->ops->neigh_lookup in
dst_neigh_lookup_skb (bnc#1012628).
- net: dsa: microchip: set the correct number of ports
(bnc#1012628).
- net: qrtr: free flow in __qrtr_node_release (bnc#1012628).
- net_sched: fix a memory leak in atm_tc_init() (bnc#1012628).
- net: usb: qmi_wwan: add support for Quectel EG95 LTE modem
(bnc#1012628).
- sched: consistently handle layer3 header accesses in the
presence of VLANs (bnc#1012628).
- tcp: fix SO_RCVLOWAT possible hangs under high mem pressure
(bnc#1012628).
- tcp: make sure listeners don't initialize congestion-control
state (bnc#1012628).
- tcp: md5: add missing memory barriers in
tcp_md5_do_add()/tcp_md5_hash_key() (bnc#1012628).
- tcp: md5: do not send silly options in SYNCOOKIES (bnc#1012628).
- vlan: consolidate VLAN parsing code and limit max parsing depth
(bnc#1012628).
- tcp: md5: refine tcp_md5_do_add()/tcp_md5_hash_key() barriers
(bnc#1012628).
- tcp: md5: allow changing MD5 keys in all socket states
(bnc#1012628).
- cgroup: fix cgroup_sk_alloc() for sk_clone_lock() (bnc#1012628).
- cgroup: Fix sock_cgroup_data on big-endian (bnc#1012628).
- net: ipa: always check for stopped channel (bnc#1012628).
- net: ipa: introduce ipa_cmd_tag_process() (bnc#1012628).
- ip: Fix SO_MARK in RST, ACK and ICMP packets (bnc#1012628).
- genetlink: get rid of family->attrbuf (bnc#1012628).
- net: ipv4: Fix wrong type conversion from hint to rt in
ip_route_use_hint() (bnc#1012628).
- ethtool: fix genlmsg_put() failure handling in
ethnl_default_dumpit() (bnc#1012628).
- mptcp: fix DSS map generation on fin retransmission
(bnc#1012628).
- net: rmnet: do not allow to add multiple bridge interfaces
(bnc#1012628).
- hsr: fix interface leak in error path of hsr_dev_finalize()
(bnc#1012628).
- drm/msm: fix potential memleak in error branch (bnc#1012628).
- drm/msm/dpu: allow initialization of encoder locks during
encoder init (bnc#1012628).
- drm/exynos: Properly propagate return value in
drm_iommu_attach_device() (bnc#1012628).
- drm/exynos: fix ref count leak in mic_pre_enable (bnc#1012628).
- x86/fpu: Reset MXCSR to default in kernel_fpu_begin()
(bnc#1012628).
- thermal/drivers: imx: Fix missing of_node_put() at probe time
(bnc#1012628).
- ACPI: DPTF: Add battery participant for TigerLake (bnc#1012628).
- blk-mq-debugfs: update blk_queue_flag_name[] accordingly for
new flags (bnc#1012628).
- m68k: nommu: register start of the memory with memblock
(bnc#1012628).
- m68k: mm: fix node memblock init (bnc#1012628).
- dt-bindings: bus: uniphier-system-bus: fix warning in example
(bnc#1012628).
- dt-bindings: mailbox: zynqmp_ipi: fix unit address
(bnc#1012628).
- dt-bindings: fix error in 'make clean' after 'make
dt_binding_check' (bnc#1012628).
- cifs: prevent truncation from long to int in
wait_for_free_credits (bnc#1012628).
- arm64/alternatives: use subsections for replacement sequences
(bnc#1012628).
- tpm_tis: extra chip->ops check on error path in
tpm_tis_core_init (bnc#1012628).
- xen/xenbus: avoid large structs and arrays on the stack
(bnc#1012628).
- xen/xenbus: let xenbus_map_ring_valloc() return errno values
only (bnc#1012628).
- gfs2: eliminate GIF_ORDERED in favor of list_empty
(bnc#1012628).
- gfs2: freeze should work on read-only mounts (bnc#1012628).
- gfs2: read-only mounts should grab the sd_freeze_gl glock
(bnc#1012628).
- gfs2: When freezing gfs2, use GL_EXACT and not GL_NOCACHE
(bnc#1012628).
- gfs2: The freeze glock should never be frozen (bnc#1012628).
- arm64: Add MIDR value for KRYO4XX gold CPU cores (bnc#1012628).
- arm64: Add KRYO4XX gold CPU cores to erratum list 1463225 and
1418040 (bnc#1012628).
- arm64: Add KRYO4XX silver CPU cores to erratum list 1530923
and 1024718 (bnc#1012628).
- i2c: eg20t: Load module automatically if ID matches
(bnc#1012628).
- arm64/alternatives: don't patch up internal branches
(bnc#1012628).
- iio:magnetometer:ak8974: Fix alignment and data leak issues
(bnc#1012628).
- iio:humidity:hdc100x Fix alignment and data leak issues
(bnc#1012628).
- iio: magnetometer: ak8974: Fix runtime PM imbalance on error
(bnc#1012628).
- iio: core: add missing IIO_MOD_H2/ETHANOL string identifiers
(bnc#1012628).
- iio: mma8452: Add missed iio_device_unregister() call in
mma8452_probe() (bnc#1012628).
- iio: pressure: zpa2326: handle pm_runtime_get_sync failure
(bnc#1012628).
- iio:humidity:hts221 Fix alignment and data leak issues
(bnc#1012628).
- iio:pressure:ms5611 Fix buffer element alignment (bnc#1012628).
- iio:health:afe4403 Fix timestamp alignment and prevent data leak
(bnc#1012628).
- arm64: Add missing sentinel to erratum_1463225 (bnc#1012628).
- xen/xenbus: Fix a double free in xenbus_map_ring_pv()
(bnc#1012628).
- io_uring: fix recvmsg memory leak with buffer selection
(bnc#1012628).
- Input: mms114 - add extra compatible for mms345l (bnc#1012628).
- iio:health:afe4404 Fix timestamp alignment and prevent data leak
(bnc#1012628).
- counter: 104-quad-8: Add lock guards - differential encoder
(bnc#1012628).
- counter: 104-quad-8: Add lock guards - filter clock prescaler
(bnc#1012628).
- dmaengine: ti: k3-udma: Use correct node to read "ti,udma-atype"
(bnc#1012628).
- soundwire: intel: fix memory leak with devm_kasprintf
(bnc#1012628).
- dmaengine: idxd: fix cdev locking for open and release
(bnc#1012628).
- dmaengine: ti: k3-udma: Fix delayed_work usage for tx drain
workaround (bnc#1012628).
- dmaengine: sh: usb-dmac: set tx_result parameters (bnc#1012628).
- phy: rockchip: Fix return value of inno_dsidphy_probe()
(bnc#1012628).
- phy: sun4i-usb: fix dereference of pointer phy0 before it is
null checked (bnc#1012628).
- arm64: dts: meson: add missing gxl rng clock (bnc#1012628).
- arm64: dts: meson-gxl-s805x: reduce initial Mali450 core
frequency (bnc#1012628).
- dmaengine: idxd: cleanup workqueue config after disabling
(bnc#1012628).
- dmaengine: idxd: fix misc interrupt handler thread unmasking
(bnc#1012628).
- bus: ti-sysc: Fix wakeirq sleeping function called from invalid
context (bnc#1012628).
- bus: ti-sysc: Fix sleeping function called from invalid context
for RTC quirk (bnc#1012628).
- bus: ti-sysc: Do not disable on suspend for no-idle
(bnc#1012628).
- iio: adc: ad7780: Fix a resource handling path in
'ad7780_probe()' (bnc#1012628).
- dmaengine: dw: Initialize channel before each transfer
(bnc#1012628).
- dmaengine: dmatest: stop completed threads when running without
set channel (bnc#1012628).
- spi: spi-sun6i: sun6i_spi_transfer_one(): fix setting of clock
rate (bnc#1012628).
- usb: gadget: udc: atmel: fix uninitialized read in debug printk
(bnc#1012628).
- staging: comedi: verify array index is correct before using it
(bnc#1012628).
- serial: core: Initialise spin lock before use in
uart_configure_port() (bnc#1012628).
- clk: mvebu: ARMADA_AP_CPU_CLK needs to select
ARMADA_AP_CP_HELPER (bnc#1012628).
- clk: AST2600: Add mux for EMMC clock (bnc#1012628).
- xprtrdma: Fix double-free in rpcrdma_ep_create() (bnc#1012628).
- xprtrdma: Fix recursion into rpcrdma_xprt_disconnect()
(bnc#1012628).
- xprtrdma: Fix return code from rpcrdma_xprt_connect()
(bnc#1012628).
- xprtrdma: Fix handling of connect errors (bnc#1012628).
- NFS: Fix interrupted slots by sending a solo SEQUENCE operation
(bnc#1012628).
- fuse: don't ignore errors from fuse_writepages_fill()
(bnc#1012628).
- ARM: dts: Fix dcan driver probe failed on am437x platform
(bnc#1012628).
- Revert "thermal: mediatek: fix register index error"
(bnc#1012628).
- xprtrdma: fix incorrect header size calculations (bnc#1012628).
- ARM: dts: socfpga: Align L2 cache-controller nodename with
dtschema (bnc#1012628).
- arm64: dts: spcfpga: Align GIC, NAND and UART nodenames with
dtschema (bnc#1012628).
- keys: asymmetric: fix error return code in software_key_query()
(bnc#1012628).
- nvme: explicitly update mpath disk capacity on revalidation
(bnc#1012628).
- regmap: debugfs: Don't sleep while atomic for fast_io regmaps
(bnc#1012628).
- copy_xstate_to_kernel: Fix typo which caused GDB regression
(bnc#1012628).
- arm: dts: mt7623: add phy-mode property for gmac2 (bnc#1012628).
- soc: qcom: socinfo: add missing soc_id sysfs entry
(bnc#1012628).
- dmaengine: ti: k3-udma: Disable memcopy via MCU NAVSS on am654
(bnc#1012628).
- apparmor: ensure that dfa state tables have entries
(bnc#1012628).
- habanalabs: Align protection bits configuration of all TPCs
(bnc#1012628).
- RDMA/rxe: Set default vendor ID (bnc#1012628).
- PCI/PM: Call .bridge_d3() hook only if non-NULL (bnc#1012628).
- perf stat: Zero all the 'ena' and 'run' array slot stats for
interval mode (bnc#1012628).
- soc: qcom: rpmh: Update dirty flag only when data changes
(bnc#1012628).
- soc: qcom: rpmh: Invalidate SLEEP and WAKE TCSes before flushing
new data (bnc#1012628).
- soc: qcom: rpmh-rsc: Clear active mode configuration for wake
TCS (bnc#1012628).
- soc: qcom: rpmh-rsc: Allow using free WAKE TCS for active
request (bnc#1012628).
- RDMA/mlx5: Verify that QP is created with RQ or SQ
(bnc#1012628).
- clk: qcom: Add missing msm8998 ufs_unipro_core_clk_src
(bnc#1012628).
- mtd: spi-nor: winbond: Fix 4-byte opcode support for w25q256
(bnc#1012628).
- mtd: spi-nor: spansion: fix writes on S25FS512S (bnc#1012628).
- mtd: rawnand: marvell: Fix the condition on a return code
(bnc#1012628).
- mtd: rawnand: marvell: Use nand_cleanup() when the device is
not yet registered (bnc#1012628).
- mtd: rawnand: marvell: Fix probe error path (bnc#1012628).
- mtd: rawnand: timings: Fix default tR_max and tCCS_min timings
(bnc#1012628).
- mtd: rawnand: brcmnand: correctly verify erased pages
(bnc#1012628).
- mtd: rawnand: brcmnand: fix CS0 layout (bnc#1012628).
- mtd: rawnand: oxnas: Keep track of registered devices
(bnc#1012628).
- mtd: rawnand: oxnas: Unregister all devices on error
(bnc#1012628).
- mtd: rawnand: oxnas: Release all devices in the _remove() path
(bnc#1012628).
- clk: qcom: gcc: Add GPU and NPU clocks for SM8150 (bnc#1012628).
- clk: qcom: gcc: Add missing UFS clocks for SM8150 (bnc#1012628).
- clk: qcom: gcc: Add support for a new frequency for SC7180
(bnc#1012628).
- slimbus: core: Fix mismatch in of_node_get/put (bnc#1012628).
- HID: logitech-hidpp: avoid repeated "multiplier = " log messages
(bnc#1012628).
- HID: magicmouse: do not set up autorepeat (bnc#1012628).
- HID: quirks: Always poll Obins Anne Pro 2 keyboard
(bnc#1012628).
- HID: quirks: Ignore Simply Automated UPB PIM (bnc#1012628).
- ALSA: line6: Perform sanity check for each URB creation
(bnc#1012628).
- ALSA: line6: Sync the pending work cancel at disconnection
(bnc#1012628).
- ALSA: usb-audio: Fix race against the error recovery URB
submission (bnc#1012628).
- ALSA: hda/realtek - change to suitable link model for ASUS
platform (bnc#1012628).
- ALSA: hda/realtek: enable headset mic of ASUS ROG Zephyrus
G14(G401) series with ALC289 (bnc#1012628).
- ALSA: hda/realtek: Enable headset mic of Acer TravelMate
B311R-31 with ALC256 (bnc#1012628).
- ALSA: hda/realtek - Enable Speaker for ASUS UX533 and UX534
(bnc#1012628).
- ALSA: hda/realtek - Enable Speaker for ASUS UX563 (bnc#1012628).
- thunderbolt: Fix path indices used in USB3 tunnel discovery
(bnc#1012628).
- USB: c67x00: fix use after free in c67x00_giveback_urb
(bnc#1012628).
- usb: dwc2: Fix shutdown callback in platform (bnc#1012628).
- usb: chipidea: core: add wakeup support for extcon
(bnc#1012628).
- usb: gadget: function: fix missing spinlock in f_uac1_legacy
(bnc#1012628).
- USB: serial: iuu_phoenix: fix memory corruption (bnc#1012628).
- USB: serial: cypress_m8: enable Simply Automated UPB PIM
(bnc#1012628).
- USB: serial: ch341: add new Product ID for CH340 (bnc#1012628).
- USB: serial: option: add GosunCn GM500 series (bnc#1012628).
- USB: serial: option: add Quectel EG95 LTE modem (bnc#1012628).
- virt: vbox: Fix VBGL_IOCTL_VMMDEV_REQUEST_BIG and _LOG req
numbers to match upstream (bnc#1012628).
- virt: vbox: Fix guest capabilities mask check (bnc#1012628).
- Revert "tty: xilinx_uartps: Fix missing id assignment to the
console" (bnc#1012628).
- tty: serial: cpm_uart: Fix behaviour for non existing GPIOs
(bnc#1012628).
- virtio: virtio_console: add missing MODULE_DEVICE_TABLE()
for rproc serial (bnc#1012628).
- serial: sh-sci: Initialize spinlock for uart console
(bnc#1012628).
- serial: mxs-auart: add missed iounmap() in probe failure and
remove (bnc#1012628).
- Revert "serial: core: Refactor uart_unlock_and_check_sysrq()"
(bnc#1012628).
- serial: core: fix sysrq overhead regression (bnc#1012628).
- ovl: fix regression with re-formatted lower squashfs
(bnc#1012628).
- ovl: inode reference leak in ovl_is_inuse true case
(bnc#1012628).
- ovl: relax WARN_ON() when decoding lower directory file handle
(bnc#1012628).
- ovl: fix unneeded call to ovl_change_flags() (bnc#1012628).
- fuse: ignore 'data' argument of mount(..., MS_REMOUNT)
(bnc#1012628).
- fuse: use ->reconfigure() instead of ->remount_fs()
(bnc#1012628).
- fuse: Fix parameter for FS_IOC_{GET,SET}FLAGS (bnc#1012628).
- Revert "zram: convert remaining CLASS_ATTR() to CLASS_ATTR_RO()"
(bnc#1012628).
- mei: bus: don't clean driver pointer (bnc#1012628).
- Revert "Input: elants_i2c - report resolution information for
touch major" (bnc#1012628).
- Input: i8042 - add Lenovo XiaoXin Air 12 to i8042 nomux list
(bnc#1012628).
- Input: elan_i2c - add more hardware ID for Lenovo laptops
(bnc#1012628).
- coresight: etmv4: Fix CPU power management setup in probe()
function (bnc#1012628).
- uio_pdrv_genirq: Remove warning when irq is not specified
(bnc#1012628).
- uio_pdrv_genirq: fix use without device tree and no interrupt
(bnc#1012628).
- scsi: megaraid_sas: Remove undefined ENABLE_IRQ_POLL macro
(bnc#1012628).
- timer: Prevent base->clk from moving backward (bnc#1012628).
- timer: Fix wheel index calculation on last level (bnc#1012628).
- riscv: use 16KB kernel stack on 64-bit (bnc#1012628).
- hwmon: (emc2103) fix unable to change fan pwm1_enable attribute
(bnc#1012628).
- hwmon: (drivetemp) Avoid SCT usage on Toshiba DT01ACA family
drives (bnc#1012628).
- powerpc/book3s64/pkeys: Fix pkey_access_permitted() for execute
disable pkey (bnc#1012628).
- powerpc/pseries/svm: Fix incorrect check for shared_lppaca_size
(bnc#1012628).
- intel_th: pci: Add Jasper Lake CPU support (bnc#1012628).
- intel_th: pci: Add Tiger Lake PCH-H support (bnc#1012628).
- intel_th: pci: Add Emmitsburg PCH support (bnc#1012628).
- intel_th: Fix a NULL dereference when hub driver is not loaded
(bnc#1012628).
- opp: Increase parsed_static_opps in _of_add_opp_table_v1()
(bnc#1012628).
- dmaengine: fsl-edma: Fix NULL pointer exception in
fsl_edma_tx_handler (bnc#1012628).
- dmaengine: mcf-edma: Fix NULL pointer exception in
mcf_edma_tx_handler (bnc#1012628).
- dmaengine: fsl-edma-common: correct DSIZE_32BYTE (bnc#1012628).
- misc: atmel-ssc: lock with mutex instead of spinlock
(bnc#1012628).
- dmabuf: use spinlock to access dmabuf->name (bnc#1012628).
- thermal: int3403_thermal: Downgrade error message (bnc#1012628).
- ARM: dts: imx6qdl-gw551x: fix audio SSI (bnc#1012628).
- arm64: dts: agilex: add status to qspi dts node (bnc#1012628).
- arm64: dts: stratix10: add status to qspi dts node
(bnc#1012628).
- arm64: dts: stratix10: increase QSPI reg address in nand dts
file (bnc#1012628).
- arm64: ptrace: Override SPSR.SS when single-stepping is enabled
(bnc#1012628).
- arm64: ptrace: Consistently use pseudo-singlestep exceptions
(bnc#1012628).
- arm64: compat: Ensure upper 32 bits of x0 are zero on syscall
return (bnc#1012628).
- sched: Fix unreliable rseq cpu_id for new tasks (bnc#1012628).
- sched/fair: handle case of task_h_load() returning 0
(bnc#1012628).
- x86/ioperm: Fix io bitmap invalidation on Xen PV (bnc#1012628).
- genirq/affinity: Handle affinity setting on inactive interrupts
correctly (bnc#1012628).
- drm/vmwgfx: fix update of display surface when resolution
changes (bnc#1012628).
- drm/amdgpu/powerplay: Modify SMC message name for setting
power profile mode (bnc#1012628).
- drm/amdgpu/sdma5: fix wptr overwritten in ->get_wptr()
(bnc#1012628).
- drm/amd/display: handle failed allocation during stream
construction (bnc#1012628).
- drm/amd/display: OLED panel backlight adjust not work with
external display connected (bnc#1012628).
- drm/amdgpu/display: create fake mst encoders ahead of time (v4)
(bnc#1012628).
- drm/i915: Move cec_notifier to intel_hdmi_connector_unregister,
v2 (bnc#1012628).
- drm/i915/gt: Ignore irq enabling on the virtual engines
(bnc#1012628).
- drm/i915/gt: Only swap to a random sibling once upon creation
(bnc#1012628).
- libceph: don't omit recovery_deletes in target_copy()
(bnc#1012628).
- rxrpc: Fix trace string (bnc#1012628).
- spi: sprd: switch the sequence of setting WDG_LOAD_LOW and _HIGH
(bnc#1012628).
- ionic: no link check while resetting queues (bnc#1012628).
- ionic: export features for vlans to use (bnc#1012628).
- iommu/vt-d: Make Intel SVM code 64-bit only (bnc#1012628).
- mm/memory.c: properly pte_offset_map_lock/unlock in
vm_insert_pages() (bnc#1012628).
- drm/i915/gvt: Fix two CFL MMIO handling caused by regression
(bnc#1012628).
- gpio: pca953x: disable regmap locking for automatic address
incrementing (bnc#1012628).
- bpf: sockmap: Check value of unused args to BPF_PROG_ATTACH
(bnc#1012628).
- bpf: sockmap: Require attach_bpf_fd when detaching a program
(bnc#1012628).
- drm/i915/perf: Use GTT when saving/restoring engine GPR
(bnc#1012628).
- commit dcedc15
-------------------------------------------------------------------
Tue Jul 21 17:54:15 CEST 2020 - mkubecek@suse.cz
- sched: Fix race against ptrace_freeze_trace() (bsc#1174345).
- commit 007dcf0
-------------------------------------------------------------------
Mon Jul 20 01:14:21 CEST 2020 - mkubecek@suse.cz
- Update to 5.8-rc6
- eliminated 2 patches
- refresh config files
- commit 25ae237
-------------------------------------------------------------------
Fri Jul 17 14:16:32 CEST 2020 - mkubecek@suse.cz
- config: restore PHYLIB=m
This essentially reverts kernel-source commit fcc47b444be6 ("config:
enable PHYLIB=y and ETHTOOL_NETLINK=y") which responded to upstream
change not allowing ETHTOOL_NETLINK=y with PHYLIB=m. There are two
exceptions:
- vanilla flavors keep PHYLIB=y as patches fixing the dependency are
not applied to */vanilla (will be fixed with 5.9-rc1 update)
- we preserve ETHTOOL_NETLINK=y which was previously lost in 5.8-rc1
update
- commit 4756d9e
-------------------------------------------------------------------
Fri Jul 17 14:04:15 CEST 2020 - mkubecek@suse.cz
- net: ethtool: Remove PHYLIB direct dependency
(http://lkml.kernel.org/r/0353ce74-ffc6-4d40-bf0f-d2a7ad640b30@gmail.com).
- net: phy: Register ethtool PHY operations
(http://lkml.kernel.org/r/0353ce74-ffc6-4d40-bf0f-d2a7ad640b30@gmail.com).
- net: ethtool: Introduce ethtool_phy_ops
(http://lkml.kernel.org/r/0353ce74-ffc6-4d40-bf0f-d2a7ad640b30@gmail.com).
- commit bcc2825
-------------------------------------------------------------------
Fri Jul 17 13:24:03 CEST 2020 - mkubecek@suse.cz
- bpf: Use dedicated bpf_trace_printk event instead of
trace_printk().
- commit a2c9fc2
-------------------------------------------------------------------
Thu Jul 16 11:40:06 CEST 2020 - jslaby@suse.cz
- net: ethernet: mvneta: Do not error out in non serdes modes
(git-fixes).
- spi: spi-fsl-dspi: Fix lockup if device is shutdown during
SPI transfer (git-fixes).
- scsi: qla2xxx: make 1-bit bit-fields unsigned int (git-fixes).
- scsi: qla2xxx: Simplify the functions for dumping firmware
(git-fixes).
- net: ethernet: mvneta: Add back interface mode validation
(git-fixes).
- commit a010166
-------------------------------------------------------------------
Thu Jul 16 10:41:31 CEST 2020 - jslaby@suse.cz
- Linux 5.7.9 (bnc#1012628).
- KVM: s390: reduce number of IO pins to 1 (bnc#1012628).
- spi: spi-fsl-dspi: Adding shutdown hook (bnc#1012628).
- spi: spi-fsl-dspi: Fix lockup if device is removed during SPI
transfer (bnc#1012628).
- regmap: fix alignment issue (bnc#1012628).
- perf/x86/rapl: Move RAPL support to common x86 code
(bnc#1012628).
- perf/x86/rapl: Fix RAPL config variable bug (bnc#1012628).
- ARM: dts: omap4-droid4: Fix spi configuration and increase rate
(bnc#1012628).
- drm/ttm: Fix dma_fence refcnt leak in ttm_bo_vm_fault_reserved
(bnc#1012628).
- drm/ttm: Fix dma_fence refcnt leak when adding move fence
(bnc#1012628).
- gpu: host1x: Clean up debugfs in error handling path
(bnc#1012628).
- drm/tegra: hub: Do not enable orphaned window group
(bnc#1012628).
- gpu: host1x: Detach driver on unregister (bnc#1012628).
- staging: wfx: fix coherency of hif_scan() prototype
(bnc#1012628).
- drm: mcde: Fix display initialization problem (bnc#1012628).
- ASoC: SOF: Intel: add PCI ID for CometLake-S (bnc#1012628).
- ASoC: hdac_hda: fix memleak with regmap not freed on remove
(bnc#1012628).
- ALSA: hda: Intel: add missing PCI IDs for ICL-H, TGL-H and EKL
(bnc#1012628).
- spi: spidev: fix a race between spidev_release and spidev_remove
(bnc#1012628).
- spi: spidev: fix a potential use-after-free in spidev_release()
(bnc#1012628).
- net: ethernet: mvneta: Fix Serdes configuration for SoCs
without comphy (bnc#1012628).
- net: ethernet: mvneta: Add 2500BaseX support for SoCs without
comphy (bnc#1012628).
- ixgbe: protect ring accesses with READ- and WRITE_ONCE
(bnc#1012628).
- i40e: protect ring accesses with READ- and WRITE_ONCE
(bnc#1012628).
- ice: protect ring accesses with WRITE_ONCE (bnc#1012628).
- ibmvnic: continue to init in CRQ reset returns H_CLOSED
(bnc#1012628).
- powerpc/kvm/book3s64: Fix kernel crash with nested kvm &
DEBUG_VIRTUAL (bnc#1012628).
- xprtrdma: Prevent dereferencing r_xprt->rx_ep after it is freed
(bnc#1012628).
- iommu/vt-d: Don't apply gfx quirks to untrusted devices
(bnc#1012628).
- drm: panel-orientation-quirks: Add quirk for Asus T101HA panel
(bnc#1012628).
- drm: panel-orientation-quirks: Use generic orientation-data
for Acer S1003 (bnc#1012628).
- s390/kasan: fix early pgm check handler execution (bnc#1012628).
- cifs: update ctime and mtime during truncate (bnc#1012628).
- ARM: imx6: add missing put_device() call in imx6q_suspend_init()
(bnc#1012628).
- scsi: qla2xxx: Fix MPI failure AEN (8200) handling
(bnc#1012628).
- scsi: mptscsih: Fix read sense data size (bnc#1012628).
- usb: dwc3: pci: Fix reference count leak in dwc3_pci_resume_work
(bnc#1012628).
- arm64: kpti: Add KRYO{3, 4}XX silver CPU cores to kpti safelist
(bnc#1012628).
- block: release bip in a right way in error path (bnc#1012628).
- nvme-rdma: assign completion vector correctly (bnc#1012628).
- x86/entry: Increase entry_stack size to a full page
(bnc#1012628).
- arm64: Add KRYO{3,4}XX silver CPU cores to SSB safelist
(bnc#1012628).
- nfs: Fix memory leak of export_path (bnc#1012628).
- sched/core: Check cpus_mask, not cpus_ptr in
__set_cpus_allowed_ptr(), to fix mask corruption (bnc#1012628).
- net: qrtr: Fix an out of bounds read qrtr_endpoint_post()
(bnc#1012628).
- mtd: set master partition panic write flag (bnc#1012628).
- gpio: pca953x: Synchronize interrupt handler properly
(bnc#1012628).
- gpio: pca953x: Override IRQ for one of the expanders on Galileo
Gen 2 (bnc#1012628).
- gpio: pca953x: Fix direction setting when configure an IRQ
(bnc#1012628).
- gpio: pca953x: Fix GPIO resource leak on Intel Galileo Gen 2
(bnc#1012628).
- ASoC: fsl_mqs: Don't check clock is NULL before calling clk API
(bnc#1012628).
- ASoC: fsl_mqs: Fix unchecked return value for clk_prepare_enable
(bnc#1012628).
- KVM: arm64: vgic-v4: Plug race between non-residency and v4.1
doorbell (bnc#1012628).
- mac80211: Fix dropping broadcast packets in 802.11 encap
(bnc#1012628).
- bpf: Do not allow btf_ctx_access with __int128 types
(bnc#1012628).
- nl80211: don't return err unconditionally in nl80211_start_ap()
(bnc#1012628).
- nl80211: fix memory leak when parsing NL80211_ATTR_HE_BSS_COLOR
(bnc#1012628).
- drm/mediatek: Check plane visibility in atomic_update
(bnc#1012628).
- bpf, sockmap: RCU splat with redirect and strparser error or
TLS (bnc#1012628).
- bpf, sockmap: RCU dereferenced psock may be used outside RCU
block (bnc#1012628).
- netfilter: ipset: call ip_set_free() instead of kfree()
(bnc#1012628).
- net: mvneta: fix use of state->speed (bnc#1012628).
- net: ipa: no checksum offload for SDM845 LAN RX (bnc#1012628).
- net: cxgb4: fix return error value in t4_prep_fw (bnc#1012628).
- btrfs: fix reclaim_size counter leak after stealing from global
reserve (bnc#1012628).
- drm/meson: viu: fix setting the OSD burst length in
VIU_OSD1_FIFO_CTRL_STAT (bnc#1012628).
- IB/sa: Resolv use-after-free in ib_nl_make_request()
(bnc#1012628).
- net: dsa: microchip: set the correct number of ports
(bnc#1012628).
- netfilter: conntrack: refetch conntrack after
nf_conntrack_update() (bnc#1012628).
- net: rmnet: fix lower interface leak (bnc#1012628).
- net: rmnet: do not allow to add multiple bridge interfaces
(bnc#1012628).
- perf report TUI: Fix segmentation fault in
perf_evsel__hists_browse() (bnc#1012628).
- perf intel-pt: Fix recording PEBS-via-PT with registers
(bnc#1012628).
- perf intel-pt: Fix PEBS sample for XMM registers (bnc#1012628).
- smsc95xx: check return value of smsc95xx_reset (bnc#1012628).
- smsc95xx: avoid memory leak in smsc95xx_bind (bnc#1012628).
- net: hns3: check reset pending after FLR prepare (bnc#1012628).
- net: hns3: fix for mishandle of asserting VF reset fail
(bnc#1012628).
- net: hns3: add a missing uninit debugfs when unload driver
(bnc#1012628).
- net: hns3: fix use-after-free when doing self test
(bnc#1012628).
- ALSA: compress: fix partial_drain completion state
(bnc#1012628).
- net: ipa: fix QMI structure definition bugs (bnc#1012628).
- net: qed: fix buffer overflow on ethtool -d (bnc#1012628).
- ionic: centralize queue reset code (bnc#1012628).
- powerpc/64s/exception: Fix 0x1500 interrupt handler crash
(bnc#1012628).
- RDMA/siw: Fix reporting vendor_part_id (bnc#1012628).
- net: atlantic: fix ip dst and ipv6 address filters
(bnc#1012628).
- arm64: kgdb: Fix single-step exception handling oops
(bnc#1012628).
- nbd: Fix memory leak in nbd_add_socket (bnc#1012628).
- IB/mlx5: Fix 50G per lane indication (bnc#1012628).
- qed: Populate nvm-file attributes while reading nvm config
partition (bnc#1012628).
- selftests: bpf: Fix detach from sockmap tests (bnc#1012628).
- net/mlx5: Fix eeprom support for SFP module (bnc#1012628).
- net/mlx5e: Fix VXLAN configuration restore after function reload
(bnc#1012628).
- net/mlx5e: Fix CPU mapping after function reload to avoid aRFS
RX crash (bnc#1012628).
- net/mlx5e: Fix 50G per lane indication (bnc#1012628).
- net/mlx5e: CT: Fix memory leak in cleanup (bnc#1012628).
- bnxt_en: fix NULL dereference in case SR-IOV configuration fails
(bnc#1012628).
- net: macb: fix wakeup test in runtime suspend/resume routines
(bnc#1012628).
- net: macb: mark device wake capable when "magic-packet"
property present (bnc#1012628).
- net: macb: fix macb_get/set_wol() when moving to phylink
(bnc#1012628).
- net: macb: fix macb_suspend() by removing call to
netif_carrier_off() (bnc#1012628).
- net: macb: fix call to pm_runtime in the suspend/resume
functions (bnc#1012628).
- mlxsw: spectrum_router: Remove inappropriate usage of WARN_ON()
(bnc#1012628).
- mlxsw: pci: Fix use-after-free in case of failed devlink reload
(bnc#1012628).
- IB/hfi1: Do not destroy hfi1_wq when the device is shut down
(bnc#1012628).
- IB/hfi1: Do not destroy link_wq when the device is shut down
(bnc#1012628).
- ALSA: opl3: fix infoleak in opl3 (bnc#1012628).
- ALSA: hda - let hs_mic be picked ahead of hp_mic (bnc#1012628).
- ALSA: usb-audio: add quirk for MacroSilicon MS2109
(bnc#1012628).
- ALSA: usb-audio: Add implicit feedback quirk for RTX6001
(bnc#1012628).
- ALSA: hda/realtek - Fix Lenovo Thinkpad X1 Carbon 7th quirk
subdevice id (bnc#1012628).
- ALSA: hda/realtek - Enable audio jacks of Acer vCopperbox with
ALC269VC (bnc#1012628).
- ALSA: hda/realtek: Enable headset mic of Acer C20-820 with
ALC269VC (bnc#1012628).
- ALSA: hda/realtek: Enable headset mic of Acer Veriton N4660G
with ALC269VC (bnc#1012628).
- KVM: arm64: Fix definition of PAGE_HYP_DEVICE (bnc#1012628).
- KVM: arm64: Stop clobbering x0 for HVC_SOFT_RESTART
(bnc#1012628).
- KVM: arm64: Annotate hyp NMI-related functions as
__always_inline (bnc#1012628).
- KVM: x86: bit 8 of non-leaf PDPEs is not reserved (bnc#1012628).
- KVM: x86: Inject #GP if guest attempts to toggle CR4.LA57 in
64-bit mode (bnc#1012628).
- KVM: x86: Mark CR4.TSD as being possibly owned by the guest
(bnc#1012628).
- KVM: arm64: Fix kvm_reset_vcpu() return code being incorrect
with SVE (bnc#1012628).
- io_uring: fix memleak in __io_sqe_files_update() (bnc#1012628).
- io_uring: account user memory freed when exit has been queued
(bnc#1012628).
- io_uring: fix memleak in io_sqe_files_register() (bnc#1012628).
- io_uring: fix missing msg_name assignment (bnc#1012628).
- kallsyms: Refactor kallsyms_show_value() to take cred
(bnc#1012628).
- module: Refactor section attr into bin attribute (bnc#1012628).
- module: Do not expose section addresses to non-CAP_SYSLOG
(bnc#1012628).
- kprobes: Do not expose probe addresses to non-CAP_SYSLOG
(bnc#1012628).
- bpf: Check correct cred for CAP_SYSLOG in bpf_dump_raw_ok()
(bnc#1012628).
- btrfs: fix fatal extent_buffer readahead vs releasepage race
(bnc#1012628).
- btrfs: reset tree root pointer after error in init_tree_roots
(bnc#1012628).
- btrfs: discard: add missing put when grabbing block group from
unused list (bnc#1012628).
- btrfs: fix double put of block group with nocow (bnc#1012628).
- drm/radeon: fix double free (bnc#1012628).
- drm/i915/gt: Pin the rings before marking active (bnc#1012628).
- drm/i915: Skip stale object handle for debugfs per-file-stats
(bnc#1012628).
- drm/amdgpu: don't do soft recovery if gpu_recovery=0
(bnc#1012628).
- drm/amdgpu: add TMR destory function for psp (bnc#1012628).
- drm/amdgpu: asd function needs to be unloaded in suspend phase
(bnc#1012628).
- drm/i915: Drop vm.ref for duplicate vma on construction
(bnc#1012628).
- drm/i915: Also drop vm.ref along error paths for vma
construction (bnc#1012628).
- cifs: fix reference leak for tlink (bnc#1012628).
- smb3: fix access denied on change notify request to some servers
(bnc#1012628).
- smb3: fix unneeded error message on change notify (bnc#1012628).
- dm: use noio when sending kobject event (bnc#1012628).
- mmc: meson-gx: limit segments to 1 when dram-access-quirk is
needed (bnc#1012628).
- mmc: owl-mmc: Get rid of of_match_ptr() macro (bnc#1012628).
- pinctrl: baytrail: Fix pin being driven low for a while on
gpiod_get(..., GPIOD_OUT_HIGH) (bnc#1012628).
- ARC: entry: fix potential EFA clobber when TIF_SYSCALL_TRACE
(bnc#1012628).
- ARC: elf: use right ELF_ARCH (bnc#1012628).
- s390/setup: init jump labels before command line parsing
(bnc#1012628).
- s390/mm: fix huge pte soft dirty copying (bnc#1012628).
- arm64: Introduce a way to disable the 32bit vdso (bnc#1012628).
- arm64: arch_timer: Allow an workaround descriptor to disable
compat vdso (bnc#1012628).
- arm64: arch_timer: Disable the compat vdso for cores affected
by ARM64_WORKAROUND_1418040 (bnc#1012628).
- blk-mq: consider non-idle request as "inflight" in
blk_mq_rq_inflight() (bnc#1012628).
- dm writecache: reject asynchronous pmem devices (bnc#1012628).
- perf scripts python: export-to-postgresql.py: Fix struct.pack()
int argument (bnc#1012628).
- perf scripts python: exported-sql-viewer.py: Fix zero id in
call graph 'Find' result (bnc#1012628).
- perf scripts python: exported-sql-viewer.py: Fix zero id in
call tree 'Find' result (bnc#1012628).
- perf scripts python: exported-sql-viewer.py: Fix unexpanded
'Find' result (bnc#1012628).
- perf scripts python: exported-sql-viewer.py: Fix time chart
call tree (bnc#1012628).
- s390: Change s390_kernel_write() return type to match memcpy()
(bnc#1012628).
- s390/maccess: add no DAT mode to kernel_write (bnc#1012628).
- commit 7237b79
-------------------------------------------------------------------
Wed Jul 15 12:30:54 CEST 2020 - mbrugger@suse.com
- brcmfmac: Transform compatible string for FW loading
(bsc#1169771).
- commit 0f57628
-------------------------------------------------------------------
Tue Jul 14 14:02:38 CEST 2020 - afaerber@suse.com
- config: arm64: Update to 5.8-rc5
- commit b4e494e
-------------------------------------------------------------------
Mon Jul 13 08:34:04 CEST 2020 - jslaby@suse.cz
- cxgb4: fix all-mask IP address comparison (git-fixes).
- commit 8f507a0
-------------------------------------------------------------------
Mon Jul 13 06:25:16 CEST 2020 - mkubecek@suse.cz
- Update to 5.8-rc5
- refresh riscv64 configs
- commit 9f5e5ef
-------------------------------------------------------------------
Fri Jul 10 16:47:15 CEST 2020 - mkubecek@suse.cz
- sched: Fix loadavg accounting race
(http://lkml.kernel.org/r/20200702171548.GA11813@codemonkey.org.uk).
- commit 2cd7849
-------------------------------------------------------------------
Thu Jul 9 11:45:46 CEST 2020 - jslaby@suse.cz
- Linux 5.7.8 (bnc#1012628).
- efi: Make it possible to disable efivar_ssdt entirely
(bnc#1012628).
- Update config files.
- dm zoned: assign max_io_len correctly (bnc#1012628).
- mm/cma.c: use exact_nid true to fix possible per-numa cma leak
(bnc#1012628).
- mm/hugetlb.c: fix pages per hugetlb calculation (bnc#1012628).
- irqchip/gic: Atomically update affinity (bnc#1012628).
- dma-buf: Move dma_buf_release() from fops to dentry_ops
(bnc#1012628).
- drm/amdgpu/atomfirmware: fix vram_info fetching for renoir
(bnc#1012628).
- drm/amdgpu: use %u rather than %d for sclk/mclk (bnc#1012628).
- drm/amd/display: Only revalidate bandwidth on medium and fast
updates (bnc#1012628).
- drm/amd/powerplay: Fix NULL dereference in lock_bus() on Vega20
w/o RAS (bnc#1012628).
- drm/i915: Include asm sources for {ivb, hsw}_clear_kernel.c
(bnc#1012628).
- MIPS: Add missing EHB in mtc0 -> mfc0 sequence for DSPen
(bnc#1012628).
- MIPS: lantiq: xway: sysctrl: fix the GPHY clock alias names
(bnc#1012628).
- x86/split_lock: Don't write MSR_TEST_CTRL on CPUs that aren't
whitelisted (bnc#1012628).
- gfs2: fix trans slab error when withdraw occurs inside log_flush
(bnc#1012628).
- ACPI: fan: Fix Tiger Lake ACPI device ID (bnc#1012628).
- thermal/drivers/cpufreq_cooling: Fix wrong frequency converted
from power (bnc#1012628).
- hwmon: (pmbus) Fix page vs. register when accessing fans
(bnc#1012628).
- Drivers: hv: Change flag to write log level in panic msg to
false (bnc#1012628).
- cifs: Fix the target file was deleted when rename failed
(bnc#1012628).
- SMB3: Honor 'handletimeout' flag for multiuser mounts
(bnc#1012628).
- SMB3: Honor lease disabling for multiuser mounts (bnc#1012628).
- SMB3: Honor persistent/resilient handle flags for multiuser
mounts (bnc#1012628).
- SMB3: Honor 'seal' flag for multiuser mounts (bnc#1012628).
- padata: upgrade smp_mb__after_atomic to smp_mb in
padata_do_serial (bnc#1012628).
- Revert "ALSA: usb-audio: Improve frames size computation"
(bnc#1012628).
- nfsd: apply umask on fs without ACL support (bnc#1012628).
- spi: spi-fsl-dspi: Fix external abort on interrupt in resume
or exit paths (bnc#1012628).
- io_uring: fix regression with always ignoring signals in
io_cqring_wait() (bnc#1012628).
- i2c: mlxcpld: check correct size of maximum RECV_LEN packet
(bnc#1012628).
- i2c: designware: platdrv: Set class based on DMI (bnc#1012628).
- i2c: algo-pca: Add 0x78 as SCL stuck low status for PCA9665
(bnc#1012628).
- samples/vfs: avoid warning in statx override (bnc#1012628).
- tpm: ibmvtpm: Wait for ready buffer before probing for TPM2
attributes (bnc#1012628).
- nvme: fix a crash in nvme_mpath_add_disk (bnc#1012628).
- nvme: fix identify error status silent ignore (bnc#1012628).
- SMB3: Honor 'posix' flag for multiuser mounts (bnc#1012628).
- virtio-blk: free vblk-vqs in error path of virtblk_probe()
(bnc#1012628).
- drm: sun4i: hdmi: Remove extra HPD polling (bnc#1012628).
- nfsd: fix nfsdfs inode reference count leak (bnc#1012628).
- nfsd4: fix nfsdfs reference count loop (bnc#1012628).
- thermal/drivers/rcar_gen3: Fix undefined temperature if negative
(bnc#1012628).
- thermal/drivers/sprd: Fix return value of sprd_thm_probe()
(bnc#1012628).
- thermal/drivers/mediatek: Fix bank number settings on mt8183
(bnc#1012628).
- scsi: qla2xxx: Fix a condition in qla2x00_find_all_fabric_devs()
(bnc#1012628).
- hwmon: (acpi_power_meter) Fix potential memory leak in
acpi_power_meter_add() (bnc#1012628).
- hwmon: (max6697) Make sure the OVERT mask is set correctly
(bnc#1012628).
- security: Fix hook iteration and default value for
inode_copy_up_xattr (bnc#1012628).
- cxgb4: fix SGE queue dump destination buffer context
(bnc#1012628).
- cxgb4: use correct type for all-mask IP address comparison
(bnc#1012628).
- cxgb4: fix endian conversions for L4 ports in filters
(bnc#1012628).
- cxgb4: parse TC-U32 key values and masks natively (bnc#1012628).
- cxgb4: use unaligned conversion for fetching timestamp
(bnc#1012628).
- hsr: avoid to create proc file after unregister (bnc#1012628).
- hsr: remove hsr interface if all slaves are removed
(bnc#1012628).
- xfs: fix use-after-free on CIL context on shutdown
(bnc#1012628).
- RDMA/counter: Query a counter before release (bnc#1012628).
- irqchip/gic-v4.1: Use readx_poll_timeout_atomic() to fix sleep
in atomic (bnc#1012628).
- enetc: Fix HW_VLAN_CTAG_TX|RX toggling (bnc#1012628).
- net: enetc: add hw tc hw offload features for PSPF capability
(bnc#1012628).
- mptcp: drop MP_JOIN request sock on syn cookies (bnc#1012628).
- rxrpc: Fix afs large storage transmission performance drop
(bnc#1012628).
- btrfs: fix RWF_NOWAIT writes blocking on extent locks and
waiting for IO (bnc#1012628).
- drm/msm/dpu: fix error return code in dpu_encoder_init
(bnc#1012628).
- io_uring: use signal based task_work running (bnc#1012628).
- task_work: teach task_work_add() to do signal_wake_up()
(bnc#1012628).
- crypto: af_alg - fix use-after-free in af_alg_accept() due to
bh_lock_sock() (bnc#1012628).
- tpm: Fix TIS locality timeout problems (bnc#1012628).
- selftests: tpm: Use /bin/sh instead of /bin/bash (bnc#1012628).
- Revert "tpm: selftest: cleanup after unseal with wrong
auth/policy test" (bnc#1012628).
- kgdb: Avoid suspicious RCU usage warning (bnc#1012628).
- io_uring: fix current->mm NULL dereference on exit
(bnc#1012628).
- nvme-multipath: fix bogus request queue reference put
(bnc#1012628).
- nvme-multipath: fix deadlock due to head->lock (bnc#1012628).
- nvme-multipath: fix deadlock between ana_work and scan_work
(bnc#1012628).
- nvme: fix possible deadlock when I/O is blocked (bnc#1012628).
- nvme-multipath: set bdi capabilities once (bnc#1012628).
- io_uring: fix io_sq_thread no schedule when busy (bnc#1012628).
- s390/debug: avoid kernel warning on too large number of pages
(bnc#1012628).
- tools lib traceevent: Handle __attribute__((user)) in field
names (bnc#1012628).
- tools lib traceevent: Add append() function helper for appending
strings (bnc#1012628).
- usb: usbtest: fix missing kfree(dev->buf) in usbtest_disconnect
(bnc#1012628).
- rxrpc: Fix race between incoming ACK parser and retransmitter
(bnc#1012628).
- io_uring: fix {SQ,IO}POLL with unsupported opcodes
(bnc#1012628).
- mm, dump_page(): do not crash with invalid mapping pointer
(bnc#1012628).
- mm/slub: fix stack overruns with SLUB_STATS (bnc#1012628).
- mm/slub.c: fix corrupted freechain in deactivate_slab()
(bnc#1012628).
- powerpc/book3s64/kvm: Fix secondary page table walk warning
during migration (bnc#1012628).
- powerpc/kvm/book3s: Add helper to walk partition scoped linux
page table (bnc#1012628).
- soc: ti: omap-prm: use atomic iopoll instead of sleeping one
(bnc#1012628).
- sched/debug: Make sd->flags sysctl read-only (bnc#1012628).
- drm/amdgpu: fix kernel page fault issue by ras recovery on sGPU
(bnc#1012628).
- drm/amdgpu: fix non-pointer dereference for non-RAS supported
(bnc#1012628).
- drm/amdgpu: disable ras query and iject during gpu reset
(bnc#1012628).
- drm/i915/gt: Mark timeline->cacheline as destroyed after rcu
grace period (bnc#1012628).
- tipc: Fix NULL pointer dereference in __tipc_sendstream()
(bnc#1012628).
- usbnet: smsc95xx: Fix use-after-free after removal
(bnc#1012628).
- tipc: fix kernel WARNING in tipc_msg_append() (bnc#1012628).
- tipc: add test for Nagle algorithm effectiveness (bnc#1012628).
- seg6: fix seg6_validate_srh() to avoid slab-out-of-bounds
(bnc#1012628).
- drm/amd/display: Fix ineffective setting of max bpc property
(bnc#1012628).
- drm/amd/display: Fix incorrectly pruned modes with deep color
(bnc#1012628).
- mm: fix swap cache node allocation mask (bnc#1012628).
- btrfs: fix race between block group removal and block group
creation (bnc#1012628).
- btrfs: block-group: refactor how we delete one block group item
(bnc#1012628).
- exfat: flush dirty metadata in fsync (bnc#1012628).
- exfat: move setting VOL_DIRTY over exfat_remove_entries()
(bnc#1012628).
- exfat: call sync_filesystem for read-only remount (bnc#1012628).
- exfat: add missing brelse() calls on error paths (bnc#1012628).
- exfat: Set the unused characters of FileName field to the
value 0000h (bnc#1012628).
- commit 15d9076
-------------------------------------------------------------------
Wed Jul 8 11:16:02 CEST 2020 - jslaby@suse.cz
- pinctrl: cherryview: Ensure _REG(ACPI_ADR_SPACE_GPIO, 1)
gets called (UMAX).
- pinctrl: cherryview: Enable glitch filter for GPIOs used as
interrupts (UMAX).
- commit 3647f4a
-------------------------------------------------------------------
Tue Jul 7 16:09:58 CEST 2020 - tiwai@suse.de
- ALSA: usb-audio: Fix packet size calculation (bsc#1173847).
- commit d62e41f
-------------------------------------------------------------------
Mon Jul 6 11:46:02 CEST 2020 - mkubecek@suse.cz
- x86/entry/32: Fix XEN_PV build dependency
(https://lkml.kernel.org/r/20200706084155.ndltt24ipognh67e@lion.mk-sys.cz).
- commit 006adcb
-------------------------------------------------------------------
Mon Jul 6 02:23:06 CEST 2020 - mkubecek@suse.cz
- Update to 5.8-rc4
- eliminated 1 patch
- refresh
patches.rpmify/Kconfig-make-CONFIG_CC_CAN_LINK-always-true.patch
- commit 6584126
-------------------------------------------------------------------
Thu Jul 2 00:33:52 CEST 2020 - mkubecek@suse.cz
- rpm/kernel-binary.spec.in: do not run klp-symbols for configs with no modules
Starting with 5.8-rc1, s390x/zfcpdump builds fail because rpm/klp-symbols
script does not find .tmp_versions directory. This is missing because
s390x/zfcpdump is built without modules (CONFIG_MODULES disabled).
As livepatching cannot work without modules, the cleanest solution is
setting %klp_symbols to 0 if CONFIG_MODULES is disabled. (We cannot simply
add another condition to the place where %klp_symbols is set as it can be
already set to 1 from prjconf.)
- commit a048c4b
-------------------------------------------------------------------
Thu Jul 2 00:29:45 CEST 2020 - mkubecek@suse.cz
- Revert "rpm/kernel-binary.spec.in: do not run klp-symbols for configs with no modules"
This reverts commit b5e55f7584b89b6e17d91451bc47c5c0f732e730.
This approach doesn't work correctly if %klp_symbols is set to 1 in
prjconf and correct solution is simpler.
- commit 708b64e
-------------------------------------------------------------------
Thu Jul 2 00:29:07 CEST 2020 - mkubecek@suse.cz
- config: refresh riscv64/default
- commit 6b56aca
-------------------------------------------------------------------
Wed Jul 1 21:03:27 CEST 2020 - jslaby@suse.cz
- Linux 5.7.7 (bnc#1012628).
- spi: spi-fsl-dspi: Free DMA memory with matching function
(bnc#1012628).
- block/bio-integrity: don't free 'buf' if
bio_integrity_add_page() failed (bnc#1012628).
- enetc: Fix tx rings bitmap iteration range, irq handling
(bnc#1012628).
- ethtool: Fix check in ethtool_rx_flow_rule_create (bnc#1012628).
- geneve: allow changing DF behavior after creation (bnc#1012628).
- ibmveth: Fix max MTU limit (bnc#1012628).
- mld: fix memory leak in ipv6_mc_destroy_dev() (bnc#1012628).
- mlxsw: spectrum: Do not rely on machine endianness
(bnc#1012628).
- mvpp2: ethtool rxtx stats fix (bnc#1012628).
- net: bridge: enfore alignment for ethernet address
(bnc#1012628).
- net: core: reduce recursion limit value (bnc#1012628).
- net: Do not clear the sock TX queue in sk_set_socket()
(bnc#1012628).
- net: ethtool: add missing string for NETIF_F_GSO_TUNNEL_REMCSUM
(bnc#1012628).
- net: fix memleak in register_netdevice() (bnc#1012628).
- net: Fix the arp error in some cases (bnc#1012628).
- net: increment xmit_recursion level in dev_direct_xmit()
(bnc#1012628).
- net: usb: ax88179_178a: fix packet alignment padding
(bnc#1012628).
- openvswitch: take into account de-fragmentation/gso_size in
execute_check_pkt_len (bnc#1012628).
- rocker: fix incorrect error handling in dma_rings_init
(bnc#1012628).
- rxrpc: Fix notification call on completion of discarded calls
(bnc#1012628).
- sctp: Don't advertise IPv4 addresses if ipv6only is set on
the socket (bnc#1012628).
- tcp: don't ignore ECN CWR on pure ACK (bnc#1012628).
- tcp: grow window for OOO packets only for SACK flows
(bnc#1012628).
- tg3: driver sleeps indefinitely when EEH errors exceed
eeh_max_freezes (bnc#1012628).
- ip6_gre: fix use-after-free in ip6gre_tunnel_lookup()
(bnc#1012628).
- net: phy: Check harder for errors in get_phy_id() (bnc#1012628).
- ip_tunnel: fix use-after-free in ip_tunnel_lookup()
(bnc#1012628).
- bnxt_en: Store the running firmware version code (bnc#1012628).
- bnxt_en: Do not enable legacy TX push on older firmware
(bnc#1012628).
- bnxt_en: Fix statistics counters issue during ifdown with
older firmware (bnc#1012628).
- bnxt_en: Read VPD info only for PFs (bnc#1012628).
- net: phylink: fix ethtool -A with attached PHYs (bnc#1012628).
- net: phylink: ensure manual pause mode configuration takes
effect (bnc#1012628).
- sch_cake: don't try to reallocate or unshare skb unconditionally
(bnc#1012628).
- sch_cake: don't call diffserv parsing code when it is not needed
(bnc#1012628).
- sch_cake: fix a few style nits (bnc#1012628).
- tcp_cubic: fix spurious HYSTART_DELAY exit upon drop in min RTT
(bnc#1012628).
- bpf: tcp: bpf_cubic: fix spurious HYSTART_DELAY exit upon drop
in min RTT (bnc#1012628).
- net: macb: undo operations in case of failure (bnc#1012628).
- r8169: fix firmware not resetting tp->ocp_base (bnc#1012628).
- mptcp: drop sndr_key in mptcp_syn_options (bnc#1012628).
- mptcp: fix memory leak in mptcp_subflow_create_socket()
(bnc#1012628).
- net: ethtool: add missing NETIF_F_GSO_FRAGLIST feature string
(bnc#1012628).
- net: macb: call pm_runtime_put_sync on failure path
(bnc#1012628).
- net: phy: mscc: avoid skcipher API for single block AES
encryption (bnc#1012628).
- of: of_mdio: Correct loop scanning logic (bnc#1012628).
- wireguard: device: avoid circular netns references
(bnc#1012628).
- bareudp: Fixed multiproto mode configuration (bnc#1012628).
- ionic: update the queue count on open (bnc#1012628).
- net: dsa: bcm_sf2: Fix node reference count (bnc#1012628).
- net: phy: smsc: fix printing too many logs (bnc#1012628).
- nvmet: cleanups the loop in nvmet_async_events_process
(bnc#1012628).
- ALSA: usb-audio: Fix potential use-after-free of streams
(bnc#1012628).
- Revert "i2c: tegra: Fix suspending in active runtime PM state"
(bnc#1012628).
- btrfs: fix a block group ref counter leak after failure to
remove block group (bnc#1012628).
- binder: fix null deref of proc->context (bnc#1012628).
- mei: me: disable mei interface on Mehlow server platforms
(bnc#1012628).
- mei: me: add tiger lake point device ids for H platforms
(bnc#1012628).
- Revert "usb: dwc3: exynos: Add support for Exynos5422 suspend
clk" (bnc#1012628).
- USB: ohci-sm501: Add missed iounmap() in remove (bnc#1012628).
- usb: dwc2: Postponed gadget registration to the udc class driver
(bnc#1012628).
- usb: add USB_QUIRK_DELAY_INIT for Logitech C922 (bnc#1012628).
- USB: ehci: reopen solution for Synopsys HC bug (bnc#1012628).
- usb: host: xhci-mtk: avoid runtime suspend when removing hcd
(bnc#1012628).
- xhci: Poll for U0 after disabling USB2 LPM (bnc#1012628).
- usb: host: ehci-exynos: Fix error check in exynos_ehci_probe()
(bnc#1012628).
- usb: typec: tcpci_rt1711h: avoid screaming irq causing boot
hangs (bnc#1012628).
- usb: typec: mux: intel_pmc_mux: Fix DP alternate mode entry
(bnc#1012628).
- ALSA: usb-audio: Add implicit feedback quirk for SSL2+
(bnc#1012628).
- ALSA: usb-audio: add quirk for Denon DCD-1500RE (bnc#1012628).
- ALSA: usb-audio: Set 48 kHz rate for Rodecaster (bnc#1012628).
- ALSA: usb-audio: Add registration quirk for Kingston HyperX
Cloud Flight S (bnc#1012628).
- ALSA: usb-audio: add quirk for Samsung USBC Headset (AKG)
(bnc#1012628).
- ALSA: usb-audio: Fix OOB access of mixer element list
(bnc#1012628).
- usb: cdns3: trace: using correct dir value (bnc#1012628).
- usb: cdns3: ep0: fix the test mode set incorrectly
(bnc#1012628).
- usb: cdns3: ep0: add spinlock for cdns3_check_new_setup
(bnc#1012628).
- scsi: qla2xxx: Keep initiator ports after RSCN (bnc#1012628).
- scsi: zfcp: Fix panic on ERP timeout for previously dismissed
ERP action (bnc#1012628).
- cifs: Fix cached_fid refcnt leak in open_shroot (bnc#1012628).
- cifs/smb3: Fix data inconsistent when punch hole (bnc#1012628).
- cifs/smb3: Fix data inconsistent when zero file range
(bnc#1012628).
- xhci: Fix incorrect EP_STATE_MASK (bnc#1012628).
- xhci: Fix enumeration issue when setting max packet size for
FS devices (bnc#1012628).
- xhci: Return if xHCI doesn't support LPM (bnc#1012628).
- cdc-acm: Add DISABLE_ECHO quirk for Microchip/SMSC chip
(bnc#1012628).
- loop: replace kill_bdev with invalidate_bdev (bnc#1012628).
- IB/mad: Fix use after free when destroying MAD agent
(bnc#1012628).
- IB/hfi1: Fix module use count flaw due to leftover module put
calls (bnc#1012628).
- bus: ti-sysc: Flush posted write on enable and disable
(bnc#1012628).
- bus: ti-sysc: Use optional clocks on for enable and wait for
softreset bit (bnc#1012628).
- bus: ti-sysc: Ignore clockactivity unless specified as a quirk
(bnc#1012628).
- bus: ti-sysc: Fix uninitialized framedonetv_irq (bnc#1012628).
- ARM: OMAP2+: Fix legacy mode dss_reset (bnc#1012628).
- xfrm: Fix double ESP trailer insertion in IPsec crypto offload
(bnc#1012628).
- ARM: dts: Fix am33xx.dtsi USB ranges length (bnc#1012628).
- ARM: dts: Fix am33xx.dtsi ti,sysc-mask wrong softreset flag
(bnc#1012628).
- ASoC: q6asm: handle EOS correctly (bnc#1012628).
- regulator: da9063: fix LDO9 suspend and warning (bnc#1012628).
- ARM: dts: BCM5301X: Add missing memory "device_type" for Luxul
XWC-2000 (bnc#1012628).
- ARM: bcm: Select ARM_TIMER_SP804 for ARCH_BCM_NSP (bnc#1012628).
- x86/cpu: Move resctrl CPUID code to resctrl/ (bnc#1012628).
- x86/resctrl: Support CPUID enumeration of MBM counter width
(bnc#1012628).
- x86/resctrl: Fix memory bandwidth counter width for AMD
(bnc#1012628).
- efi/esrt: Fix reference count leak in esre_create_sysfs_entry
(bnc#1012628).
- ASoC: soc-pcm: fix checks for multi-cpu FE dailinks
(bnc#1012628).
- ASoc: q6afe: add support to get port direction (bnc#1012628).
- ASoC: qcom: common: set correct directions for dailinks
(bnc#1012628).
- regualtor: pfuze100: correct sw1a/sw2 on pfuze3000
(bnc#1012628).
- efi/libstub: Fix path separator regression (bnc#1012628).
- RDMA/siw: Fix pointer-to-int-cast warning in siw_rx_pbl()
(bnc#1012628).
- ARM: dts: NSP: Disable PL330 by default, add dma-coherent
property (bnc#1012628).
- ASoC: fsl_ssi: Fix bclk calculation for mono channel
(bnc#1012628).
- samples/bpf: xdp_redirect_cpu: Set MAX_CPUS according to NR_CPUS
(bnc#1012628).
- bpf, xdp, samples: Fix null pointer dereference in *_user code
(bnc#1012628).
- ARM: dts: am335x-pocketbeagle: Fix mmc0 Write Protect
(bnc#1012628).
- ARM: dts: Fix duovero smsc interrupt for suspend (bnc#1012628).
- dma-direct: re-encrypt memory if dma_direct_alloc_pages()
fails (bnc#1012628).
- dma-direct: check return value when encrypting or decrypting
memory (bnc#1012628).
- x86/resctrl: Fix a NULL vs IS_ERR() static checker warning in
rdt_cdp_peer_get() (bnc#1012628).
- efi/x86: Setup stack correctly for efi_pe_entry (bnc#1012628).
- regmap: Fix memory leak from regmap_register_patch
(bnc#1012628).
- devmap: Use bpf_map_area_alloc() for allocating hash buckets
(bnc#1012628).
- bpf: Don't return EINVAL from {get,set}sockopt when optlen >
PAGE_SIZE (bnc#1012628).
- ARM: dts: NSP: Correct FA2 mailbox node (bnc#1012628).
- rxrpc: Fix handling of rwind from an ACK packet (bnc#1012628).
- RDMA/rvt: Fix potential memory leak caused by rvt_alloc_rq
(bnc#1012628).
- RDMA/efa: Set maximum pkeys device attribute (bnc#1012628).
- RDMA/qedr: Fix KASAN: use-after-free in ucma_event_handler+0x532
(bnc#1012628).
- RDMA/cma: Protect bind_list and listen_list while finding
matching cm id (bnc#1012628).
- RDMA/core: Check that type_attrs is not NULL prior access
(bnc#1012628).
- ASoC: rockchip: Fix a reference count leak (bnc#1012628).
- s390/qeth: fix error handling for isolation mode cmds
(bnc#1012628).
- RDMA/mad: Fix possible memory leak in ib_mad_post_receive_mads()
(bnc#1012628).
- selftests/net: report etf errors correctly (bnc#1012628).
- ionic: tame the watchdog timer on reconfig (bnc#1012628).
- Revert "KVM: VMX: Micro-optimize vmexit time when not exposing
PMU" (bnc#1012628).
- iommu/vt-d: Set U/S bit in first level page table by default
(bnc#1012628).
- iommu/vt-d: Enable PCI ACS for platform opt in hint
(bnc#1012628).
- iommu/vt-d: Update scalable mode paging structure coherency
(bnc#1012628).
- soc: imx8m: Correct i.MX8MP UID fuse offset (bnc#1012628).
- dma-direct: add missing set_memory_decrypted() for coherent
mapping (bnc#1012628).
- net: qed: fix left elements count calculation (bnc#1012628).
- net: qed: fix async event callbacks unregistering (bnc#1012628).
- net: qede: stop adding events on an already destroyed workqueue
(bnc#1012628).
- net: qed: fix NVMe login fails over VFs (bnc#1012628).
- net: qed: fix excessive QM ILT lines consumption (bnc#1012628).
- net: qede: fix PTP initialization on recovery (bnc#1012628).
- net: qede: fix use-after-free on recovery and AER handling
(bnc#1012628).
- net: qed: reset ILT block sizes before recomputing to fix
crashes (bnc#1012628).
- cxgb4: move handling L2T ARP failures to caller (bnc#1012628).
- cxgb4: move PTP lock and unlock to caller in Tx path
(bnc#1012628).
- ARM: imx5: add missing put_device() call in
imx_suspend_alloc_ocram() (bnc#1012628).
- scsi: lpfc: Avoid another null dereference in
lpfc_sli4_hba_unset() (bnc#1012628).
- usb: gadget: udc: Potential Oops in error handling code
(bnc#1012628).
- usb: renesas_usbhs: getting residue from callback_result
(bnc#1012628).
- nvme: don't protect ns mutation with ns->head->lock
(bnc#1012628).
- qed: add missing error test for
DBG_STATUS_NO_MATCHING_FRAMING_MODE (bnc#1012628).
- netfilter: ipset: fix unaligned atomic access (bnc#1012628).
- net: bcmgenet: use hardware padding of runt frames
(bnc#1012628).
- io_uring: fix hanging iopoll in case of -EAGAIN (bnc#1012628).
- clk: sifive: allocate sufficient memory for struct __prci_data
(bnc#1012628).
- i2c: fsi: Fix the port number field in status register
(bnc#1012628).
- net: macb: free resources on failure path of at91ether_open()
(bnc#1012628).
- wireguard: receive: account for napi_gro_receive never returning
GRO_DROP (bnc#1012628).
- socionext: account for napi_gro_receive never returning GRO_DROP
(bnc#1012628).
- wil6210: account for napi_gro_receive never returning GRO_DROP
(bnc#1012628).
- selftests/powerpc: Fix build failure in ebb tests (bnc#1012628).
- i2c: core: check returned size of emulated smbus block read
(bnc#1012628).
- afs: Fix storage of cell names (bnc#1012628).
- sched/deadline: Initialize ->dl_boosted (bnc#1012628).
- sched/core: Fix PI boosting between RT and DEADLINE tasks
(bnc#1012628).
- sched/cfs: change initial value of runnable_avg (bnc#1012628).
- sata_rcar: handle pm_runtime_get_sync failure cases
(bnc#1012628).
- ata/libata: Fix usage of page address by page_address in
ata_scsi_mode_select_xlat function (bnc#1012628).
- drm/amd/display: Use kfree() to free rgb_user in
calculate_user_regamma_ramp() (bnc#1012628).
- riscv/atomic: Fix sign extension for RV64I (bnc#1012628).
- bcache: check and adjust logical block size for backing devices
(bnc#1012628).
- hwrng: ks-sa - Fix runtime PM imbalance on error (bnc#1012628).
- ibmvnic: Harden device login requests (bnc#1012628).
- net: alx: fix race condition in alx_remove (bnc#1012628).
- test_objagg: Fix potential memory leak in error handling
(bnc#1012628).
- pinctrl: qcom: spmi-gpio: fix warning about irq chip reusage
(bnc#1012628).
- pinctrl: tegra: Use noirq suspend/resume callbacks
(bnc#1012628).
- s390/seccomp: pass syscall arguments via seccomp_data
(bnc#1012628).
- s390/ptrace: return -ENOSYS when invalid syscall is supplied
(bnc#1012628).
- s390/ptrace: pass invalid syscall numbers to tracing
(bnc#1012628).
- s390/ptrace: fix setting syscall number (bnc#1012628).
- s390/vdso: Use $(LD) instead of $(CC) to link vDSO
(bnc#1012628).
- s390/vdso: fix vDSO clock_getres() (bnc#1012628).
- arm64: sve: Fix build failure when ARM64_SVE=y and SYSCTL=n
(bnc#1012628).
- kbuild: improve cc-option to clean up all temporary files
(bnc#1012628).
- recordmcount: support >64k sections (bnc#1012628).
- kprobes: Suppress the suspicious RCU warning on kprobes
(bnc#1012628).
- blktrace: break out of blktrace setup on concurrent calls
(bnc#1012628).
- nvdimm/region: always show the 'align' attribute (bnc#1012628).
- block: update hctx map when use multiple maps (bnc#1012628).
- RISC-V: Don't allow write+exec only page mapping request in mmap
(bnc#1012628).
- syscalls: Fix offset type of ksys_ftruncate() (bnc#1012628
bsc#1172699).
- ALSA: hda: Add NVIDIA codec IDs 9a & 9d through a0 to patch
table (bnc#1012628).
- ALSA: hda/realtek - Add quirk for MSI GE63 laptop (bnc#1012628).
- ALSA: hda/realtek: Add mute LED and micmute LED support for
HP systems (bnc#1012628).
- ACPI: sysfs: Fix pm_profile_attr type (bnc#1012628).
- ACPI: configfs: Disallow loading ACPI tables when locked down
(bnc#1012628).
- erofs: fix partially uninitialized misuse in
z_erofs_onlinepage_fixup (bnc#1012628).
- KVM: X86: Fix MSR range of APIC registers in X2APIC mode
(bnc#1012628).
- kvm: lapic: fix broken vcpu hotplug (bnc#1012628).
- KVM: nVMX: Plumb L2 GPA through to PML emulation (bnc#1012628).
- KVM: VMX: Stop context switching MSR_IA32_UMWAIT_CONTROL
(bnc#1012628).
- x86/cpu: Use pinning mask for CR4 bits needing to be 0
(bnc#1012628).
- x86/cpu: Reinitialize IA32_FEAT_CTL MSR on BSP during wakeup
(bnc#1012628).
- x86/asm/64: Align start of __clear_user() loop to 16-bytes
(bnc#1012628).
- btrfs: fix bytes_may_use underflow when running balance and
scrub in parallel (bnc#1012628).
- btrfs: fix data block group relocation failure due to concurrent
scrub (bnc#1012628).
- btrfs: check if a log root exists before locking the log_mutex
on unlink (bnc#1012628).
- btrfs: fix hang on snapshot creation after RWF_NOWAIT write
(bnc#1012628).
- btrfs: fix failure of RWF_NOWAIT write into prealloc extent
beyond eof (bnc#1012628).
- btrfs: fix RWF_NOWAIT write not failling when we need to cow
(bnc#1012628).
- mm, compaction: make capture control handling safe wrt
interrupts (bnc#1012628).
- mm, slab: fix sign conversion problem in memcg_uncharge_slab()
(bnc#1012628).
- mm/slab: use memzero_explicit() in kzfree() (bnc#1012628).
- ocfs2: avoid inode removal while nfsd is accessing it
(bnc#1012628).
- ocfs2: load global_inode_alloc (bnc#1012628).
- ocfs2: fix value of OCFS2_INVALID_SLOT (bnc#1012628).
- ocfs2: fix panic on nfs server over ocfs2 (bnc#1012628).
- mm: memcontrol: handle div0 crash race condition in memory.low
(bnc#1012628).
- mm/memcontrol.c: add missed css_put() (bnc#1012628).
- mm/memory_hotplug.c: fix false softlockup during pfn range
removal (bnc#1012628).
- arm64: perf: Report the PC value in REGS_ABI_32 mode
(bnc#1012628).
- arm64: dts: imx8mm-evk: correct ldo1/ldo2 voltage range
(bnc#1012628).
- arm64: dts: imx8mn-ddr4-evk: correct ldo1/ldo2 voltage range
(bnc#1012628).
- tracing/boottime: Fix kprobe multiple events (bnc#1012628).
- tracing: Fix event trigger to accept redundant spaces
(bnc#1012628).
- ring-buffer: Zero out time extend if it is nested and not
absolute (bnc#1012628).
- drm/amd/display: Enable output_bpc property on all outputs
(bnc#1012628).
- drm/amd: fix potential memleak in err branch (bnc#1012628).
- drm: rcar-du: Fix build error (bnc#1012628).
- drm/radeon: fix fb_div check in ni_init_smc_spll_table()
(bnc#1012628).
- drm/fb-helper: Fix vt restore (bnc#1012628).
- drm/amdgpu: add fw release for sdma v5_0 (bnc#1012628).
- drm/amdgpu/display: Unlock mutex on error (bnc#1012628).
- drm/panel-simple: fix connector type for
newhaven_nhd_43_480272ef_atxl (bnc#1012628).
- drm/panel-simple: fix connector type for LogicPD Type28 Display
(bnc#1012628).
- ARM: dts: imx6ul-kontron: Move watchdog from Kontron i.MX6UL/ULL
board to SoM (bnc#1012628).
- ARM: dts: imx6ul-kontron: Change WDOG_ANY signal from push-pull
to open-drain (bnc#1012628).
- Staging: rtl8723bs: prevent buffer overflow in
update_sta_support_rate() (bnc#1012628).
- powerpc/fsl_booke/32: Fix build with CONFIG_RANDOMIZE_BASE
(bnc#1012628).
- sunrpc: fixed rollback in rpc_gssd_dummy_populate()
(bnc#1012628).
- SUNRPC: Properly set the @subbuf parameter of
xdr_buf_subsegment() (bnc#1012628).
- pNFS/flexfiles: Fix list corruption if the mirror count changes
(bnc#1012628).
- NFSv4 fix CLOSE not waiting for direct IO compeletion
(bnc#1012628).
- EDAC/amd64: Read back the scrub rate PCI register on F15h
(bnc#1012628).
- xprtrdma: Fix handling of RDMA_ERROR replies (bnc#1012628).
- dm writecache: correct uncommitted_block when discarding
uncommitted entry (bnc#1012628).
- dm writecache: add cond_resched to loop in
persistent_memory_claim() (bnc#1012628).
- Delete
patches.suse/syscalls-fix-offset-type-of-ksys_ftruncate.patch.
- commit cba119b
-------------------------------------------------------------------
Wed Jul 1 18:46:51 CEST 2020 - rgoldwyn@suse.com
- Re-enable F2FS (boo#1173546)
Since the changes of blacklisting landed)boo#1109665), we can re-enable
F2FS for opensuse kernels. For SLE, it will land in
kernel-default-extra
- commit 33443b2
-------------------------------------------------------------------
Wed Jul 1 12:06:38 CEST 2020 - mkubecek@suse.cz
- Update
patches.rpmify/Kconfig-make-CONFIG_CC_CAN_LINK-always-true.patch.
- we faked also CC_CAN_LINK_STATIC temporarily to work around the
bpfilter_umh build breakage but that is no longer needed so we no longer
need to pretend we can do static linkage on build
- refresh config files to reflect the change
- commit c06a44f
-------------------------------------------------------------------
Wed Jul 1 11:58:58 CEST 2020 - mkubecek@suse.cz
- bpfilter: allow to build bpfilter_umh
as a module without static library
(http://lkml.kernel.org/r/20200608115628.osizkpo76cgn2ci7@lion.mk-sys.cz).
- Delete
patches.rpmify/bpfilter-only-build-bpfilter_umh-as-static-when-BPFI.patch.
Replace temporary version of the build fix with upstream submitted patch.
- commit 75906a0
-------------------------------------------------------------------
Wed Jul 1 11:42:11 CEST 2020 - mkubecek@suse.cz
- rpm/kernel-binary.spec.in: do not run klp-symbols for configs with no modules
Starting with 5.8-rc1, s390x/zfcpdump builds fail because rpm/klp-symbols
script does not find .tmp_versions directory. This is missing because
s390x/zfcpdump is built without modules (CONFIG_MODULES disabled).
As livepatching cannot work without modules, the cleanest solution is not
setting %klp_symbols if CONFIG_MODULES is disabled. To implement that, we
need to move the block where %klp_symbols is defined after the place where
we set macros for config options.
- commit b5e55f7
-------------------------------------------------------------------
Wed Jul 1 10:03:53 CEST 2020 - mkubecek@suse.cz
- Revert "fs: Do not check if there is
a fsnotify watcher on pseudo inodes"
(http://lkml.kernel.org/r/7b4aa1e985007c6d582fffe5e8435f8153e28e0f.camel@redhat.com).
- commit 45231d0
-------------------------------------------------------------------
Mon Jun 29 11:10:38 CEST 2020 - jslaby@suse.cz
- Revert "ath9k: Fix general protection fault in
ath9k_hif_usb_rx_cb" (bko#208251).
- commit 6ff0af7
-------------------------------------------------------------------
Mon Jun 29 06:53:10 CEST 2020 - mkubecek@suse.cz
- Update to 5.8-rc3
- eliminated 2 patches
- refresh
patches.suse/suse-hv-guest-os-id.patch
- update configs
- EFI_CUSTOM_SSDT_OVERLAYS=y
- commit 162848a
-------------------------------------------------------------------
Fri Jun 26 08:01:11 CEST 2020 - jslaby@suse.cz
- blacklist.conf: add one
- commit 1549350
-------------------------------------------------------------------
Fri Jun 26 08:01:00 CEST 2020 - jslaby@suse.cz
- ALSA: usb-audio: Fixing usage of plain int instead of NULL
(git-fixes).
- commit 9fcb4e6
-------------------------------------------------------------------
Fri Jun 26 07:58:47 CEST 2020 - jslaby@suse.cz
- Revert "tty: hvc: Fix data abort due to race in hvc_open"
(git-fixes).
- commit d5acd88
-------------------------------------------------------------------
Thu Jun 25 17:58:05 CEST 2020 - jslaby@suse.cz
- Linux 5.7.6 (bnc#1012628).
- staging: wfx: fix potential deadlock in wfx_tx_flush()
(bnc#1012628).
- power: supply: bq24257_charger: Replace depends on REGMAP_I2C
with select (bnc#1012628).
- clk: sunxi: Fix incorrect usage of round_down() (bnc#1012628).
- ASoC: tegra: tegra_wm8903: Support nvidia, headset property
(bnc#1012628).
- rtc: rc5t619: Fix an ERR_PTR vs NULL check (bnc#1012628).
- i2c: piix4: Detect secondary SMBus controller on AMD AM4
chipsets (bnc#1012628).
- ASoC: SOF: imx8: Fix randbuild error (bnc#1012628).
- iio: pressure: bmp280: Tolerate IRQ before registering
(bnc#1012628).
- remoteproc: Fix IDR initialisation in rproc_alloc()
(bnc#1012628).
- clk: qcom: msm8916: Fix the address location of pll->config_reg
(bnc#1012628).
- staging: wfx: check ssidlen and prevent an array overflow
(bnc#1012628).
- ASoC: fsl_esai: Disable exception interrupt before scheduling
tasklet (bnc#1012628).
- backlight: lp855x: Ensure regulators are disabled on probe
failure (bnc#1012628).
- ARM: dts: renesas: Fix IOMMU device node names (bnc#1012628).
- ASoC: davinci-mcasp: Fix dma_chan refcnt leak when getting
dma type (bnc#1012628).
- ARM: integrator: Add some Kconfig selections (bnc#1012628).
- ARM: dts: stm32: Add missing ethernet PHY reset on AV96
(bnc#1012628).
- arm64: dts: renesas: Fix IOMMU device node names (bnc#1012628).
- ASoC: codecs: wm97xx: fix ac97 dependency (bnc#1012628).
- arm64: dts: meson-gxbb-kii-pro: fix board compatible
(bnc#1012628).
- scsi: core: free sgtables in case command setup fails
(bnc#1012628).
- scsi: qedi: Check for buffer overflow in qedi_set_path()
(bnc#1012628).
- arm64: dts: meson: fixup SCP sram nodes (bnc#1012628).
- ALSA: hda/realtek - Introduce polarity for micmute LED GPIO
(bnc#1012628).
- ALSA: isa/wavefront: prevent out of bounds write in ioctl
(bnc#1012628).
- PCI: Allow pci_resize_resource() for devices on root bus
(bnc#1012628).
- PCI: endpoint: functions/pci-epf-test: Fix DMA channel release
(bnc#1012628).
- scsi: qla2xxx: Fix issue with adapter's stopping state
(bnc#1012628).
- Input: edt-ft5x06 - fix get_default register write access
(bnc#1012628).
- PCI: brcmstb: Fix window register offset from 4 to 8
(bnc#1012628).
- powerpc/kasan: Fix stack overflow by increasing THREAD_SHIFT
(bnc#1012628).
- rtc: mc13xxx: fix a double-unlock issue (bnc#1012628).
- iio: bmp280: fix compensation of humidity (bnc#1012628).
- f2fs: compress: let lz4 compressor handle output buffer budget
properly (bnc#1012628).
- f2fs: report delalloc reserve as non-free in statfs for project
quota (bnc#1012628).
- i2c: pxa: clear all master action bits in i2c_pxa_stop_message()
(bnc#1012628).
- remoteproc: qcom_q6v5_mss: map/unmap mpss segments before/after
use (bnc#1012628).
- clk: samsung: Mark top ISP and CAM clocks on Exynos542x as
critical (bnc#1012628).
- staging: wfx: fix output of rx_stats on big endian hosts
(bnc#1012628).
- usblp: poison URBs upon disconnect (bnc#1012628).
- usb: roles: Switch on role-switch uevent reporting
(bnc#1012628).
- serial: 8250: Fix max baud limit in generic 8250 port
(bnc#1012628).
- nvmem: ensure sysfs writes handle write-protect pin
(bnc#1012628).
- misc: fastrpc: Fix an incomplete memory release in
fastrpc_rpmsg_probe() (bnc#1012628).
- misc: fastrpc: fix potential fastrpc_invoke_ctx leak
(bnc#1012628).
- dm mpath: switch paths in dm_blk_ioctl() code path
(bnc#1012628).
- arm64: dts: armada-3720-turris-mox: forbid SDR104 on SDIO for
FCC purposes (bnc#1012628).
- arm64: dts: armada-3720-turris-mox: fix SFP binding
(bnc#1012628).
- arm64: dts: juno: Fix GIC child nodes (bnc#1012628).
- RDMA/uverbs: Fix create WQ to use the given user handle
(bnc#1012628).
- RDMA/srpt: Fix disabling device management (bnc#1012628).
- pinctrl: ocelot: Fix GPIO interrupt decoding on Jaguar2
(bnc#1012628).
- clk: renesas: cpg-mssr: Fix STBCR suspend/resume handling
(bnc#1012628).
- ASoC: SOF: Do nothing when DSP PM callbacks are not set
(bnc#1012628).
- arm64: dts: fvp: Fix GIC child nodes (bnc#1012628).
- PCI: aardvark: Don't blindly enable ASPM L0s and don't write
to read-only register (bnc#1012628).
- ps3disk: use the default segment boundary (bnc#1012628).
- arm64: dts: fvp/juno: Fix node address fields (bnc#1012628).
- vfio/pci: fix memory leaks in alloc_perm_bits() (bnc#1012628).
- arm64: dts: qcom: sc7180: Correct the pdc interrupt ranges
(bnc#1012628).
- coresight: tmc: Fix TMC mode read in tmc_read_prepare_etb()
(bnc#1012628).
- RDMA/mlx5: Add init2init as a modify command (bnc#1012628).
- scsi: hisi_sas: Do not reset phy timer to wait for stray phy up
(bnc#1012628).
- powerpc/book3s64/radix/tlb: Determine hugepage flush correctly
(bnc#1012628).
- PCI: pci-bridge-emul: Fix PCIe bit conflicts (bnc#1012628).
- m68k/PCI: Fix a memory leak in an error handling path
(bnc#1012628).
- habanalabs: don't allow hard reset with open processes
(bnc#1012628).
- usb: cdns3: Fix runtime PM imbalance on error (bnc#1012628).
- gpio: dwapb: Call acpi_gpiochip_free_interrupts() on GPIO chip
de-registration (bnc#1012628).
- usb: gadget: core: sync interrupt before unbind the udc
(bnc#1012628).
- powerpc/ptdump: Add _PAGE_COHERENT flag (bnc#1012628).
- mfd: wm8994: Fix driver operation if loaded as modules
(bnc#1012628).
- scsi: cxgb3i: Fix some leaks in init_act_open() (bnc#1012628).
- clk: zynqmp: fix memory leak in zynqmp_register_clocks
(bnc#1012628).
- scsi: lpfc: Fix lpfc_nodelist leak when processing unsolicited
event (bnc#1012628).
- scsi: vhost: Notify TCM about the maximum sg entries supported
per command (bnc#1012628).
- clk: clk-flexgen: fix clock-critical handling (bnc#1012628).
- IB/mlx5: Fix DEVX support for MLX5_CMD_OP_INIT2INIT_QP command
(bnc#1012628).
- powerpc/perf/hv-24x7: Fix inconsistent output values incase
multiple hv-24x7 events run (bnc#1012628).
- nfsd: Fix svc_xprt refcnt leak when setup callback client failed
(bnc#1012628).
- PCI: vmd: Filter resource type bits from shadow register
(bnc#1012628).
- RDMA/core: Fix several reference count leaks (bnc#1012628).
- cifs: set up next DFS target before generic_ip_connect()
(bnc#1012628).
- ASoC: qcom: q6asm-dai: kCFI fix (bnc#1012628).
- powerpc/crashkernel: Take "mem=" option into account
(bnc#1012628).
- pwm: img: Call pm_runtime_put() in pm_runtime_get_sync()
failed case (bnc#1012628).
- sparc32: mm: Don't try to free page-table pages if ctor()
fails (bnc#1012628).
- clk: sprd: fix compile-testing (bnc#1012628).
- drm/nouveau: gr/gk20a: Use firmware version 0 (bnc#1012628).
- crypto: omap-sham - huge buffer access fixes (bnc#1012628).
- yam: fix possible memory leak in yam_init_driver (bnc#1012628).
- net: mdiobus: Disable preemption upon u64_stats update
(bnc#1012628).
- ASoC: meson: fix memory leak of links if allocation of ldata
fails (bnc#1012628).
- NTB: ntb_pingpong: Choose doorbells based on port number
(bnc#1012628).
- NTB: Fix the default port and peer numbers for legacy drivers
(bnc#1012628).
- mksysmap: Fix the mismatch of '.L' symbols in System.map
(bnc#1012628).
- apparmor: fix introspection of of task mode for unconfined tasks
(bnc#1012628).
- net: dsa: lantiq_gswip: fix and improve the unsupported
interface error (bnc#1012628).
- apparmor: check/put label on apparmor_sk_clone_security()
(bnc#1012628).
- f2fs: handle readonly filesystem in f2fs_ioc_shutdown()
(bnc#1012628).
- xfs: Add the missed xfs_perag_put() for xfs_ifree_cluster()
(bnc#1012628).
- ASoC: meson: add missing free_irq() in error path (bnc#1012628).
- bpf, sockhash: Fix memory leak when unlinking sockets in
sock_hash_free (bnc#1012628).
- scsi: sr: Fix sr_probe() missing mutex_destroy (bnc#1012628).
- scsi: sr: Fix sr_probe() missing deallocate of device minor
(bnc#1012628).
- scsi: ibmvscsi: Don't send host info in adapter info MAD after
LPM (bnc#1012628).
- media: s5p-mfc: Properly handle dma_parms for the allocated
devices (bnc#1012628).
- media: v4l2-ctrls: Unset correct HEVC loop filter flag
(bnc#1012628).
- ibmvnic: Flush existing work items before device removal
(bnc#1012628).
- bpf: tcp: Recv() should return 0 when the peer socket is closed
(bnc#1012628).
- apparmor: fix nnp subset test for unconfined (bnc#1012628).
- x86/purgatory: Disable various profiling and sanitizing options
(bnc#1012628).
- ARM: dts: bcm283x: Use firmware PM driver for V3D (bnc#1012628).
- arm64: dts: realtek: rtd129x: Fix GIC CPU masks for RTD1293
(bnc#1012628).
- staging: greybus: fix a missing-check bug in
gb_lights_light_config() (bnc#1012628).
- staging: mt7621-pci: fix PCIe interrupt mapping (bnc#1012628).
- arm64: dts: mt8173: fix unit name warnings (bnc#1012628).
- scsi: qedi: Do not flush offload work if ARP not resolved
(bnc#1012628).
- arm64: dts: qcom: msm8916: remove unit name for thermal trip
points (bnc#1012628).
- ARM: dts: sun8i-h2-plus-bananapi-m2-zero: Fix led polarity
(bnc#1012628).
- RDMA/mlx5: Fix udata response upon SRQ creation (bnc#1012628).
- ALSA: usb-audio: RME Babyface Pro mixer patch (bnc#1012628).
- gpio: dwapb: Append MODULE_ALIAS for platform driver
(bnc#1012628).
- scsi: qedf: Fix crash when MFW calls for protocol stats while
function is still probing (bnc#1012628).
- iio: buffer-dmaengine: use %zu specifier for sprintf(align)
(bnc#1012628).
- pinctrl: rza1: Fix wrong array assignment of rza1l_swio_entries
(bnc#1012628).
- virtiofs: schedule blocking async replies in separate worker
(bnc#1012628).
- fuse: BUG_ON correction in fuse_dev_splice_write()
(bnc#1012628).
- arm64: dts: qcom: fix pm8150 gpio interrupts (bnc#1012628).
- firmware: qcom_scm: fix bogous abuse of dma-direct internals
(bnc#1012628).
- arm64: dts: qcom: sm8250: Fix PDC compatible and reg
(bnc#1012628).
- staging: gasket: Fix mapping refcnt leak when put attribute
fails (bnc#1012628).
- staging: gasket: Fix mapping refcnt leak when register/store
fails (bnc#1012628).
- ALSA: usb-audio: Improve frames size computation (bnc#1012628).
- ALSA: usb-audio: Fix racy list management in output queue
(bnc#1012628).
- s390/qdio: consistently restore the IRQ handler (bnc#1012628).
- s390/qdio: tear down thinint indicator after early error
(bnc#1012628).
- s390/qdio: put thinint indicator after early error
(bnc#1012628).
- staging: wfx: fix overflow in frame counters (bnc#1012628).
- staging: wfx: fix double init of tx_policy_upload_work
(bnc#1012628).
- tty: hvc: Fix data abort due to race in hvc_open (bnc#1012628).
- slimbus: ngd: get drvdata from correct device (bnc#1012628).
- gpio: mlxbf2: fix return value check in
mlxbf2_gpio_get_lock_res() (bnc#1012628).
- of: property: Fix create device links for all child-supplier
dependencies (bnc#1012628).
- of: property: Do not link to disabled devices (bnc#1012628).
- clk: meson: meson8b: Fix the first parent of vid_pll_in_sel
(bnc#1012628).
- clk: meson: meson8b: Fix the polarity of the RESET_N lines
(bnc#1012628).
- clk: meson: meson8b: Fix the vclk_div{1, 2, 4, 6, 12}_en gate
bits (bnc#1012628).
- gpio: pca953x: fix handling of automatic address incrementing
(bnc#1012628).
- ASoC: component: suppress uninitialized-variable warning
(bnc#1012628).
- thermal/drivers/ti-soc-thermal: Avoid dereferencing ERR_PTR
(bnc#1012628).
- ASoC: rt5682: fix I2C/Soundwire dependencies (bnc#1012628).
- arm64: dts: meson-g12b-ugoos-am6: fix board compatible
(bnc#1012628).
- arm64: dts: meson: fix leds subnodes name (bnc#1012628).
- ASoC: SOF: Update correct LED status at the first time usage
of update_mute_led() (bnc#1012628).
- clk: meson: meson8b: Don't rely on u-boot to init all GP_PLL
registers (bnc#1012628).
- ASoC: max98373: reorder max98373_reset() in resume
(bnc#1012628).
- soundwire: slave: don't init debugfs on device registration
error (bnc#1012628).
- ARM: dts: aspeed: ast2600: Set arch timer always-on
(bnc#1012628).
- ARM: dts: aspeed: Change KCS nodes to v2 binding (bnc#1012628).
- HID: intel-ish-hid: avoid bogus uninitialized-variable warning
(bnc#1012628).
- usb: dwc3: gadget: Properly handle ClearFeature(halt)
(bnc#1012628).
- usb: dwc3: meson-g12a: check return of dwc3_meson_g12a_usb_init
(bnc#1012628).
- usb: dwc3: gadget: Properly handle failed kick_transfer
(bnc#1012628).
- staging: wilc1000: Increase the size of wid_list array
(bnc#1012628).
- staging: sm750fb: add missing case while setting FB_VISUAL
(bnc#1012628).
- staging: wfx: avoid compiler warning on empty array
(bnc#1012628).
- PCI: v3-semi: Fix a memory leak in v3_pci_probe() error handling
paths (bnc#1012628).
- i2c: pxa: fix i2c_pxa_scream_blue_murder() debug output
(bnc#1012628).
- drivers: base: Fix NULL pointer exception in
__platform_driver_probe() if a driver developer is foolish
(bnc#1012628).
- PCI: rcar: Fix incorrect programming of OB windows
(bnc#1012628).
- PCI/ASPM: Allow ASPM on links to PCIe-to-PCI/PCI-X Bridges
(bnc#1012628).
- scsi: qla2xxx: Fix warning after FC target reset (bnc#1012628).
- ALSA: firewire-lib: fix invalid assignment to union data for
directional parameter (bnc#1012628).
- power: supply: lp8788: Fix an error handling path in
'lp8788_charger_probe()' (bnc#1012628).
- power: supply: smb347-charger: IRQSTAT_D is volatile
(bnc#1012628).
- PCI: brcmstb: Assert fundamental reset on initialization
(bnc#1012628).
- ASoC: SOF: core: fix error return code in sof_probe_continue()
(bnc#1012628).
- remoteproc/mediatek: fix invalid use of sizeof in scp_ipi_init()
(bnc#1012628).
- arm64: dts: msm8996: Fix CSI IRQ types (bnc#1012628).
- scsi: target: loopback: Fix READ with data and sensebytes
(bnc#1012628).
- scsi: mpt3sas: Fix double free warnings (bnc#1012628).
- f2fs: Fix wrong stub helper update_sit_info (bnc#1012628).
- f2fs: fix potential use-after-free issue (bnc#1012628).
- f2fs: compress: fix zstd data corruption (bnc#1012628).
- um: do not evaluate compiler's library path when cleaning
(bnc#1012628).
- unicore32: do not evaluate compiler's library path when cleaning
(bnc#1012628).
- SoC: rsnd: add interrupt support for SSI BUSIF buffer
(bnc#1012628).
- ASoC: ux500: mop500: Fix some refcounted resources issues
(bnc#1012628).
- ASoC: ti: omap-mcbsp: Fix an error handling path in
'asoc_mcbsp_probe()' (bnc#1012628).
- pinctrl: rockchip: fix memleak in rockchip_dt_node_to_map
(bnc#1012628).
- remoteproc: qcom_q6v5_mss: Drop accesses to MPSS PERPH register
space (bnc#1012628).
- dlm: remove BUG() before panic() (bnc#1012628).
- phy: ti: j721e-wiz: Fix some error return code in wiz_probe()
(bnc#1012628).
- USB: ohci-sm501: fix error return code in
ohci_hcd_sm501_drv_probe() (bnc#1012628).
- arm64: dts: qcom: db820c: Fix invalid pm8994 supplies
(bnc#1012628).
- arm64: dts: qcom: c630: Add WiFi node (bnc#1012628).
- clk: ti: composite: fix memory leak (bnc#1012628).
- PCI: Fix pci_register_host_bridge() device_register() error
handling (bnc#1012628).
- powerpc/64: Don't initialise init_task->thread.regs
(bnc#1012628).
- tty: n_gsm: Fix SOF skipping (bnc#1012628).
- tty: n_gsm: Fix waking up upper tty layer when room available
(bnc#1012628).
- staging: wfx: fix value of scan timeout (bnc#1012628).
- ALSA: usb-audio: fixing upper volume limit for RME Babyface
Pro routing crosspoints (bnc#1012628).
- ALSA: usb-audio: Add duplex sound support for USB devices
using implicit feedback (bnc#1012628).
- HID: Add quirks for Trust Panora Graphic Tablet (bnc#1012628).
- PCI/PM: Assume ports without DLL Link Active train links in
100 ms (bnc#1012628).
- habanalabs: increase timeout during reset (bnc#1012628).
- arm64: dts: marvell: armada-37xx: Set pcie_reset_pin to gpio
function (bnc#1012628).
- pinctrl: ocelot: Always register GPIO driver (bnc#1012628).
- ipmi: use vzalloc instead of kmalloc for user creation
(bnc#1012628).
- ASoC: codecs: rt*-sdw: fix memory leak in set_sdw_stream()
(bnc#1012628).
- powerpc/64s/exception: Fix machine check no-loss idle wakeup
(bnc#1012628).
- powerpc/64s/exceptions: Machine check reconcile irq state
(bnc#1012628).
- powerpc/pseries/ras: Fix FWNMI_VALID off by one (bnc#1012628).
- PCI: aardvark: Train link immediately after enabling training
(bnc#1012628).
- PCI: aardvark: Improve link training (bnc#1012628).
- PCI: aardvark: Issue PERST via GPIO (bnc#1012628).
- drivers: phy: sr-usb: do not use internal fsm for USB2 phy init
(bnc#1012628).
- phy: cadence: sierra: Fix for USB3 U1/U2 state (bnc#1012628).
- powerpc/ps3: Fix kexec shutdown hang (bnc#1012628).
- iommu/arm-smmu-v3: Don't reserve implementation defined register
space (bnc#1012628).
- vfio-pci: Mask cap zero (bnc#1012628).
- usb/ohci-platform: Fix a warning when hibernating (bnc#1012628).
- drm/msm: Fix undefined "rd_full" link error (bnc#1012628).
- drm/msm/mdp5: Fix mdp5_init error path for failed mdp5_kms
allocation (bnc#1012628).
- ASoC: Intel: bytcr_rt5640: Add quirk for Toshiba Encore WT8-A
tablet (bnc#1012628).
- USB: host: ehci-mxc: Add error handling in ehci_mxc_drv_probe()
(bnc#1012628).
- tty: n_gsm: Fix bogus i++ in gsm_data_kick (bnc#1012628).
- coresight: Fix support for sparsely populated ports
(bnc#1012628).
- coresight: etm4x: Fix use-after-free of per-cpu etm drvdata
(bnc#1012628).
- fpga: dfl: afu: Corrected error handling levels (bnc#1012628).
- clk: samsung: exynos5433: Add IGNORE_UNUSED flag to sclk_i2s1
(bnc#1012628).
- RDMA/hns: Bugfix for querying qkey (bnc#1012628).
- RDMA/hns: Fix cmdq parameter of querying pf timer resource
(bnc#1012628).
- scsi: target: tcmu: Userspace must not complete queued commands
(bnc#1012628).
- scsi: core: Fix incorrect usage of shost_for_each_device
(bnc#1012628).
- firmware: imx: scu: Fix possible memory leak in imx_scu_probe()
(bnc#1012628).
- fuse: fix copy_file_range cache issues (bnc#1012628).
- fuse: copy_file_range should truncate cache (bnc#1012628).
- arm64: tegra: Fix ethernet phy-mode for Jetson Xavier
(bnc#1012628).
- arm64: tegra: Fix flag for 64-bit resources in 'ranges' property
(bnc#1012628).
- powerpc/powernv: add NULL check after kzalloc (bnc#1012628).
- powerpc/64s/pgtable: fix an undefined behaviour (bnc#1012628).
- powerpc/kasan: Fix error detection on memory allocation
(bnc#1012628).
- dm zoned: return NULL if dmz_get_zone_for_reclaim() fails to
find a zone (bnc#1012628).
- RDMA/efa: Fix setting of wrong bit in get/set_feature commands
(bnc#1012628).
- xen/cpuhotplug: Fix initial CPU offlining for PV(H) guests
(bnc#1012628).
- PCI/PTM: Inherit Switch Downstream Port PTM settings from
Upstream Port (bnc#1012628).
- bus: mhi: core: Read transfer length from an event properly
(bnc#1012628).
- PCI: dwc: pci-dra7xx: Use
devm_platform_ioremap_resource_byname() (bnc#1012628).
- PCI: dwc: Fix inner MSI IRQ domain registration (bnc#1012628).
- PCI: amlogic: meson: Don't use FAST_LINK_MODE to set up link
(bnc#1012628).
- iio: light: gp2ap002: Take runtime PM reference on light read
(bnc#1012628).
- IB/cma: Fix ports memory leak in cma_configfs (bnc#1012628).
- selftests/timens: handle a case when alarm clocks are not
supported (bnc#1012628).
- watchdog: da9062: No need to ping manually before setting
timeout (bnc#1012628).
- usb: dwc2: gadget: move gadget resume after the core is in L0
state (bnc#1012628).
- USB: gadget: udc: s3c2410_udc: Remove pointless NULL check in
s3c2410_udc_nuke (bnc#1012628).
- usb: gadget: lpc32xx_udc: don't dereference ep pointer before
null check (bnc#1012628).
- usb: gadget: fix potential double-free in m66592_probe
(bnc#1012628).
- usb: gadget: Fix issue with config_ep_by_speed function
(bnc#1012628).
- pinctrl: Fix return value about devm_platform_ioremap_resource()
(bnc#1012628).
- scripts: headers_install: Exit with error on config leak
(bnc#1012628).
- RDMA/iw_cxgb4: cleanup device debugfs entries on ULD remove
(bnc#1012628).
- x86/apic: Make TSC deadline timer detection message visible
(bnc#1012628).
- mfd: stmfx: Reset chip on resume as supply was disabled
(bnc#1012628).
- mfd: stmfx: Fix stmfx_irq_init error path (bnc#1012628).
- mfd: stmfx: Disable IRQ in suspend to avoid spurious interrupt
(bnc#1012628).
- mfd: wcd934x: Drop kfree for memory allocated with devm_kzalloc
(bnc#1012628).
- powerpc/32s: Don't warn when mapping RO data ROX (bnc#1012628).
- powerpc/8xx: Drop CONFIG_8xx_COPYBACK option (bnc#1012628).
- ASoC: fix incomplete error-handling in img_i2s_in_probe
(bnc#1012628).
- scsi: target: tcmu: Fix a use after free in
tcmu_check_expired_queue_cmd() (bnc#1012628).
- clk: bcm2835: Fix return type of bcm2835_register_gate
(bnc#1012628).
- scsi: ufs-qcom: Fix scheduling while atomic issue (bnc#1012628).
- clk: zynqmp: Fix divider2 calculation (bnc#1012628).
- scsi: iscsi: Fix deadlock on recovery path during GFP_IO reclaim
(bnc#1012628).
- scsi: ufs: ti-j721e-ufs: Fix unwinding of pm_runtime changes
(bnc#1012628).
- KVM: PPC: Book3S HV: Ignore kmemleak false positives
(bnc#1012628).
- KVM: PPC: Book3S: Fix some RCU-list locks (bnc#1012628).
- KVM: PPC: Book3S HV: Relax check on H_SVM_INIT_ABORT
(bnc#1012628).
- clk: sprd: return correct type of value for
_sprd_pll_recalc_rate (bnc#1012628).
- clk: ast2600: Fix AHB clock divider for A1 (bnc#1012628).
- misc: xilinx-sdfec: improve get_user_pages_fast() error handling
(bnc#1012628).
- /dev/mem: Revoke mappings when a driver claims the region
(bnc#1012628).
- ASoC: dapm: Move dai_link widgets to runtime to fix use after
free (bnc#1012628).
- net: sunrpc: Fix off-by-one issues in 'rpc_ntop6' (bnc#1012628).
- NFSv4.1 fix rpc_call_done assignment for BIND_CONN_TO_SESSION
(bnc#1012628).
- of: Fix a refcounting bug in __of_attach_node_sysfs()
(bnc#1012628).
- ARM: davinci: fix build failure without I2C (bnc#1012628).
- input: i8042 - Remove special PowerPC handling (bnc#1012628).
- powerpc/4xx: Don't unmap NULL mbase (bnc#1012628).
- powerpc/64s/kuap: Add missing isync to KUAP restore paths
(bnc#1012628).
- extcon: adc-jack: Fix an error handling path in
'adc_jack_probe()' (bnc#1012628).
- usb: dwc3: meson-g12a: fix error path when fetching the reset
line fails (bnc#1012628).
- ASoC: fsl_asrc_dma: Fix dma_chan leak when config DMA channel
failed (bnc#1012628).
- ASoC: SOF: Intel: hda: fix generic hda codec support
(bnc#1012628).
- vfio/mdev: Fix reference count leak in add_mdev_supported_type
(bnc#1012628).
- rtc: rv3028: Add missed check for devm_regmap_init_i2c()
(bnc#1012628).
- mailbox: imx: Fix return in imx_mu_scu_xlate() (bnc#1012628).
- mailbox: zynqmp-ipi: Fix NULL vs IS_ERR() check in
zynqmp_ipi_mbox_probe() (bnc#1012628).
- rxrpc: Adjust /proc/net/rxrpc/calls to display call->debug_id
not user_ID (bnc#1012628).
- openrisc: Fix issue with argument clobbering for clone/fork
(bnc#1012628).
- drm/nouveau/disp/gm200-: fix NV_PDISP_SOR_HDMI2_CTRL(n)
selection (bnc#1012628).
- ceph: don't return -ESTALE if there's still an open file
(bnc#1012628).
- nfsd4: make drc_slab global, not per-net (bnc#1012628).
- pwm: imx27: Fix rounding behavior (bnc#1012628).
- gfs2: Allow lock_nolock mount to specify jid=X (bnc#1012628).
- scsi: iscsi: Fix reference count leak in iscsi_boot_create_kobj
(bnc#1012628).
- scsi: ufs: Don't update urgent bkops level when toggling auto
bkops (bnc#1012628).
- modpost: fix -i (--ignore-errors) MAKEFLAGS detection
(bnc#1012628).
- pinctrl: imxl: Fix an error handling path in
'imx1_pinctrl_core_probe()' (bnc#1012628).
- pinctrl: sirf: add missing put_device() call in
sirfsoc_gpio_probe() (bnc#1012628).
- pinctrl: freescale: imx: Fix an error handling path in
'imx_pinctrl_probe()' (bnc#1012628).
- nfsd: safer handling of corrupted c_type (bnc#1012628).
- RDMA/cm: Spurious WARNING triggered in cm_destroy_id()
(bnc#1012628).
- drm/amd/display: Revalidate bandwidth before commiting DC
updates (bnc#1012628).
- ext4: handle ext4_mark_inode_dirty errors (bnc#1012628).
- ext4: don't block for O_DIRECT if IOCB_NOWAIT is set
(bnc#1012628).
- crypto: omap-sham - add proper load balancing support for
multicore (bnc#1012628).
- pwm: Add missing "CONFIG_" prefix (bnc#1012628).
- bpf: Fix an error code in check_btf_func() (bnc#1012628).
- geneve: change from tx_error to tx_dropped on missing metadata
(bnc#1012628).
- lib/zlib: remove outdated and incorrect pre-increment
optimization (bnc#1012628).
- include/linux/bitops.h: avoid clang shift-count-overflow
warnings (bnc#1012628).
- selftests/vm/pkeys: fix alloc_random_pkey() to make it really
random (bnc#1012628).
- blktrace: use errno instead of bi_status (bnc#1012628).
- blktrace: fix endianness in get_pdu_int() (bnc#1012628).
- blktrace: fix endianness for blk_log_remap() (bnc#1012628).
- KVM: selftests: Fix build with "make ARCH=x86_64" (bnc#1012628).
- gfs2: fix use-after-free on transaction ail lists (bnc#1012628).
- net: dp83867: Fix OF_MDIO config check (bnc#1012628).
- net: marvell: Fix OF_MDIO config check (bnc#1012628).
- net: mscc: Fix OF_MDIO config check (bnc#1012628).
- ntb_perf: pass correct struct device to dma_alloc_coherent
(bnc#1012628).
- ntb_tool: pass correct struct device to dma_alloc_coherent
(bnc#1012628).
- NTB: ntb_tool: reading the link file should not end in a NULL
byte (bnc#1012628).
- NTB: Revert the change to use the NTB device dev for DMA
allocations (bnc#1012628).
- NTB: perf: Don't require one more memory window than number
of peers (bnc#1012628).
- NTB: perf: Fix support for hardware that doesn't have port
numbers (bnc#1012628).
- NTB: perf: Fix race condition when run with ntb_test
(bnc#1012628).
- NTB: ntb_test: Fix bug when counting remote files (bnc#1012628).
- i2c: icy: Fix build with CONFIG_AMIGA_PCMCIA=n (bnc#1012628).
- mailbox: imx: Add context save/restore for suspend/resume
(bnc#1012628).
- arm64: ftrace: Change CONFIG_FTRACE_WITH_REGS to
CONFIG_DYNAMIC_FTRACE_WITH_REGS (bnc#1012628).
- drivers/perf: hisi: Fix wrong value for all counters enable
(bnc#1012628).
- selftests/net: in timestamping, strncpy needs to preserve null
byte (bnc#1012628).
- f2fs: don't return vmalloc() memory from f2fs_kmalloc()
(bnc#1012628).
- afs: Fix memory leak in afs_put_sysnames() (bnc#1012628).
- ASoC: soc-pcm: dpcm: fix playback/capture checks (bnc#1012628).
- ASoC: core: only convert non DPCM link to DPCM link
(bnc#1012628).
- ASoC: SOF: nocodec: conditionally set dpcm_capture/dpcm_playback
flags (bnc#1012628).
- ASoC: Intel: bytcr_rt5640: Add quirk for Toshiba Encore WT10-A
tablet (bnc#1012628).
- ASoC: rt5645: Add platform-data for Asus T101HA (bnc#1012628).
- bpf/sockmap: Fix kernel panic at __tcp_bpf_recvmsg
(bnc#1012628).
- bpf, sockhash: Synchronize delete from bucket list on map free
(bnc#1012628).
- tracing/probe: Fix bpf_task_fd_query() for kprobes and uprobes
(bnc#1012628).
- drm/sun4i: hdmi ddc clk: Fix size of m divider (bnc#1012628).
- libbpf: Handle GCC noreturn-turned-volatile quirk (bnc#1012628).
- scsi: acornscsi: Fix an error handling path in acornscsi_probe()
(bnc#1012628).
- drm/ast: fix missing break in switch statement for
format->cpp[0] case 4 (bnc#1012628).
- x86/idt: Keep spurious entries unset in system_vectors
(bnc#1012628).
- x86/mce/dev-mcelog: Fix -Wstringop-truncation warning about
strncpy() (bnc#1012628).
- net/filter: Permit reading NET in load_bytes_relative when
MAC not set (bnc#1012628).
- tools, bpftool: Fix memory leak in codegen error cases
(bnc#1012628).
- nvme-fc: don't call nvme_cleanup_cmd() for AENs (bnc#1012628).
- nvme-pci: use simple suspend when a HMB is enabled
(bnc#1012628).
- nfs: set invalid blocks after NFSv4 writes (bnc#1012628).
- NFS: Fix direct WRITE throughput regression (bnc#1012628).
- xdp: Fix xsk_generic_xmit errno (bnc#1012628).
- iavf: fix speed reporting over virtchnl (bnc#1012628).
- net: ipa: program upper nibbles of sequencer type (bnc#1012628).
- bpf: sockmap: Don't attach programs to UDP sockets
(bnc#1012628).
- bpf: Fix memlock accounting for sock_hash (bnc#1012628).
- libbpf: Support pre-initializing .bss global variables
(bnc#1012628).
- bpf: Undo internal BPF_PROBE_MEM in BPF insns dump
(bnc#1012628).
- usb/xhci-plat: Set PM runtime as active on resume (bnc#1012628).
- usb/ehci-platform: Set PM runtime as active on resume
(bnc#1012628).
- perf report: Fix NULL pointer dereference in
hists__fprintf_nr_sample_events() (bnc#1012628).
- perf stat: Fix NULL pointer dereference (bnc#1012628).
- perf probe: Fix user attribute access in kprobes (bnc#1012628).
- perf parse-events: Fix an incompatible pointer (bnc#1012628).
- ext4: stop overwrite the errcode in ext4_setup_super
(bnc#1012628).
- bcache: fix potential deadlock problem in btree_gc_coalesce
(bnc#1012628).
- crypto: hisilicon - Cap block size at 2^31 (bnc#1012628).
- crypto: marvell/octeontx - Fix a potential NULL dereference
(bnc#1012628).
- powerpc: Fix kernel crash in show_instructions() w/DEBUG_VIRTUAL
(bnc#1012628).
- afs: Fix non-setting of mtime when writing into mmap
(bnc#1012628).
- afs: afs_write_end() should change i_size under the right lock
(bnc#1012628).
- afs: Fix EOF corruption (bnc#1012628).
- afs: Always include dir in bulk status fetch from
afs_do_lookup() (bnc#1012628).
- afs: Set error flag rather than return error from file status
decode (bnc#1012628).
- afs: Remove the error argument from afs_protocol_error()
(bnc#1012628).
- afs: Fix the mapping of the UAEOVERFLOW abort code
(bnc#1012628).
- bnxt_en: Simplify bnxt_resume() (bnc#1012628).
- bnxt_en: Re-enable SRIOV during resume (bnc#1012628).
- bnxt_en: Fix AER reset logic on 57500 chips (bnc#1012628).
- bnxt_en: Return from timer if interface is not in open state
(bnc#1012628).
- net: dsa: sja1105: fix PTP timestamping with large tc-taprio
cycles (bnc#1012628).
- scsi: ufs-bsg: Fix runtime PM imbalance on error (bnc#1012628).
- s390/numa: let NODES_SHIFT depend on NEED_MULTIPLE_NODES
(bnc#1012628).
- block: Fix use-after-free in blkdev_get() (bnc#1012628).
- mvpp2: remove module bugfix (bnc#1012628).
- bareudp: Fixed configuration to avoid having garbage values
(bnc#1012628).
- mlxsw: spectrum: Adjust headroom buffers for 8x ports
(bnc#1012628).
- arm64: mm: reserve hugetlb CMA after numa_init (bnc#1012628).
- arm64: hw_breakpoint: Don't invoke overflow handler on uaccess
watchpoints (bnc#1012628).
- libata: Use per port sync for detach (bnc#1012628).
- drm: encoder_slave: fix refcouting error for modules
(bnc#1012628).
- pinctrl: qcom: ipq6018 Add missing pins in qpic pin group
(bnc#1012628).
- ext4: fix partial cluster initialization when splitting extent
(bnc#1012628).
- ext4: avoid utf8_strncasecmp() with unstable name (bnc#1012628).
- ext4, jbd2: ensure panic by fix a race between jbd2 abort and
ext4 error handlers (bnc#1012628).
- arm64: dts: realtek: rtd129x: Use reserved-memory for RPC
regions (bnc#1012628).
- arm64: dts: realtek: rtd129x: Carve out boot ROM from memory
(bnc#1012628).
- sh: Convert iounmap() macros to inline functions (bnc#1012628).
- drm/dp_mst: Reformat drm_dp_check_act_status() a bit
(bnc#1012628).
- drm/qxl: Use correct notify port address when creating cursor
ring (bnc#1012628).
- drm/amdgpu/display: use blanked rather than plane state for
sync groups (bnc#1012628).
- drm/amdgpu: Replace invalid device ID with a valid device ID
(bnc#1012628).
- selinux: fix double free (bnc#1012628).
- selinux: fix a double free in cond_read_node()/cond_read_list()
(bnc#1012628).
- selinux: fix undefined return of cond_evaluate_expr
(bnc#1012628).
- drm/ast: Don't check new mode if CRTC is being disabled
(bnc#1012628).
- io_uring: fix io_kiocb.flags modification race in IOPOLL mode
(bnc#1012628).
- io_uring: don't fail links for EAGAIN error in IOPOLL mode
(bnc#1012628).
- io_uring: add memory barrier to synchronize io_kiocb's result
and iopoll_completed (bnc#1012628).
- io_uring: acquire 'mm' for task_work for SQPOLL (bnc#1012628).
- io_uring: reap poll completions while waiting for refs to drop
on exit (bnc#1012628).
- io_uring: fix possible race condition against REQ_F_NEED_CLEANUP
(bnc#1012628).
- ext4: avoid race conditions when remounting with options that
change dax (bnc#1012628).
- drm/dp_mst: Increase ACT retry timeout to 3s (bnc#1012628).
- net/mlx5: DR, Fix freeing in dr_create_rc_qp() (bnc#1012628).
- f2fs: split f2fs_d_compare() from f2fs_match_name()
(bnc#1012628).
- f2fs: avoid utf8_strncasecmp() with unstable name (bnc#1012628).
- s390: fix syscall_get_error for compat processes (bnc#1012628).
- drm/i915: Fix AUX power domain toggling across TypeC mode resets
(bnc#1012628).
- drm/msm: Check for powered down HW in the devfreq callbacks
(bnc#1012628).
- drm/i915/gem: Avoid iterating an empty list (bnc#1012628).
- drm/i915: Whitelist context-local timestamp in the gen9
cmdparser (bnc#1012628).
- drm/connector: notify userspace on hotplug after register
complete (bnc#1012628).
- drm/amdkfd: Use correct major in devcgroup check (bnc#1012628).
- drm/amd/display: Use kvfree() to free coeff in build_regamma()
(bnc#1012628).
- drm/i915/icl+: Fix hotplug interrupt disabling after storm
detection (bnc#1012628).
- drm/i915/tc: fix the reset of ln0 (bnc#1012628).
- drm/i915/gt: Incrementally check for rewinding (bnc#1012628).
- drm/i915/gt: Move hsw GT workarounds from init_clock_gating
to workarounds (bnc#1012628).
- drm/i915/gt: Move ivb GT workarounds from init_clock_gating
to workarounds (bnc#1012628).
- drm/i915/gt: Move snb GT workarounds from init_clock_gating
to workarounds (bnc#1012628).
- drm/i915/gt: Move ilk GT workarounds from init_clock_gating
to workarounds (bnc#1012628).
- drm/i915/gt: Move vlv GT workarounds from init_clock_gating
to workarounds (bnc#1012628).
- drm/i915/gt: Move gen4 GT workarounds from init_clock_gating
to workarounds (bnc#1012628).
- Revert "drm/amd/display: disable dcn20 abm feature for bring up"
(bnc#1012628).
- drm/i915/tgl: Make Wa_14010229206 permanent (bnc#1012628).
- crypto: algif_skcipher - Cap recv SG list at ctx->used
(bnc#1012628).
- crypto: algboss - don't wait during notifier callback
(bnc#1012628).
- tracing: Make ftrace packed events have align of 1
(bnc#1012628).
- tracing/probe: Fix memleak in fetch_op_data operations
(bnc#1012628).
- proc/bootconfig: Fix to use correct quotes for value
(bnc#1012628).
- tools/bootconfig: Fix to use correct quotes for value
(bnc#1012628).
- tools/bootconfig: Fix to return 0 if succeeded to show the
bootconfig (bnc#1012628).
- sample-trace-array: Remove trace_array 'sample-instance'
(bnc#1012628).
- sample-trace-array: Fix sleeping function called from invalid
context (bnc#1012628).
- netfilter: nft_set_rbtree: Don't account for expired elements
on insertion (bnc#1012628).
- netfilter: nft_set_pipapo: Disable preemption before getting
per-CPU pointer (bnc#1012628).
- kprobes: Fix to protect kick_kprobe_optimizer() by kprobe_mutex
(bnc#1012628).
- kretprobe: Prevent triggering kretprobe from within
kprobe_flush_task (bnc#1012628).
- powerpc/64s: Fix KVM interrupt using wrong save area
(bnc#1012628).
- e1000e: Do not wake up the system via WOL if device wakeup is
disabled (bnc#1012628).
- net: octeon: mgmt: Repair filling of RX ring (bnc#1012628).
- net: core: device_rename: Use rwsem instead of a seqcount
(bnc#1012628).
- iommu/vt-d: Remove real DMA lookup in find_domain (bnc#1012628).
- commit d68b6c3
-------------------------------------------------------------------
Tue Jun 23 11:44:37 CEST 2020 - jslaby@suse.cz
- Refresh
patches.suse/iwl-fix-crash-in-iwl_dbg_tlv_alloc_trigger.patch.
- Refresh
patches.suse/syscalls-fix-offset-type-of-ksys_ftruncate.patch.
Update upstream status.
- commit f3d2ea3
-------------------------------------------------------------------
Tue Jun 23 08:00:45 CEST 2020 - jslaby@suse.cz
- fix a braino in "sparc32: fix register window handling in
genregs32_et()" (git-fixes).
- nvmet: fail outstanding host posted AEN req (git-fixes).
- commit a1775d0
-------------------------------------------------------------------
Tue Jun 23 07:41:30 CEST 2020 - jslaby@suse.cz
- blacklist.conf: add one coccinelle warning
- commit 0dd0fe7
-------------------------------------------------------------------
Mon Jun 22 12:57:16 CEST 2020 - jslaby@suse.cz
- Linux 5.7.5 (bnc#1012628).
- drm/amdgpu: fix and cleanup amdgpu_gem_object_close v4
(bnc#1012628).
- ath10k: Fix the race condition in firmware dump work queue
(bnc#1012628).
- drm: bridge: adv7511: Extend list of audio sample rates
(bnc#1012628).
- media: staging: imgu: do not hold spinlock during freeing mmu
page table (bnc#1012628).
- media: imx: imx7-mipi-csis: Cleanup and fix subdev pad format
handling (bnc#1012628).
- crypto: ccp -- don't "select" CONFIG_DMADEVICES (bnc#1012628).
- igc: Fix default MAC address filter override (bnc#1012628).
- scripts: sphinx-pre-install: address some issues with Gentoo
(bnc#1012628).
- media: vicodec: Fix error codes in probe function (bnc#1012628).
- media: si2157: Better check for running tuner in init
(bnc#1012628).
- media: v4l2-ctrls: v4l2_ctrl_g/s_ctrl*(): don't continue when
WARN_ON (bnc#1012628).
- objtool: Ignore empty alternatives (bnc#1012628).
- drm/amd/display: Force watermark value propagation
(bnc#1012628).
- drm/amd/display: fix virtual signal dsc setup (bnc#1012628).
- spi: spi-mem: Fix Dual/Quad modes on Octal-capable devices
(bnc#1012628).
- drm/amdgpu: Init data to avoid oops while reading pp_num_states
(bnc#1012628).
- drm/bridge: panel: Return always an error pointer in
drm_panel_bridge_add() (bnc#1012628).
- net: ethernet: ti: fix return value check in
k3_cppi_desc_pool_create_name() (bnc#1012628).
- arm64/kernel: Fix range on invalidating dcache for boot page
tables (bnc#1012628).
- selftests/bpf: Copy runqslower to OUTPUT directory
(bnc#1012628).
- libbpf: Fix memory leak and possible double-free in
hashmap__clear (bnc#1012628).
- spi: pxa2xx: Apply CS clk quirk to BXT (bnc#1012628).
- x86,smap: Fix smap_{save,restore}() alternatives (bnc#1012628).
- sched/fair: Refill bandwidth before scaling (bnc#1012628).
- net: atlantic: make hw_get_regs optional (bnc#1012628).
- net: ena: fix error returning in ena_com_get_hash_function()
(bnc#1012628).
- efi/libstub/x86: Work around LLVM ELF quirk build regression
(bnc#1012628).
- ath10k: remove the max_sched_scan_reqs value (bnc#1012628).
- arm64: cacheflush: Fix KGDB trap detection (bnc#1012628).
- media: staging: ipu3: Fix stale list entries on parameter
queue failure (bnc#1012628).
- libperf evlist: Fix a refcount leak (bnc#1012628).
- rtw88: fix an issue about leak system resources (bnc#1012628).
- spi: dw: Zero DMA Tx and Rx configurations on stack
(bnc#1012628).
- soc: fsl: dpio: properly compute the consumer index
(bnc#1012628).
- ACPICA: Dispatcher: add status checks (bnc#1012628).
- block: alloc map and request for new hardware queue
(bnc#1012628).
- arm64: insn: Fix two bugs in encoding 32-bit logical immediates
(bnc#1012628).
- tools/power/x86/intel-speed-select: Fix CLX-N package
information output (bnc#1012628).
- mt76: mt7615: fix aid configuration in
mt7615_mcu_wtbl_generic_tlv (bnc#1012628).
- block: reset mapping if failed to update hardware queue count
(bnc#1012628).
- drm: rcar-du: Set primary plane zpos immutably at initializing
(bnc#1012628).
- lockdown: Allow unprivileged users to see lockdown status
(bnc#1012628).
- ixgbe: Fix XDP redirect on archs with PAGE_SIZE above 4K
(bnc#1012628).
- platform/x86: dell-laptop: don't register micmute LED if there
is no token (bnc#1012628).
- cpuidle: psci: Fixup execution order when entering a domain
idle state (bnc#1012628).
- MIPS: Loongson: Build ATI Radeon GPU driver as module
(bnc#1012628).
- io_uring: cleanup io_poll_remove_one() logic (bnc#1012628).
- media: i2c: imx219: Fix a bug in imx219_enum_frame_size
(bnc#1012628).
- Bluetooth: Add SCO fallback for invalid LMP parameters error
(bnc#1012628).
- kgdb: Disable WARN_CONSOLE_UNLOCKED for all kgdb (bnc#1012628).
- kgdb: Prevent infinite recursive entries to the debugger
(bnc#1012628).
- pmu/smmuv3: Clear IRQ affinity hint on device removal
(bnc#1012628).
- ath11k: Fix some resource leaks in error path in
'ath11k_thermal_register()' (bnc#1012628).
- ACPI/IORT: Fix PMCG node single ID mapping handling
(bnc#1012628).
- drm/dp: Lenovo X13 Yoga OLED panel brightness fix (bnc#1012628).
- mips: Fix cpu_has_mips64r1/2 activation for MIPS32 CPUs
(bnc#1012628).
- spi: dw: Enable interrupts in accordance with DMA xfer mode
(bnc#1012628).
- clocksource/drivers/timer-versatile: Clear OF_POPULATED flag
(bnc#1012628).
- clocksource: dw_apb_timer: Make CPU-affiliation being optional
(bnc#1012628).
- clocksource: dw_apb_timer_of: Fix missing clockevent timers
(bnc#1012628).
- btrfs: account for trans_block_rsv in may_commit_transaction
(bnc#1012628).
- btrfs: do not ignore error from btrfs_next_leaf() when inserting
checksums (bnc#1012628).
- spi: mux: repair mux usage (bnc#1012628).
- ARM: 8978/1: mm: make act_mm() respect THREAD_SIZE
(bnc#1012628).
- batman-adv: Revert "disable ethtool link speed detection when
auto negotiation off" (bnc#1012628).
- xfs: more lockdep whackamole with kmem_alloc* (bnc#1012628).
- ice: Fix memory leak (bnc#1012628).
- ice: Fix for memory leaks and modify ICE_FREE_CQ_BUFS
(bnc#1012628).
- ice: Change number of XDP TxQ to 0 when destroying rings
(bnc#1012628).
- mmc: mmci_sdmmc: fix power on issue due to pwr_reg
initialization (bnc#1012628).
- mmc: meson-mx-sdio: trigger a soft reset after a timeout or
CRC error (bnc#1012628).
- Bluetooth: btmtkuart: Improve exception handling in
btmtuart_probe() (bnc#1012628).
- Bluetooth: hci_qca: Fix suspend/resume functionality failure
(bnc#1012628).
- spi: dw: Fix Rx-only DMA transfers (bnc#1012628).
- ice: fix PCI device serial number to be lowercase values
(bnc#1012628).
- x86/kvm/hyper-v: Explicitly align hcall param for
kvm_hyperv_exit (bnc#1012628).
- net: vmxnet3: fix possible buffer overflow caused by bad DMA
value in vmxnet3_get_rss() (bnc#1012628).
- Crypto/chcr: Fixes a coccinile check error (bnc#1012628).
- x86: fix vmap arguments in map_irq_stack (bnc#1012628).
- staging: android: ion: use vmap instead of vm_map_ram
(bnc#1012628).
- ubsan: entirely disable alignment checks under UBSAN_TRAP
(bnc#1012628).
- ath11k: fix error message to correctly report the command that
failed (bnc#1012628).
- drm/hisilicon: Enforce 128-byte stride alignment to fix the
hardware limitation (bnc#1012628).
- ath11k: Avoid mgmt tx count underflow (bnc#1012628).
- ath10k: fix kernel null pointer dereference (bnc#1012628).
- drm/amd/display: Revert to old formula in set_vtg_params
(bnc#1012628).
- media: staging/intel-ipu3: Implement lock for stream on/off
operations (bnc#1012628).
- media: venus: core: remove CNOC voting while device suspend
(bnc#1012628).
- spi: Respect DataBitLength field of SpiSerialBusV2() ACPI
resource (bnc#1012628).
- brcmfmac: fix wrong location to get firmware feature
(bnc#1012628).
- regulator: qcom-rpmh: Fix typos in pm8150 and pm8150l
(bnc#1012628).
- tools api fs: Make xxx__mountpoint() more scalable
(bnc#1012628).
- e1000: Distribute switch variables for initialization
(bnc#1012628).
- net: mscc: ocelot: deal with problematic MAC_ETYPE VCAP IS2
rules (bnc#1012628).
- drm/ast: Allocate initial CRTC state of the correct size
(bnc#1012628).
- dt-bindings: display: mediatek: control dpi pins mode to avoid
leakage (bnc#1012628).
- drm/mediatek: set dpi pin mode to gpio low to avoid leakage
current (bnc#1012628).
- audit: fix a net reference leak in audit_send_reply()
(bnc#1012628).
- media: dvb: return -EREMOTEIO on i2c transfer failure
(bnc#1012628).
- media: imx: utils: fix and simplify pixel format enumeration
(bnc#1012628).
- media: imx: utils: fix media bus format enumeration
(bnc#1012628).
- media: platform: fcp: Set appropriate DMA parameters
(bnc#1012628).
- MIPS: Make sparse_init() using top-down allocation
(bnc#1012628).
- ath10k: add flush tx packets for SDIO chip (bnc#1012628).
- Bluetooth: btbcm: Add 2 missing models to subver tables
(bnc#1012628).
- audit: fix a net reference leak in audit_list_rules_send()
(bnc#1012628).
- drm/amd/display: Correct updating logic of dcn21's pipe VM flags
(bnc#1012628).
- drm/amd/display: dmcu wait loop calculation is incorrect in RV
(bnc#1012628).
- Drivers: hv: vmbus: Always handle the VMBus messages on CPU0
(bnc#1012628).
- efi/libstub/random: Align allocate size to EFI_ALLOC_ALIGN
(bnc#1012628).
- dpaa2-eth: fix return codes used in ndo_setup_tc (bnc#1012628).
- bcache: remove a duplicate ->make_request_fn assignment
(bnc#1012628).
- net/mlx4_core: Add missing iounmap() in error path
(bnc#1012628).
- bpf, riscv: Fix tail call count off by one in RV32 BPF JIT
(bnc#1012628).
- netfilter: nft_nat: return EOPNOTSUPP if type or flags are
not supported (bnc#1012628).
- ath11k: use GFP_ATOMIC under spin lock (bnc#1012628).
- Bluetooth: Adding driver and quirk defs for multi-role LE
(bnc#1012628).
- drm/amd/display: Do not disable pipe split if mode is not
supported (bnc#1012628).
- libbpf: Refactor map creation logic and fix cleanup leak
(bnc#1012628).
- selftests/bpf: Ensure test flavors use correct skeletons
(bnc#1012628).
- selftests/bpf: Fix memory leak in test selector (bnc#1012628).
- selftests/bpf: Fix memory leak in extract_build_id()
(bnc#1012628).
- selftests/bpf: Fix invalid memory reads in core_relo selftest
(bnc#1012628).
- libbpf: Fix huge memory leak in libbpf_find_vmlinux_btf_id()
(bnc#1012628).
- selftests/bpf: Fix bpf_link leak in ns_current_pid_tgid selftest
(bnc#1012628).
- selftests/bpf: Add runqslower binary to .gitignore
(bnc#1012628).
- media: m88ds3103: error in set_frontend is swallowed and not
reported (bnc#1012628).
- ARM: 8969/1: decompressor: simplify libfdt builds (bnc#1012628).
- drm/bridge: fix stack usage warning on old gcc (bnc#1012628).
- net: bcmgenet: set Rx mode before starting netif (bnc#1012628).
- net: bcmgenet: Fix WoL with password after deep sleep
(bnc#1012628).
- lib/mpi: Fix 64-bit MIPS build with Clang (bnc#1012628).
- net/mlx5e: CT: Avoid false warning about rule may be used
uninitialized (bnc#1012628).
- exit: Move preemption fixup up, move blocking operations down
(bnc#1012628).
- sched/core: Fix illegal RCU from offline CPUs (bnc#1012628).
- stmmac: intel: Fix clock handling on error and remove paths
(bnc#1012628).
- arm64: kexec_file: print appropriate variable (bnc#1012628).
- drivers/perf: hisi: Fix typo in events attribute array
(bnc#1012628).
- iocost_monitor: drop string wrap around numbers when outputting
json (bnc#1012628).
- octeontx2-pf: Fix error return code in otx2_probe()
(bnc#1012628).
- ice: Fix error return code in ice_add_prof() (bnc#1012628).
- net: lpc-enet: fix error return code in lpc_mii_init()
(bnc#1012628).
- selinux: fix error return code in policydb_read() (bnc#1012628).
- drivers: net: davinci_mdio: fix potential NULL dereference in
davinci_mdio_probe() (bnc#1012628).
- cpufreq: qcom: fix wrong compatible binding (bnc#1012628).
- ath10k: fix possible memory leak in ath10k_bmi_lz_data_large()
(bnc#1012628).
- ath11k: fix error return code in ath11k_dp_alloc()
(bnc#1012628).
- media: sun8i: Fix an error handling path in
'deinterlace_runtime_resume()' (bnc#1012628).
- media: cec: silence shift wrapping warning in
__cec_s_log_addrs() (bnc#1012628).
- net: allwinner: Fix use correct return type for ndo_start_xmit()
(bnc#1012628).
- powerpc/spufs: fix copy_to_user while atomic (bnc#1012628).
- ath11k: fix kernel panic by freeing the msdu received with
invalid length (bnc#1012628).
- libertas_tf: avoid a null dereference in pointer priv
(bnc#1012628).
- xfs: clean up the error handling in xfs_swap_extents
(bnc#1012628).
- Crypto/chcr: fix ctr, cbc, xts and rfc3686-ctr failed tests
(bnc#1012628).
- Crypto/chcr: fix for ccm(aes) failed test (bnc#1012628).
- dsa: sja1105: dynamically allocate stats structure
(bnc#1012628).
- MIPS: Truncate link address into 32bit for 32bit kernel
(bnc#1012628).
- mips: cm: Fix an invalid error code of INTVN_*_ERR
(bnc#1012628).
- kgdb: Fix spurious true from in_dbg_master() (bnc#1012628).
- xfs: reset buffer write failure state on successful completion
(bnc#1012628).
- xfs: fix duplicate verification from xfs_qm_dqflush()
(bnc#1012628).
- platform/x86: intel-vbtn: Use acpi_evaluate_integer()
(bnc#1012628).
- platform/x86: intel-vbtn: Split keymap into buttons and switches
parts (bnc#1012628).
- platform/x86: intel-vbtn: Do not advertise switches to userspace
if they are not there (bnc#1012628).
- platform/x86: intel-vbtn: Also handle tablet-mode switch on
"Detachable" and "Portable" chassis-types (bnc#1012628).
- iwlwifi: avoid debug max amsdu config overwriting itself
(bnc#1012628).
- nvme: refine the Qemu Identify CNS quirk (bnc#1012628).
- nvme-fc: avoid gcc-10 zero-length-bounds warning (bnc#1012628).
- nvme-pci: align io queue count with allocted nvme_queue in
nvme_probe (bnc#1012628).
- nvme-tcp: use bh_lock in data_ready (bnc#1012628).
- ath10k: Skip handling del_server during driver exit
(bnc#1012628).
- ath10k: Remove msdu from idr when management pkt send fails
(bnc#1012628).
- wcn36xx: Fix error handling path in 'wcn36xx_probe()'
(bnc#1012628).
- net: qed*: Reduce RX and TX default ring count when running
inside kdump kernel (bnc#1012628).
- drm/mcde: dsi: Fix return value check in mcde_dsi_bind()
(bnc#1012628).
- mt76: mt7663: fix mt7615_mac_cca_stats_reset routine
(bnc#1012628).
- mt76: mt7615: do not always reset the dfs state setting the
channel (bnc#1012628).
- mt76: mt7622: fix DMA unmap length (bnc#1012628).
- mt76: mt7663: fix DMA unmap length (bnc#1012628).
- mt76: mt7615: fix mt7615_firmware_own for mt7663e (bnc#1012628).
- mt76: mt7615: fix mt7615_driver_own routine (bnc#1012628).
- mt76: avoid rx reorder buffer overflow (bnc#1012628).
- selftests/bpf: Install generated test progs (bnc#1012628).
- brcmfmac: fix WPA/WPA2-PSK 4-way handshake offload and SAE
offload failures (bnc#1012628).
- md: don't flush workqueue unconditionally in md_open
(bnc#1012628).
- raid5: remove gfp flags from scribble_alloc() (bnc#1012628).
- iocost: don't let vrate run wild while there's no saturation
signal (bnc#1012628).
- veth: Adjust hard_start offset on redirect XDP frames
(bnc#1012628).
- crypto: blake2b - Fix clang optimization for ARMv7-M
(bnc#1012628).
- io_uring: allow POLL_ADD with double poll_wait() users
(bnc#1012628).
- net/mlx5e: IPoIB, Drop multicast packets that this interface
sent (bnc#1012628).
- selftests/bpf: Fix test_align verifier log patterns
(bnc#1012628).
- net: ipa: do not clear interrupt in gsi_channel_start()
(bnc#1012628).
- rtlwifi: Fix a double free in _rtl_usb_tx_urb_setup()
(bnc#1012628).
- mwifiex: Fix memory corruption in dump_station (bnc#1012628).
- kgdboc: Use a platform device to handle tty drivers showing
up late (bnc#1012628).
- x86/boot: Correct relocation destination on old linkers
(bnc#1012628).
- xfs: don't fail verifier on empty attr3 leaf block
(bnc#1012628).
- sched: Defend cfs and rt bandwidth quota against overflow
(bnc#1012628).
- mips: MAAR: Use more precise address mask (bnc#1012628).
- ice: cleanup vf_id signedness (bnc#1012628).
- ice: Fix resource leak on early exit from function
(bnc#1012628).
- mips: Add udelay lpj numbers adjustment (bnc#1012628).
- crypto: stm32/crc32 - fix ext4 chksum BUG_ON() (bnc#1012628).
- crypto: stm32/crc32 - fix run-time self test issue
(bnc#1012628).
- crypto: stm32/crc32 - fix multi-instance (bnc#1012628).
- drm/amd/powerpay: Disable gfxoff when setting manual mode on
picasso and raven (bnc#1012628).
- drm/amdgpu: Sync with VM root BO when switching VM to CPU
update mode (bnc#1012628).
- selftests/bpf: CONFIG_IPV6_SEG6_BPF required for
test_seg6_loop.o (bnc#1012628).
- selftests/bpf: CONFIG_LIRC required for test_lirc_mode2.sh
(bnc#1012628).
- ice: Fix Tx timeout when link is toggled on a VF's interface
(bnc#1012628).
- x86/mm: Stop printing BRK addresses (bnc#1012628).
- MIPS: Fix exception handler memcpy() (bnc#1012628).
- MIPS: tools: Fix resource leak in elf-entry.c (bnc#1012628).
- m68k: mac: Don't call via_flush_cache() on Mac IIfx
(bnc#1012628).
- btrfs: improve global reserve stealing logic (bnc#1012628).
- btrfs: qgroup: mark qgroup inconsistent if we're inherting
snapshot to a new qgroup (bnc#1012628).
- ACPI: video: Use native backlight on Acer TravelMate 5735Z
(bnc#1012628).
- nvme-pci: make sure write/poll_queues less or equal then cpu
count (bnc#1012628).
- nvmet: fix memory leak when removing namespaces and controllers
concurrently (bnc#1012628).
- macvlan: Skip loopback packets in RX handler (bnc#1012628).
- PCI: Don't disable decoding when mmio_always_on is set
(bnc#1012628).
- MIPS: Fix IRQ tracing when call handle_fpe() and
handle_msa_fpe() (bnc#1012628).
- bcache: fix refcount underflow in bcache_device_free()
(bnc#1012628).
- mmc: mmci: Switch to mmc_regulator_set_vqmmc() (bnc#1012628).
- mmc: sdhci-msm: Set SDHCI_QUIRK_MULTIBLOCK_READ_ACMD12 quirk
(bnc#1012628).
- staging: greybus: sdio: Respect the cmd->busy_timeout from
the mmc core (bnc#1012628).
- mmc: owl-mmc: Respect the cmd->busy_timeout from the mmc core
(bnc#1012628).
- mmc: via-sdmmc: Respect the cmd->busy_timeout from the mmc core
(bnc#1012628).
- mmc: sdhci: add quirks for be to le byte swapping (bnc#1012628).
- ice: fix potential double free in probe unrolling (bnc#1012628).
- ixgbe: fix signed-integer-overflow warning (bnc#1012628).
- iwlwifi: mvm: fix aux station leak (bnc#1012628).
- mmc: sdhci-esdhc-imx: fix the mask for tuning start point
(bnc#1012628).
- spi: dw: Return any value retrieved from the dma_transfer
callback (bnc#1012628).
- cpuidle: Fix three reference count leaks (bnc#1012628).
- spi: spi-fsl-dspi: fix native data copy (bnc#1012628).
- io_uring: fix overflowed reqs cancellation (bnc#1012628).
- platform/x86: hp-wmi: Convert simple_strtoul() to kstrtou32()
(bnc#1012628).
- ice: Fix inability to set channels when down (bnc#1012628).
- platform/x86: intel-hid: Add a quirk to support HP Spectre X2
(2015) (bnc#1012628).
- platform/x86: intel-vbtn: Only blacklist SW_TABLET_MODE on
the 9 / "Laptop" chasis-type (bnc#1012628).
- platform/x86: asus_wmi: Reserve more space for struct bias_args
(bnc#1012628).
- libbpf: Fix perf_buffer__free() API for sparse allocs
(bnc#1012628).
- bpf: Fix map permissions check (bnc#1012628).
- bpf: Refactor sockmap redirect code so its easy to reuse
(bnc#1012628).
- bpf: Fix running sk_skb program types with ktls (bnc#1012628).
- selftests/bpf, flow_dissector: Close TAP device FD after the
test (bnc#1012628).
- bpf: Fix up bpf_skb_adjust_room helper's skb csum setting
(bnc#1012628).
- s390/bpf: Maintain 8-byte stack alignment (bnc#1012628).
- kasan: stop tests being eliminated as dead code with
FORTIFY_SOURCE (bnc#1012628).
- string.h: fix incompatibility between FORTIFY_SOURCE and KASAN
(bnc#1012628).
- btrfs: free alien device after device add (bnc#1012628).
- btrfs: include non-missing as a qualifier for the latest_bdev
(bnc#1012628).
- btrfs: fix a race between scrub and block group
removal/allocation (bnc#1012628).
- btrfs: send: emit file capabilities after chown (bnc#1012628).
- btrfs: force chunk allocation if our global rsv is larger than
metadata (bnc#1012628).
- btrfs: reloc: fix reloc root leak and NULL pointer dereference
(bnc#1012628).
- btrfs: fix error handling when submitting direct I/O bio
(bnc#1012628).
- btrfs: fix corrupt log due to concurrent fsync of inodes with
shared extents (bnc#1012628).
- btrfs: fix wrong file range cleanup after an error filling
dealloc range (bnc#1012628).
- btrfs: fix space_info bytes_may_use underflow after nocow
buffered write (bnc#1012628).
- btrfs: fix space_info bytes_may_use underflow during space
cache writeout (bnc#1012628).
- powerpc/mm: Fix conditions to perform MMU specific management
by blocks on PPC32 (bnc#1012628).
- mm: thp: make the THP mapcount atomic against
__split_huge_pmd_locked() (bnc#1012628).
- mm: initialize deferred pages with interrupts enabled
(bnc#1012628).
- MIPS: CPU_LOONGSON2EF need software to maintain cache
consistency (bnc#1012628).
- mm/pagealloc.c: call touch_nmi_watchdog() on max order
boundaries in deferred init (bnc#1012628).
- mm: call cond_resched() from deferred_init_memmap()
(bnc#1012628).
- ima: Fix ima digest hash table key calculation (bnc#1012628).
- ima: Switch to ima_hash_algo for boot aggregate (bnc#1012628).
- ima: Evaluate error in init_ima() (bnc#1012628).
- ima: Directly assign the ima_default_policy pointer to ima_rules
(bnc#1012628).
- ima: Call ima_calc_boot_aggregate() in ima_eventdigest_init()
(bnc#1012628).
- ima: Remove __init annotation from ima_pcrread() (bnc#1012628).
- evm: Fix possible memory leak in evm_calc_hmac_or_hash()
(bnc#1012628).
- ext4: fix EXT_MAX_EXTENT/INDEX to check for zeroed eh_max
(bnc#1012628).
- ext4: fix buffer_head refcnt leak when ext4_iget() fails
(bnc#1012628).
- ext4: fix error pointer dereference (bnc#1012628).
- ext4: fix race between ext4_sync_parent() and rename()
(bnc#1012628).
- PCI: Avoid Pericom USB controller OHCI/EHCI PME# defect
(bnc#1012628).
- PCI: Avoid FLR for AMD Matisse HD Audio & USB 3.0 (bnc#1012628).
- PCI: Avoid FLR for AMD Starship USB 3.0 (bnc#1012628).
- PCI: Add ACS quirk for Intel Root Complex Integrated Endpoints
(bnc#1012628).
- serial: 8250_pci: Move Pericom IDs to pci_ids.h (bnc#1012628).
- x86/amd_nb: Add AMD family 17h model 60h PCI IDs (bnc#1012628).
- ima: Remove redundant policy rule set in add_rules()
(bnc#1012628).
- ima: Set again build_ima_appraise variable (bnc#1012628).
- PCI: Program MPS for RCiEP devices (bnc#1012628).
- e1000e: Disable TSO for buffer overrun workaround (bnc#1012628).
- e1000e: Relax condition to trigger reset for ME workaround
(bnc#1012628).
- irqchip/sifive-plic: Set default irq affinity in
plic_irqdomain_map() (bnc#1012628).
- irqchip/sifive-plic: Setup cpuhp once after boot CPU handler
is present (bnc#1012628).
- carl9170: remove P2P_GO support (bnc#1012628).
- clocksource: Remove obsolete ifdef (bnc#1012628).
- clocksource/drivers/timer-microchip-pit64b: Select
CONFIG_TIMER_OF (bnc#1012628).
- media: cedrus: Program output format during each run
(bnc#1012628).
- serial: 8250: Avoid error message on reprobe (bnc#1012628).
- Bluetooth: hci_bcm: respect IRQ polarity from DT (bnc#1012628).
- Bluetooth: hci_bcm: fix freeing not-requested IRQ (bnc#1012628).
- b43legacy: Fix case where channel status is corrupted
(bnc#1012628).
- b43: Fix connection problem with WPA3 (bnc#1012628).
- b43_legacy: Fix connection problem with WPA3 (bnc#1012628).
- media: ov5640: fix use of destroyed mutex (bnc#1012628).
- usb: musb: mediatek: add reset FADDR to zero in reset interrupt
handle (bnc#1012628).
- clk: mediatek: assign the initial value to clk_init_data of
mtk_mux (bnc#1012628).
- igb: Report speed and duplex as unknown when device is runtime
suspended (bnc#1012628).
- hwmon: (k10temp) Add AMD family 17h model 60h PCI match
(bnc#1012628).
- EDAC/amd64: Add AMD family 17h model 60h PCI IDs (bnc#1012628).
- iommu/vt-d: Only clear real DMA device's context entries
(bnc#1012628).
- iommu/vt-d: Allocate domain info for real DMA sub-devices
(bnc#1012628).
- power: vexpress: add suppress_bind_attrs to true (bnc#1012628).
- power: supply: core: fix HWMON temperature labels (bnc#1012628).
- power: supply: core: fix memory leak in HWMON error path
(bnc#1012628).
- pinctrl: samsung: Correct setting of eint wakeup mask on s5pv210
(bnc#1012628).
- pinctrl: samsung: Save/restore eint_mask over suspend for
EINT_TYPE GPIOs (bnc#1012628).
- gnss: sirf: fix error return code in sirf_probe() (bnc#1012628).
- sparc32: fix register window handling in genregs32_[gs]et()
(bnc#1012628).
- sparc64: fix misuses of access_process_vm() in
genregs32_[sg]et() (bnc#1012628).
- software node: implement software_node_unregister()
(bnc#1012628).
- dm crypt: avoid truncating the logical block size (bnc#1012628).
- alpha: fix memory barriers so that they conform to the
specification (bnc#1012628).
- memory: samsung: exynos5422-dmc: Fix tFAW timings alignment
(bnc#1012628).
- powerpc/fadump: use static allocation for reserved memory ranges
(bnc#1012628).
- powerpc/fadump: consider reserved ranges while reserving memory
(bnc#1012628).
- powerpc/fadump: Account for memory_limit while reserving memory
(bnc#1012628).
- kernel/cpu_pm: Fix uninitted local in cpu_pm (bnc#1012628).
- ARM: tegra: Correct PL310 Auxiliary Control Register
initialization (bnc#1012628).
- soc/tegra: pmc: Select GENERIC_PINCONF (bnc#1012628).
- ARM: dts: exynos: Fix GPIO polarity for thr GalaxyS3 CM36651
sensor's bus (bnc#1012628).
- ARM: dts: at91: sama5d2_ptc_ek: fix vbus pin (bnc#1012628).
- ARM: dts: s5pv210: Set keep-power-in-suspend for SDHCI1 on Aries
(bnc#1012628).
- drivers/macintosh: Fix memleak in windfarm_pm112 driver
(bnc#1012628).
- powerpc/32s: Fix another build failure with
CONFIG_PPC_KUAP_DEBUG (bnc#1012628).
- powerpc/kasan: Fix issues by lowering KASAN_SHADOW_END
(bnc#1012628).
- powerpc/kasan: Fix shadow pages allocation failure
(bnc#1012628).
- powerpc/32: Disable KASAN with pages bigger than 16k
(bnc#1012628).
- powerpc/64s: Don't let DT CPU features set FSCR_DSCR
(bnc#1012628).
- powerpc/64s: Save FSCR to init_task.thread.fscr after feature
init (bnc#1012628).
- kbuild: force to build vmlinux if CONFIG_MODVERSION=y
(bnc#1012628).
- virtio-balloon: Disable free page reporting if page poison
reporting is not enabled (bnc#1012628).
- sunrpc: svcauth_gss_register_pseudoflavor must reject duplicate
registrations (bnc#1012628).
- sunrpc: clean up properly in gss_mech_unregister()
(bnc#1012628).
- block: nr_sects_write(): Disable preemption on seqcount write
(bnc#1012628).
- RISC-V: Don't mark init section as non-executable (bnc#1012628).
- pwm: lpss: Fix get_state runtime-pm reference handling
(bnc#1012628).
- pwm: jz4740: Enhance precision in calculation of duty cycle
(bnc#1012628).
- mtd: rawnand: Fix nand_gpio_waitrdy() (bnc#1012628).
- mtd: rawnand: onfi: Fix redundancy detection check
(bnc#1012628).
- mtd: rawnand: brcmnand: fix hamming oob layout (bnc#1012628).
- mtd: rawnand: diskonchip: Fix the probe error path
(bnc#1012628).
- mtd: rawnand: sharpsl: Fix the probe error path (bnc#1012628).
- mtd: rawnand: ingenic: Fix the probe error path (bnc#1012628).
- mtd: rawnand: xway: Fix the probe error path (bnc#1012628).
- mtd: rawnand: orion: Fix the probe error path (bnc#1012628).
- mtd: rawnand: socrates: Fix the probe error path (bnc#1012628).
- mtd: rawnand: oxnas: Fix the probe error path (bnc#1012628).
- mtd: rawnand: sunxi: Fix the probe error path (bnc#1012628).
- mtd: rawnand: plat_nand: Fix the probe error path (bnc#1012628).
- mtd: rawnand: pasemi: Fix the probe error path (bnc#1012628).
- mtd: rawnand: mtk: Fix the probe error path (bnc#1012628).
- mtd: rawnand: tmio: Fix the probe error path (bnc#1012628).
- w1: omap-hdq: cleanup to add missing newline for some dev_dbg
(bnc#1012628).
- w1: omap-hdq: fix return value to be -1 if there is a timeout
(bnc#1012628).
- w1: omap-hdq: fix interrupt handling which did show spurious
timeouts (bnc#1012628).
- f2fs: don't leak filename in f2fs_try_convert_inline_dir()
(bnc#1012628).
- f2fs: fix checkpoint=disable:%u%% (bnc#1012628).
- perf probe: Do not show the skipped events (bnc#1012628).
- perf probe: Fix to check blacklist address correctly
(bnc#1012628).
- perf probe: Check address correctness by map instead of _etext
(bnc#1012628).
- perf symbols: Fix debuginfo search for Ubuntu (bnc#1012628).
- perf symbols: Fix kernel maps for kcore and eBPF (bnc#1012628).
- Update config files.
- commit 33d4749
-------------------------------------------------------------------
Mon Jun 22 06:26:47 CEST 2020 - mkubecek@suse.cz
- Update to 5.8-rc2
- refresh configs
- commit f76a148
-------------------------------------------------------------------
Thu Jun 18 15:26:39 CEST 2020 - jeyu@suse.de
- panic: do not print uninitialized taint_flags (bsc#1172814).
- commit ed3a673
-------------------------------------------------------------------
Thu Jun 18 15:16:31 CEST 2020 - jslaby@suse.cz
- Linux 5.7.4 (bnc#1012628).
- lib/vdso: Provide sanity check for cycles (again) (bnc#1012628).
- commit 23bad63
-------------------------------------------------------------------
Thu Jun 18 07:19:22 CEST 2020 - jslaby@suse.cz
- blacklist.conf: add one cleanup
- commit 44c4af0
-------------------------------------------------------------------
Thu Jun 18 06:58:44 CEST 2020 - jslaby@suse.cz
- genetlink: clean up family attributes allocations (git-fixes).
- ACPI: GED: use correct trigger type field in _Exx / _Lxx
handling (git-fixes).
- commit 8a9985c
-------------------------------------------------------------------
Wed Jun 17 18:44:23 CEST 2020 - jslaby@suse.cz
- Linux 5.7.3 (bnc#1012628).
- ipv6: fix IPV6_ADDRFORM operation logic (bnc#1012628).
- mlxsw: core: Use different get_trend() callbacks for different
thermal zones (bnc#1012628).
- net_failover: fixed rollback in net_failover_open()
(bnc#1012628).
- tun: correct header offsets in napi frags mode (bnc#1012628).
- bridge: Avoid infinite loop when suppressing NS messages with
invalid options (bnc#1012628).
- vxlan: Avoid infinite loop when suppressing NS messages with
invalid options (bnc#1012628).
- net: ena: xdp: XDP_TX: fix memory leak (bnc#1012628).
- net: ena: xdp: update napi budget for DROP and ABORTED
(bnc#1012628).
- mptcp: bugfix for RM_ADDR option parsing (bnc#1012628).
- genetlink: fix memory leaks in genl_family_rcv_msg_dumpit()
(bnc#1012628).
- net: dsa: qca8k: Fix "Unexpected gfp" kernel exception
(bnc#1012628).
- tipc: fix NULL pointer dereference in streaming (bnc#1012628).
- elfnote: mark all .note sections SHF_ALLOC (bnc#1012628).
- staging: mt7621-pci: properly power off dual-ported pcie phy
(bnc#1012628).
- staging: wfx: fix double free (bnc#1012628).
- selftests: fix flower parent qdisc (bnc#1012628).
- mm: add kvfree_sensitive() for freeing sensitive data objects
(bnc#1012628).
- perf probe: Accept the instance number of kretprobe event
(bnc#1012628).
- driver core: Update device link status correctly for
SYNC_STATE_ONLY links (bnc#1012628).
- fanotify: fix ignore mask logic for events on child and on dir
(bnc#1012628).
- powerpc/xive: Clear the page tables for the ESB IO mapping
(bnc#1012628).
- spi: dw: Fix native CS being unset (bnc#1012628).
- ath9k_htc: Silence undersized packet warnings (bnc#1012628).
- smack: avoid unused 'sip' variable warning (bnc#1012628).
- s390/pci: Log new handle in clp_disable_fh() (bnc#1012628).
- x86/cpu/amd: Make erratum #1054 a legacy erratum (bnc#1012628).
- RDMA/uverbs: Make the event_queue fds return POLLERR when
disassociated (bnc#1012628).
- padata: add separate cpuhp node for CPUHP_PADATA_DEAD
(bnc#1012628).
- PCI/PM: Adjust pcie_wait_for_link_delay() for caller delay
(bnc#1012628).
- aio: fix async fsync creds (bnc#1012628).
- x86_64: Fix jiffies ODR violation (bnc#1012628).
- x86: mm: ptdump: calculate effective permissions correctly
(bnc#1012628).
- x86/PCI: Mark Intel C620 MROMs as having non-compliant BARs
(bnc#1012628).
- x86/reboot/quirks: Add MacBook6,1 reboot quirk (bnc#1012628).
- x86/vdso: Unbreak paravirt VDSO clocks (bnc#1012628).
- perf/x86/intel: Add more available bits for OFFCORE_RESPONSE
of Intel Tremont (bnc#1012628).
- KVM: x86: don't expose MSR_IA32_UMWAIT_CONTROL unconditionally
(bnc#1012628).
- KVM: x86: allow KVM_STATE_NESTED_MTF_PENDING in kvm_state flags
(bnc#1012628).
- KVM: VMX: enable X86_FEATURE_WAITPKG in KVM capabilities
(bnc#1012628).
- KVM: x86: respect singlestep when emulating instruction
(bnc#1012628).
- KVM: x86: Fix APIC page invalidation race (bnc#1012628).
- powerpc/ptdump: Properly handle non standard page size
(bnc#1012628).
- ASoC: tlv320adcx140: Fix mic gain registers (bnc#1012628).
- ASoC: max9867: fix volume controls (bnc#1012628).
- io_uring: fix flush req->refs underflow (bnc#1012628).
- io_uring: re-set iov base/len for buffer select retry
(bnc#1012628).
- io_uring: use kvfree() in io_sqe_buffer_register()
(bnc#1012628).
- io_uring: allow O_NONBLOCK async retry (bnc#1012628).
- efi/efivars: Add missing kobject_put() in sysfs entry creation
error path (bnc#1012628).
- smb3: fix incorrect number of credits when ioctl
MaxOutputResponse > 64K (bnc#1012628).
- smb3: add indatalen that can be a non-zero value to calculation
of credit charge in smb2 ioctl (bnc#1012628).
- smb3: fix typo in mount options displayed in /proc/mounts
(bnc#1012628).
- serial: imx: Initialize lock for non-registered console
(bnc#1012628).
- watchdog: imx_sc_wdt: Fix reboot on crash (bnc#1012628).
- ALSA: es1688: Add the missed snd_card_free() (bnc#1012628).
- ALSA: hda: add sienna_cichlid audio asic id for sienna_cichlid
up (bnc#1012628).
- ALSA: fireface: fix configuration error for nominal sampling
transfer frequency (bnc#1012628).
- ALSA: fireface: start IR context immediately (bnc#1012628).
- ALSA: hda/realtek - add a pintbl quirk for several Lenovo
machines (bnc#1012628).
- ALSA: pcm: disallow linking stream to itself (bnc#1012628).
- ALSA: pcm: fix snd_pcm_link() lockdep splat (bnc#1012628).
- ALSA: usb-audio: Fix inconsistent card PM state after resume
(bnc#1012628).
- ALSA: usb-audio: Add vendor, product and profile name for HP
Thunderbolt Dock (bnc#1012628).
- ACPI: sysfs: Fix reference count leak in
acpi_sysfs_add_hotplug_profile() (bnc#1012628).
- ACPI: CPPC: Fix reference count leak in
acpi_cppc_processor_probe() (bnc#1012628).
- ACPI: GED: add support for _Exx / _Lxx handler methods
(bnc#1012628).
- ACPI: PM: Avoid using power resources if there are none for D0
(bnc#1012628).
- arm64: acpi: fix UBSAN warning (bnc#1012628).
- lib/lzo: fix ambiguous encoding bug in lzo-rle (bnc#1012628).
- nilfs2: fix null pointer dereference at
nilfs_segctor_do_construct() (bnc#1012628).
- lib: fix bitmap_parse() on 64-bit big endian archs
(bnc#1012628).
- spi: dw: Fix controller unregister order (bnc#1012628).
- spi: Fix controller unregister order (bnc#1012628).
- spi: pxa2xx: Fix controller unregister order (bnc#1012628).
- spi: pxa2xx: Fix runtime PM ref imbalance on probe error
(bnc#1012628).
- spi: bcm2835: Fix controller unregister order (bnc#1012628).
- spi: bcm2835aux: Fix controller unregister order (bnc#1012628).
- spi: bcm-qspi: Handle clock probe deferral (bnc#1012628).
- spi: bcm-qspi: when tx/rx buffer is NULL set to 0 (bnc#1012628).
- PM: runtime: clk: Fix clk_pm_runtime_get() error path
(bnc#1012628).
- gup: document and work around "COW can break either way" issue
(bnc#1012628).
- crypto: cavium/nitrox - Fix 'nitrox_get_first_device()' when
ndevlist is fully iterated (bnc#1012628).
- crypto: algapi - Avoid spurious modprobe on LOADED
(bnc#1012628).
- crypto: drbg - fix error return code in drbg_alloc_state()
(bnc#1012628).
- crypto: virtio: Fix dest length calculation in
__virtio_crypto_skcipher_do_req() (bnc#1012628).
- crypto: virtio: Fix use-after-free in
virtio_crypto_skcipher_finalize_req() (bnc#1012628).
- crypto: virtio: Fix src/dst scatterlist calculation in
__virtio_crypto_skcipher_do_req() (bnc#1012628).
- x86/{mce,mm}: Unmap the entire page if the whole page is
affected and poisoned (bnc#1012628).
- firmware: imx-scu: Support one TX and one RX (bnc#1012628).
- firmware: imx: scu: Fix corruption of header (bnc#1012628).
- dccp: Fix possible memleak in dccp_init and dccp_fini
(bnc#1012628).
- net: mvneta: do not redirect frames during reconfiguration
(bnc#1012628).
- selftests/net: in rxtimestamp getopt_long needs terminating
null entry (bnc#1012628).
- net/mlx5: drain health workqueue in case of driver load error
(bnc#1012628).
- net/mlx5: Fix fatal error handling during device load
(bnc#1012628).
- net/mlx5e: Fix repeated XSK usage on one channel (bnc#1012628).
- net: cadence: macb: disable NAPI on error (bnc#1012628).
- net: macb: Only disable NAPI on the actual error path
(bnc#1012628).
- net/mlx5: Disable reload while removing the device
(bnc#1012628).
- mptcp: don't leak msk in token container (bnc#1012628).
- ionic: wait on queue start until after IFF_UP (bnc#1012628).
- mptcp: fix races between shutdown and recvmsg (bnc#1012628).
- net: ethernet: ti: ale: fix allmulti for nu type ale
(bnc#1012628).
- net: ethernet: ti: am65-cpsw-nuss: fix ale parameters init
(bnc#1012628).
- net: sched: export __netdev_watchdog_up() (bnc#1012628).
- net/mlx5e: CT: Fix ipv6 nat header rewrite actions
(bnc#1012628).
- ovl: fix out of bounds access warning in ovl_check_fb_len()
(bnc#1012628).
- ovl: initialize error in ovl_copy_xattr (bnc#1012628).
- exfat: fix memory leak in exfat_parse_param() (bnc#1012628).
- exfat: fix incorrect update of stream entry in
__exfat_truncate() (bnc#1012628).
- proc: Use new_inode not new_inode_pseudo (bnc#1012628).
- remoteproc: Fall back to using parent memory pool if no
dedicated available (bnc#1012628).
- remoteproc: Fix and restore the parenting hierarchy for vdev
(bnc#1012628).
- cpufreq: Fix up cpufreq_boost_set_sw() (bnc#1012628).
- EDAC/skx: Use the mcmtr register to retrieve
close_pg/bank_xor_enable (bnc#1012628).
- video: vt8500lcdfb: fix fallthrough warning (bnc#1012628).
- video: fbdev: w100fb: Fix a potential double free (bnc#1012628).
- media: videobuf2-dma-contig: fix bad kfree in
vb2_dma_contig_clear_max_seg_size (bnc#1012628).
- KVM: nVMX: Skip IBPB when switching between vmcs01 and vmcs02
(bnc#1012628).
- KVM: nSVM: fix condition for filtering async PF (bnc#1012628).
- KVM: nSVM: leave ASID aside in copy_vmcb_control_area
(bnc#1012628).
- KVM: nVMX: Consult only the "basic" exit reason when routing
nested exit (bnc#1012628).
- KVM: MIPS: Define KVM_ENTRYHI_ASID to
cpu_asid_mask(&boot_cpu_data) (bnc#1012628).
- KVM: MIPS: Fix VPN2_MASK definition for variable cpu_vmbits
(bnc#1012628).
- KVM: arm64: Stop writing aarch32's CSSELR into ACTLR
(bnc#1012628).
- KVM: arm64: Make vcpu_cp1x() work on Big Endian hosts
(bnc#1012628).
- scsi: megaraid_sas: TM command refire leads to controller
firmware crash (bnc#1012628).
- scsi: lpfc: Fix negation of else clause in
lpfc_prep_node_fc4type (bnc#1012628).
- scsi: megaraid_sas: Replace undefined MFI_BIG_ENDIAN macro
with __BIG_ENDIAN_BITFIELD macro (bnc#1012628).
- selftests/ftrace: Return unsupported if no error_log file
(bnc#1012628).
- ath9k: Fix use-after-free Read in htc_connect_service
(bnc#1012628).
- ath9k: Fix use-after-free Read in ath9k_wmi_ctrl_rx
(bnc#1012628).
- ath9k: Fix use-after-free Write in ath9k_htc_rx_msg
(bnc#1012628).
- ath9x: Fix stack-out-of-bounds Write in ath9k_hif_usb_rx_cb
(bnc#1012628).
- ath9k: Fix general protection fault in ath9k_hif_usb_rx_cb
(bnc#1012628).
- Smack: slab-out-of-bounds in vsscanf (bnc#1012628).
- drm/vkms: Hold gem object while still in-use (bnc#1012628).
- mm/slub: fix a memory leak in sysfs_slab_add() (bnc#1012628).
- fat: don't allow to mount if the FAT length == 0 (bnc#1012628).
- perf: Add cond_resched() to task_function_call() (bnc#1012628).
- agp/intel: Reinforce the barrier after GTT updates
(bnc#1012628).
- mmc: sdhci-msm: Clear tuning done flag while hs400 tuning
(bnc#1012628).
- mmc: sdhci-of-at91: fix CALCR register being rewritten
(bnc#1012628).
- mmc: mmci_sdmmc: fix DMA API warning overlapping mappings
(bnc#1012628).
- mmc: tmio: Further fixup runtime PM management at remove
(bnc#1012628).
- mmc: uniphier-sd: call devm_request_irq() after
tmio_mmc_host_probe() (bnc#1012628).
- ARM: dts: at91: sama5d2_ptc_ek: fix sdmmc0 node description
(bnc#1012628).
- mmc: sdio: Fix potential NULL pointer error in
mmc_sdio_init_card() (bnc#1012628).
- mmc: sdio: Fix several potential memory leaks in
mmc_sdio_init_card() (bnc#1012628).
- block/floppy: fix contended case in floppy_queue_rq()
(bnc#1012628).
- xen/pvcalls-back: test for errors when calling backend_connect()
(bnc#1012628).
- platform/x86: sony-laptop: SNC calls should handle BUFFER types
(bnc#1012628).
- platform/x86: sony-laptop: Make resuming thermal profile safer
(bnc#1012628).
- KVM: arm64: Save the host's PtrAuth keys in non-preemptible
context (bnc#1012628).
- KVM: arm64: Synchronize sysreg state on injecting an AArch32
exception (bnc#1012628).
- serial: amba-pl011: Make sure we initialize the port.lock
spinlock (bnc#1012628).
- commit af36e48
-------------------------------------------------------------------
Wed Jun 17 15:48:09 CEST 2020 - duwe@suse.de
- rpm/modules.fips:
* add aes-ce-ccm and des3_ede-x86_64 (boo#173030)
* add aes_ti and aes_neon_bs (boo#1172956)
- commit 08a1655
-------------------------------------------------------------------
Tue Jun 16 09:25:57 CEST 2020 - jslaby@suse.cz
- efi/tpm: Verify event log header before parsing (bnc#1165773).
- commit fb5dacf
-------------------------------------------------------------------
Tue Jun 16 08:57:51 CEST 2020 - jslaby@suse.cz
- Update
patches.suse/iwl-fix-crash-in-iwl_dbg_tlv_alloc_trigger.patch
(bsc#1172905).
Add a bsc as a reference.
- commit 096f443
-------------------------------------------------------------------
Mon Jun 15 10:20:29 CEST 2020 - mkubecek@suse.cz
- config: disable BPFILTER_UMH on i386
It does not build currently.
- commit 72fbe18
-------------------------------------------------------------------
Mon Jun 15 01:22:10 CEST 2020 - mkubecek@suse.cz
- config: enable PHYLIB=y and ETHTOOL_NETLINK=y
As an unfortunate side effect of ethtool cable diagnostic support,
ETHTOOL_NETLINK now depends on PHYLIB and the dependency is implemented in
a way making "make oldconfig" drops ETHTOOL_NETLINK if PHYLIB=m.
Until this issue is resolved in upstream, set PHYLIB=y so that
ETHTOOL_NETLINK can be enabled again.
- commit fcc47b4
-------------------------------------------------------------------
Mon Jun 15 01:10:59 CEST 2020 - mkubecek@suse.cz
- rpm: drop execute permissions on source files
Sometimes a source file with execute permission appears in upstream
repository and makes it into our kernel-source packages. This is caught by
OBS build checks and may even result in build failures.
Sanitize the source tree by removing execute permissions from all C source
and header files.
- commit 771e293
-------------------------------------------------------------------
Mon Jun 15 00:54:58 CEST 2020 - mkubecek@suse.cz
- Update to 5.8-rc1
- eliminated 48 patches (40 stable, 8 other)
- disable ARM architectures (need config update)
- refresh
patches.rpmify/Kconfig-make-CONFIG_CC_CAN_LINK-always-true.patch
patches.suse/apparmor-compatibility-with-v2.x-net.patch
patches.suse/btrfs-btrfs-use-the-new-VFS-super_block_dev.patch
patches.suse/dm-mpath-leastpending-path-update
patches.suse/kernel-add-product-identifying-information-to-kernel-build.patch
patches.suse/pstore_disable_efi_backend_by_default.patch
patches.suse/readahead-request-tunables.patch
patches.suse/supported-flag
patches.suse/supported-flag-external
patches.suse/supported-flag-modverdir
patches.suse/suse-hv-guest-os-id.patch
- fix build with BPFILTER_UMH=m
patches.rpmify/bpfilter-only-build-bpfilter_umh-as-static-when-BPFI.patch
- new config options:
- General setup
- CONFIG_DEFAULT_INIT=""
- CONFIG_WATCH_QUEUE=y
- Power management and ACPI options
- CONFIG_HIBERNATION_SNAPSHOT_DEV=y
- Enable the block layer
- CONFIG_BLK_INLINE_ENCRYPTION=y
- CONFIG_BLK_INLINE_ENCRYPTION_FALLBACK=y
- CONFIG_BLK_DEV_RNBD_CLIENT=m
- CONFIG_BLK_DEV_RNBD_SERVER=m
- Memory Management options
- CONFIG_ZSMALLOC_PGTABLE_MAPPING=n
- Networking support
- CONFIG_INET6_ESPINTCP=y
- CONFIG_BRIDGE_MRP=y
- CONFIG_NET_ACT_GATE=m
- CONFIG_QRTR=m
- CONFIG_QRTR_SMD=m
- CONFIG_QRTR_TUN=m
- CONFIG_QRTR_MHI=m
- CONFIG_BT_MSFTEXT=n
- File systems
- CONFIG_PSTORE_BLK=m
- Library routines
- CONFIG_PRIME_NUMBERS=n
- CONFIG_DEBUG_INFO_COMPRESSED=n
- CONFIG_DEBUG_VM_PGTABLE=n
- CONFIG_HIST_TRIGGERS_DEBUG=n
- CONFIG_TEST_BITOPS=n
- CONFIG_TEST_HMM=n
- Multiple devices driver support (RAID and LVM)
- CONFIG_BCACHE_ASYNC_REGISTRAION=n
- CONFIG_DM_EBS=m
- CONFIG_DM_MULTIPATH_HST=m
- Network device support
- CONFIG_NET_DSA_SJA1105_VL=y
- CONFIG_MLX5_CLS_ACT=y
- CONFIG_BCM54140_PHY=m
- CONFIG_MT7663U=m
- CONFIG_MT7915E=m
- CONFIG_RTW88_8723DE=m
- Input device support
- CONFIG_TOUCHSCREEN_CY8CTMA140=m
- CONFIG_INPUT_IQS269A=m
- Character devices
- CONFIG_SERIAL_LANTIQ=m
- Multifunction device drivers
- CONFIG_MFD_MP2629=n
- CONFIG_MFD_INTEL_PMC_BXT=m
- CONFIG_INTEL_SOC_PMIC_MRFLD=m
- CONFIG_INTEL_MRFLD_PWRBTN=m
- CONFIG_EXTCON_INTEL_MRFLD=m
- CONFIG_INTEL_MRFLD_ADC=n
- CONFIG_MFD_INTEL_MSIC=n
- CONFIG_MFD_MT6360=n
- Multimedia support
- CONFIG_MEDIA_SUPPORT_FILTER=y
- CONFIG_MEDIA_PLATFORM_SUPPORT=n
- CONFIG_MEDIA_TEST_SUPPORT=n
- CONFIG_VIDEO_OV2740=m
- CONFIG_INTEL_ATOMISP=y
- CONFIG_VIDEO_ATOMISP=m
- CONFIG_VIDEO_ATOMISP_ISP2401=y
- CONFIG_VIDEO_ATOMISP_OV5693=m
- CONFIG_VIDEO_ATOMISP_OV2722=m
- CONFIG_VIDEO_ATOMISP_GC2235=m
- CONFIG_VIDEO_ATOMISP_MSRLIST_HELPER=m
- CONFIG_VIDEO_ATOMISP_MT9M114=m
- CONFIG_VIDEO_ATOMISP_GC0310=m
- CONFIG_VIDEO_ATOMISP_OV2680=m
- CONFIG_VIDEO_ATOMISP_LM3554=m
- CONFIG_CEC_CROS_EC=m
- CONFIG_CEC_SECO=m
- CONFIG_CEC_SECO_RC=n
- Graphics support
- CONFIG_DRM_I915_FENCE_TIMEOUT=10000
- Sound card support
- CONFIG_SND_SOC_AMD_RENOIR=m
- CONFIG_SND_SOC_AMD_RENOIR_MACH=m
- CONFIG_SND_SOC_INTEL_SOF_WM8804_MACH=m
- CONFIG_SND_SOC_INTEL_EHL_RT5660_MACH=m
- CONFIG_SND_SOC_MAX98390=m
- CONFIG_SND_SOC_ZL38060=n
- USB support
- CONFIG_USB_XHCI_PCI_RENESAS=m
- InfiniBand support
- CONFIG_INFINIBAND_RTRS_CLIENT=m
- CONFIG_INFINIBAND_RTRS_SERVER=m
- Virtio drivers
- CONFIG_VIRTIO_MEM=m
- X86 Platform Specific Device Drivers
- CONFIG_INTEL_WMI_SBL_FW_UPDATE=m
- CONFIG_INTEL_SCU_PCI=y
- CONFIG_INTEL_SCU_PLATFORM=m
- CONFIG_INTEL_MID_POWER_BUTTON=m
- CONFIG_INTEL_SCU_IPC_UTIL=m
- Industrial I/O support
- CONFIG_AD9467=n
- CONFIG_ADI_AXI_ADC=n
- CONFIG_MAX1241=n
- CONFIG_ATLAS_EZO_SENSOR=n
- CONFIG_ADIS16475=n
- CONFIG_SX9310=n
- CONFIG_VCNL3020=n
- Misc drivers
- CONFIG_MTD_NAND_ARASAN=m
- CONFIG_MTD_PSTORE=m
- CONFIG_INTERCONNECT=n
- CONFIG_SPI_AMD=n
- CONFIG_PINCTRL_JASPERLAKE=m
- CONFIG_GPIO_PCA953X_IRQ=y
- CONFIG_GPIO_AGGREGATOR=m
- CONFIG_BATTERY_CW2015=m
- CONFIG_CHARGER_BD99954=m
- CONFIG_SENSORS_AMD_ENERGY=m
- CONFIG_SENSORS_MAX16601=m
- ACPI INT340X thermal drivers
- CONFIG_REGULATOR_MAX77826=m
- CONFIG_LEDS_SGM3140=m
- CONFIG_PWM_IQS620A=m
- i386 / ppc64 / ppc64le
- MDIO_IPQ4019=m
- HW_RANDOM_CCTRNG=n
- MFD_GATEWORKS_GSC=m
- DRM_PANEL_ASUS_Z00T_TM5P5_NT35596=n
- DRM_PANEL_LEADTEK_LTK050H3146W=n
- DRM_PANEL_VISIONOX_RM69299=n
- DRM_CHRONTEL_CH7033=n
- DRM_NWL_MIPI_DSI=n
- LEDS_AW2013=m
- CLK_LGM_CGU=y
- PHY_CADENCE_SALVO=m
- PHY_INTEL_COMBO=y
- PHY_OCELOT_SERDES=m
- MTD_PHYSMAP_VERSATILE=y
- MTD_PHYSMAP_GEMINI=y
- MDIO_IPQ8064=m
- SERIAL_8250_ASPEED_VUART=n
- GPIO_LOGICVC=n
- GPIO_SAMA5D2_PIOBU=n
- GPIO_SYSCON=n
- SENSORS_GSC=m
- DRM_PANEL_ARM_VERSATILE=n
- LEDS_SYSCON=y
- commit 8874901
-------------------------------------------------------------------
Fri Jun 12 19:07:00 CEST 2020 - bp@suse.de
- x86/speculation: PR_SPEC_FORCE_DISABLE enforcement for indirect
branches (bsc#1172783 CVE-2020-10768).
- commit 3bb02b8
-------------------------------------------------------------------
Fri Jun 12 11:47:42 CEST 2020 - bp@suse.de
- x86/speculation: Prevent rogue cross-process SSBD shutdown
(bsc#1172781 CVE-2020-10766).
- commit 765c970
-------------------------------------------------------------------
Fri Jun 12 10:21:21 CEST 2020 - jslaby@suse.cz
- iwl: fix crash in iwl_dbg_tlv_alloc_trigger (iwlwifi crash).
- commit 6645a57
-------------------------------------------------------------------
Thu Jun 11 18:18:57 CEST 2020 - bp@suse.de
- x86/speculation: Avoid force-disabling IBPB based on STIBP
and enhanced IBRS (bsc#1172782 CVE-2020-10767).
- commit 5c5774f
-------------------------------------------------------------------
Thu Jun 11 09:35:04 CEST 2020 - jslaby@suse.cz
- Linux 5.7.2 (bnc#1012628).
- uprobes: ensure that uprobe->offset and ->ref_ctr_offset are
properly aligned (bnc#1012628).
- x86/speculation: Add Ivy Bridge to affected list (bnc#1012628).
- x86/speculation: Add SRBDS vulnerability and mitigation
documentation (bnc#1012628).
- x86/speculation: Add Special Register Buffer Data Sampling
(SRBDS) mitigation (bnc#1012628).
- x86/cpu: Add 'table' argument to cpu_matches() (bnc#1012628).
- x86/cpu: Add a steppings field to struct x86_cpu_id
(bnc#1012628).
- nvmem: qfprom: remove incorrect write support (bnc#1012628).
- CDC-ACM: heed quirk also in error handling (bnc#1012628).
- staging: rtl8712: Fix IEEE80211_ADDBA_PARAM_BUF_SIZE_MASK
(bnc#1012628).
- tty: hvc_console, fix crashes on parallel open/close
(bnc#1012628).
- vt: keyboard: avoid signed integer overflow in k_ascii
(bnc#1012628).
- serial: 8250: Enable 16550A variants by default on non-x86
(bnc#1012628).
- usb: musb: jz4740: Prevent lockup when CONFIG_SMP is set
(bnc#1012628).
- usb: musb: Fix runtime PM imbalance on error (bnc#1012628).
- usb: musb: start session in resume for host port (bnc#1012628).
- iio: adc: stm32-adc: fix a wrong error message when probing
interrupts (bnc#1012628).
- iio:chemical:pms7003: Fix timestamp alignment and prevent data
leak (bnc#1012628).
- iio: vcnl4000: Fix i2c swapped word reading (bnc#1012628).
- iio:chemical:sps30: Fix timestamp alignment (bnc#1012628).
- USB: serial: ch341: fix lockup of devices with limited prescaler
(bnc#1012628).
- USB: serial: ch341: add basis for quirk detection (bnc#1012628).
- USB: serial: option: add Telit LE910C1-EUX compositions
(bnc#1012628).
- USB: serial: usb_wwan: do not resubmit rx urb on fatal errors
(bnc#1012628).
- USB: serial: qcserial: add DW5816e QDL support (bnc#1012628).
- commit 936fe4f
-------------------------------------------------------------------
Wed Jun 10 13:51:14 CEST 2020 - jslaby@suse.cz
- syscalls: fix offset type of ksys_ftruncate (bsc#1172699).
- commit 8d4977c
-------------------------------------------------------------------
Tue Jun 9 15:57:54 CEST 2020 - dmueller@suse.com
- armv7/ararch64: Update config files.
Enable IOMMU_DEFAULT_PASSTHROUGH; per jsc#SLE-5568 this should be on by
default, like on x86_64.
- commit bb34387
-------------------------------------------------------------------
Mon Jun 8 13:09:55 CEST 2020 - jslaby@suse.cz
- Refresh
patches.suse/jbd2-avoid-leaking-transaction-credits-when-unreserv.patch.
Update upstream status.
- commit c3ae43f
-------------------------------------------------------------------
Mon Jun 8 13:01:33 CEST 2020 - jslaby@suse.cz
- KVM: x86/mmu: Set mmio_value to '0' if reserved #PF can't be
generated (bsc#1171904).
- KVM: x86: only do L1TF workaround on affected processors
(bsc#1171904).
- commit 16721c7
-------------------------------------------------------------------
Mon Jun 8 07:34:57 CEST 2020 - jslaby@suse.cz
- Linux 5.7.1 (bnc#1012628).
- airo: Fix read overflows sending packets (bnc#1012628).
- net: dsa: mt7530: set CPU port to fallback mode (bnc#1012628).
- media: staging: ipu3-imgu: Move alignment attribute to field
(bnc#1012628).
- media: Revert "staging: imgu: Address a compiler warning on
alignment" (bnc#1012628).
- mmc: fix compilation of user API (bnc#1012628).
- kernel/relay.c: handle alloc_percpu returning NULL in relay_open
(bnc#1012628).
- crypto: api - Fix use-after-free and race in crypto_spawn_alg
(bnc#1012628).
- mt76: mt76x02u: Add support for newer versions of the XBox
One wifi adapter (bnc#1012628).
- p54usb: add AirVasT USB stick device-id (bnc#1012628).
- HID: i2c-hid: add Schneider SCL142ALM to descriptor override
(bnc#1012628).
- HID: multitouch: enable multi-input as a quirk for some devices
(bnc#1012628).
- HID: sony: Fix for broken buttons on DS3 USB dongles
(bnc#1012628).
- mm: Fix mremap not considering huge pmd devmap (bnc#1012628).
- media: dvbdev: Fix tuner->demod media controller link
(bnc#1012628).
- commit cc2f849
-------------------------------------------------------------------
Thu Jun 4 15:37:08 CEST 2020 - mkubecek@suse.cz
- config: refresh with gcc10
gcc10 is default in Tumbleweed now.
- commit 0b1e86b
-------------------------------------------------------------------
Wed Jun 3 08:50:36 CEST 2020 - jslaby@suse.cz
- Revert "Update config files."
This reverts commit 34be040b91701c047e592935bc2dbb46a3947a56. We now
have a fix (previous commit) in place, so change the configuration back
(bsc#1156053).
- commit f4546fe
-------------------------------------------------------------------
Wed Jun 3 08:08:47 CEST 2020 - jslaby@suse.cz
- usercopy: mark dma-kmalloc caches as usercopy caches
(bsc#1156053).
- commit d3b5ce7
-------------------------------------------------------------------
Tue Jun 2 09:49:21 CEST 2020 - jslaby@suse.cz
- jbd2: avoid leaking transaction credits when unreserving handle
(bnc#1169774).
- commit 8599ef4
-------------------------------------------------------------------
Tue Jun 2 09:14:29 CEST 2020 - jslaby@suse.cz
- jbd2: avoid leaking transaction credits when unreserving handle
(bnc#1169774).
- Delete
patches.suse/Revert-ext4-make-dioread_nolock-the-default.patch.
Replace revert by the upstream fix.
- commit bfa465b
-------------------------------------------------------------------
Tue Jun 2 08:38:39 CEST 2020 - jslaby@suse.cz
- Refresh
patches.suse/drm-nouveau-Fix-regression-by-audio-component-transition.patch.
Update upstream status.
- commit 3000ce5
-------------------------------------------------------------------
Mon Jun 1 06:22:03 CEST 2020 - mkubecek@suse.cz
- config: enable DEBUG_INFO_BTF
This was disabled when the option was introduced in 5.2-rc1 but it turned
out there are interesting use cases for having it enabled.
Add pahole to build time dependencies as it is used to extracth the BTF
data. Once we figure out how to make it conditional (only if DEBUG_INFO_BTF
exists and is enabled), it should be done in packaging branch.
- commit 9ddab66
-------------------------------------------------------------------
Mon Jun 1 06:14:45 CEST 2020 - mkubecek@suse.cz
- Updated to 5.7 final
- refresh configs
- commit 7cd0da5
-------------------------------------------------------------------
Thu May 28 09:58:58 CEST 2020 - jslaby@suse.cz
- Update config files.
- commit 6dba057
-------------------------------------------------------------------
Thu May 28 09:39:21 CEST 2020 - jslaby@suse.cz
- Revert "virtio-balloon: Revert "virtio-balloon: Switch back to
OOM handler for VIRTIO_BALLOON_F_DEFLATE_ON_OOM"" (virtio fix).
- commit fe7831e
-------------------------------------------------------------------
Thu May 28 08:59:08 CEST 2020 - jslaby@suse.cz
- Linux 5.6.15 (bnc#1012628).
- blacklist.conf: remove one entry
- sched/fair: Fix enqueue_task_fair() warning some more
(bnc#1012628).
- sched/fair: Fix reordering of enqueue/dequeue_task_fair()
(bnc#1012628).
- sched/fair: Reorder enqueue/dequeue_task_fair path
(bnc#1012628).
- bpf: Prevent mmap()'ing read-only maps as writable
(bnc#1012628).
- rxrpc: Fix ack discard (bnc#1012628).
- rxrpc: Trace discarded ACKs (bnc#1012628).
- x86/unwind/orc: Fix unwind_get_return_address_ptr() for inactive
tasks (bnc#1012628).
- flow_dissector: Drop BPF flow dissector prog ref on netns
cleanup (bnc#1012628).
- s390/kexec_file: fix initrd location for kdump kernel
(bnc#1012628).
- tpm: check event log version before reading final events
(bnc#1012628).
- rxrpc: Fix a memory leak in rxkad_verify_response()
(bnc#1012628).
- rxrpc: Fix the excessive initial retransmission timeout
(bnc#1012628).
- iio: imu: st_lsm6dsx: unlock on error in
st_lsm6dsx_shub_write_raw() (bnc#1012628).
- z3fold: fix use-after-free when freeing handles (bnc#1012628).
- sparc32: fix page table traversal in srmmu_nocache_init()
(bnc#1012628).
- sparc32: use PUD rather than PGD to get PMD in
srmmu_nocache_init() (bnc#1012628).
- sh: include linux/time_types.h for sockios (bnc#1012628).
- kasan: disable branch tracing for core runtime (bnc#1012628).
- rapidio: fix an error in get_user_pages_fast() error handling
(bnc#1012628).
- device-dax: don't leak kernel memory to user space after
unloading kmem (bnc#1012628).
- s390/kaslr: add support for R_390_JMP_SLOT relocation type
(bnc#1012628).
- s390/pci: Fix s390_mmio_read/write with MIO (bnc#1012628).
- ipack: tpci200: fix error return code in tpci200_register()
(bnc#1012628).
- mei: release me_cl object reference (bnc#1012628).
- tty: serial: add missing spin_lock_init for SiFive serial
console (bnc#1012628).
- misc: rtsx: Add short delay after exit from ASPM (bnc#1012628).
- driver core: Fix handling of SYNC_STATE_ONLY + STATELESS device
links (bnc#1012628).
- driver core: Fix SYNC_STATE_ONLY device link implementation
(bnc#1012628).
- iio: adc: ti-ads8344: Fix channel selection (bnc#1012628).
- iio: dac: vf610: Fix an error handling path in
'vf610_dac_probe()' (bnc#1012628).
- iio: sca3000: Remove an erroneous 'get_device()' (bnc#1012628).
- iio: adc: stm32-dfsdm: fix device used to request dma
(bnc#1012628).
- iio: adc: stm32-adc: fix device used to request dma
(bnc#1012628).
- staging: greybus: Fix uninitialized scalar variable
(bnc#1012628).
- staging: kpc2000: fix error return code in kp2000_pcie_probe()
(bnc#1012628).
- staging: wfx: unlock on error path (bnc#1012628).
- staging: iio: ad2s1210: Fix SPI reading (bnc#1012628).
- kbuild: Remove debug info from kallsyms linking (bnc#1012628).
- tools/bootconfig: Fix apply_xbc() to return zero on success
(bnc#1012628).
- Revert "driver core: platform: Initialize dma_parms for platform
devices" (bnc#1012628).
- virtio-balloon: Revert "virtio-balloon: Switch back to OOM
handler for VIRTIO_BALLOON_F_DEFLATE_ON_OOM" (bnc#1012628).
- Revert "gfs2: Don't demote a glock until its revokes are
written" (bnc#1012628).
- drm/i915: Propagate error from completed fences (bnc#1012628).
- drm/i915/gvt: Init DPLL/DDI vreg for virtual display instead
of inheritance (bnc#1012628).
- vsprintf: don't obfuscate NULL and error pointers (bnc#1012628).
- dmaengine: owl: Use correct lock in owl_dma_get_pchan()
(bnc#1012628).
- dmaengine: idxd: fix interrupt completion after unmasking
(bnc#1012628).
- dmaengine: dmatest: Restore default for channel (bnc#1012628).
- drm/etnaviv: Fix a leak in submit_pin_objects() (bnc#1012628).
- dmaengine: tegra210-adma: Fix an error handling path in
'tegra_adma_probe()' (bnc#1012628).
- apparmor: Fix aa_label refcnt leak in policy_update
(bnc#1012628).
- apparmor: fix potential label refcnt leak in aa_change_profile
(bnc#1012628).
- apparmor: Fix use-after-free in aa_audit_rule_init
(bnc#1012628).
- pinctrl: qcom: Add affinity callbacks to msmgpio IRQ chip
(bnc#1012628).
- drm/etnaviv: fix perfmon domain interation (bnc#1012628).
- powerpc/64s: Disable STRICT_KERNEL_RWX (bnc#1012628).
- arm64: Fix PTRACE_SYSEMU semantics (bnc#1012628).
- scsi: target: Put lun_ref at end of tmr processing
(bnc#1012628).
- scsi: qla2xxx: Do not log message when reading port speed via
sysfs (bnc#1012628).
- ALSA: hda/realtek - Add more fixup entries for Clevo machines
(bnc#1012628).
- ALSA: hda/realtek - Fix silent output on Gigabyte X570 Aorus
Xtreme (bnc#1012628).
- ALSA: pcm: fix incorrect hw_base increase (bnc#1012628).
- ALSA: iec1712: Initialize STDSP24 properly when using the
model=staudio option (bnc#1012628).
- bpf: Add bpf_probe_read_{user, kernel}_str() to
do_refine_retval_range (bnc#1012628).
- bpf: Restrict bpf_probe_read{, str}() only to archs where they
work (bnc#1012628).
- Update config files.
- ALSA: hda/realtek: Enable headset mic of ASUS UX581LV with
ALC295 (bnc#1012628).
- ALSA: hda/realtek - Enable headset mic of ASUS UX550GE with
ALC295 (bnc#1012628).
- ALSA: hda/realtek - Enable headset mic of ASUS GL503VM with
ALC295 (bnc#1012628).
- ALSA: hda/realtek: Add quirk for Samsung Notebook (bnc#1012628).
- ALSA: hda/realtek - Add HP new mute led supported for ALC236
(bnc#1012628).
- ALSA: hda/realtek - Add supported new mute Led for HP
(bnc#1012628).
- scripts/gdb: repair rb_first() and rb_last() (bnc#1012628).
- tools/bootconfig: Fix resource leak in apply_xbc()
(bnc#1012628).
- ARM: futex: Address build warning (bnc#1012628).
- KVM: selftests: Fix build for evmcs.h (bnc#1012628).
- drm/amd/display: Prevent dpcd reads with passive dongles
(bnc#1012628).
- drm/amd/display: fix counter in wait_for_no_pipes_pending
(bnc#1012628).
- iommu/amd: Call domain_flush_complete() in update_domain()
(bnc#1012628).
- iommu/amd: Do not loop forever when trying to increase address
space (bnc#1012628).
- platform/x86: asus-nb-wmi: Do not load on Asus T100TA and T200TA
(bnc#1012628).
- USB: core: Fix misleading driver bug report (bnc#1012628).
- stmmac: fix pointer check after utilization in stmmac_interrupt
(bnc#1012628).
- ceph: fix double unlock in handle_cap_export() (bnc#1012628).
- HID: quirks: Add HID_QUIRK_NO_INIT_REPORTS quirk for Dell K12A
keyboard-dock (bnc#1012628).
- gtp: set NLM_F_MULTI flag in gtp_genl_dump_pdp() (bnc#1012628).
- x86/apic: Move TSC deadline timer debug printk (bnc#1012628).
- selftests: fix kvm relocatable native/cross builds and installs
(bnc#1012628).
- ftrace/selftest: make unresolved cases cause failure if
--fail-unresolved set (bnc#1012628).
- ibmvnic: Skip fatal error reset after passive init
(bnc#1012628).
- HID: i2c-hid: reset Synaptics SYNA2393 on resume (bnc#1012628).
- scsi: ibmvscsi: Fix WARN_ON during event pool release
(bnc#1012628).
- net/ena: Fix build warning in ena_xdp_set() (bnc#1012628).
- component: Silence bind error on -EPROBE_DEFER (bnc#1012628).
- aquantia: Fix the media type of AQC100 ethernet controller in
the driver (bnc#1012628).
- vhost/vsock: fix packet delivery order to monitoring devices
(bnc#1012628).
- configfs: fix config_item refcnt leak in configfs_rmdir()
(bnc#1012628).
- scsi: qla2xxx: Delete all sessions before unregister local
nvme port (bnc#1012628).
- scsi: qla2xxx: Fix hang when issuing nvme disconnect-all in NPIV
(bnc#1012628).
- HID: alps: ALPS_1657 is too specific; use U1_UNICORN_LEGACY
instead (bnc#1012628).
- HID: alps: Add AUI1657 device ID (bnc#1012628).
- HID: logitech: Add support for Logitech G11 extra keys
(bnc#1012628).
- HID: multitouch: add eGalaxTouch P80H84 support (bnc#1012628).
- gcc-common.h: Update for GCC 10 (bnc#1012628).
- net: drop_monitor: use IS_REACHABLE() to guard
net_dm_hw_report() (bnc#1012628).
- kbuild: avoid concurrency issue in parallel building dtbs and
dtbs_check (bnc#1012628).
- iommu: Fix deferred domain attachment (bnc#1012628).
- mtd: Fix mtd not registered due to nvmem name collision
(bnc#1012628).
- afs: Don't unlock fetched data pages until the op completes
successfully (bnc#1012628).
- ubi: Fix seq_file usage in detailed_erase_block_info debugfs
file (bnc#1012628).
- i2c: mux: demux-pinctrl: Fix an error handling path in
'i2c_demux_pinctrl_probe()' (bnc#1012628).
- evm: Fix a small race in init_desc() (bnc#1012628).
- iommu/amd: Fix get_acpihid_device_id() (bnc#1012628).
- iommu/amd: Fix over-read of ACPI UID from IVRS table
(bnc#1012628).
- i2c: fix missing pm_runtime_put_sync in i2c_device_probe
(bnc#1012628).
- ubifs: remove broken lazytime support (bnc#1012628).
- pipe: Fix pipe_full() test in opipe_prep() (bnc#1012628).
- fix multiplication overflow in copy_fdtable() (bnc#1012628).
- mtd: spinand: Propagate ECC information to the MTD structure
(bnc#1012628).
- ACPI: EC: PM: Avoid flushing EC work when EC GPE is inactive
(bnc#1012628).
- ubifs: fix wrong use of crypto_shash_descsize() (bnc#1012628).
- ovl: potential crash in ovl_fid_to_fh() (bnc#1012628).
- ima: Fix return value of ima_write_policy() (bnc#1012628).
- evm: Check also if *tfm is an error pointer in init_desc()
(bnc#1012628).
- ima: Set file->f_mode instead of file->f_flags in
ima_calc_file_hash() (bnc#1012628).
- ARC: [plat-hsdk]: fix USB regression (bnc#1012628).
- i2c: dev: Fix the race between the release of i2c_dev and cdev
(bnc#1012628).
- commit 5afc154
-------------------------------------------------------------------
Tue May 26 17:13:18 CEST 2020 - trenn@suse.com
- Update config files.
Remove ACPI_PROCFS_POWER
This should all be in sysfs nowadays. If this is in Tumbleweed for a while,
a patch to totally remove this code will be sent mainline.
Related to bsc#1160977
- commit 96731f2
-------------------------------------------------------------------
Tue May 26 12:47:50 CEST 2020 - msuchanek@suse.de
- rpm/kernel-source.spec.in: Add obsolete_rebuilds (boo#1172073).
- commit 6524463
-------------------------------------------------------------------
Mon May 25 06:33:58 CEST 2020 - mkubecek@suse.cz
- Update to 5.7-rc7
- refresh configs (ARCH_HAS_STRICT_KERNEL_RWX=n on ppc64/ppc64le)
- commit 67f7fb5
-------------------------------------------------------------------
Wed May 20 10:32:48 CEST 2020 - jslaby@suse.cz
- Linux 5.6.14 (bnc#1012628).
- KVM: nVMX: Consolidate nested MTF checks to helper function
(bnc#1012628).
- kvm: nVMX: reflect MTF VM-exits if injected by L1 (bnc#1012628).
- xprtrdma: Clean up the post_send path (bnc#1012628).
- xprtrdma: Fix trace point use-after-free race (bnc#1012628).
- drm/i915/tgl: Add Wa_14010477008:tgl (bnc#1012628).
- drm/i915/tgl: TBT AUX should use TC power well ops
(bnc#1012628).
- drm/i915/display: Load DP_TP_CTL/STATUS offset before use it
(bnc#1012628).
- shmem: fix possible deadlocks on shmlock_user_lock
(bnc#1012628).
- net: phy: microchip_t1: add lan87xx_phy_init to initialize
the lan87xx phy (bnc#1012628).
- KVM: arm: vgic: Synchronize the whole guest on
GIC{D,R}_I{S,C}ACTIVER read (bnc#1012628).
- KVM: arm: vgic-v2: Only use the virtual state when userspace
accesses pending bits (bnc#1012628).
- gpio: pca953x: Fix pca953x_gpio_set_config (bnc#1012628).
- SUNRPC: Add "@len" parameter to gss_unwrap() (bnc#1012628).
- SUNRPC: Fix GSS privacy computation of auth->au_ralign
(bnc#1012628).
- net/sonic: Fix a resource leak in an error handling path in
'jazz_sonic_probe()' (bnc#1012628).
- net: moxa: Fix a potential double 'free_irq()' (bnc#1012628).
- ftrace/selftests: workaround cgroup RT scheduling issues
(bnc#1012628).
- hv_netvsc: Fix netvsc_start_xmit's return type (bnc#1012628).
- drop_monitor: work around gcc-10 stringop-overflow warning
(bnc#1012628).
- virtio-blk: handle block_device_operations callbacks after
hot unplug (bnc#1012628).
- sun6i: dsi: fix gcc-4.8 (bnc#1012628).
- net_sched: fix tcm_parent in tc filter dump (bnc#1012628).
- net: stmmac: gmac5+: fix potential integer overflow on 32 bit
multiply (bnc#1012628).
- iommu/amd: Fix race in increase_address_space()/fetch_pte()
(bnc#1012628).
- iommu/amd: Update Device Table in increase_address_space()
(bnc#1012628).
- net: dsa: ocelot: the MAC table on Felix is twice as large
(bnc#1012628).
- net: mscc: ocelot: ANA_AUTOAGE_AGE_PERIOD holds a value in
seconds, not ms (bnc#1012628).
- mmc: sdhci-acpi: Add SDHCI_QUIRK2_BROKEN_64_BIT_DMA for AMDI0040
(bnc#1012628).
- dpaa2-eth: properly handle buffer size restrictions
(bnc#1012628).
- mptcp: set correct vfs info for subflows (bnc#1012628).
- net: fix a potential recursive NETDEV_FEAT_CHANGE (bnc#1012628).
- netlabel: cope with NULL catmap (bnc#1012628).
- net: phy: fix aneg restart in phy_ethtool_set_eee (bnc#1012628).
- net: stmmac: fix num_por initialization (bnc#1012628).
- pppoe: only process PADT targeted at local interfaces
(bnc#1012628).
- Revert "ipv6: add mtu lock check in __ip6_rt_update_pmtu"
(bnc#1012628).
- tcp: fix error recovery in tcp_zerocopy_receive() (bnc#1012628).
- tcp: fix SO_RCVLOWAT hangs with fat skbs (bnc#1012628).
- virtio_net: fix lockdep warning on 32 bit (bnc#1012628).
- dpaa2-eth: prevent array underflow in update_cls_rule()
(bnc#1012628).
- hinic: fix a bug of ndo_stop (bnc#1012628).
- net: dsa: loop: Add module soft dependency (bnc#1012628).
- net: ipv4: really enforce backoff for redirects (bnc#1012628).
- netprio_cgroup: Fix unlimited memory leak of v2 cgroups
(bnc#1012628).
- net: tcp: fix rx timestamp behavior for tcp_recvmsg
(bnc#1012628).
- nfp: abm: fix error return code in nfp_abm_vnic_alloc()
(bnc#1012628).
- r8169: re-establish support for RTL8401 chip version
(bnc#1012628).
- umh: fix memory leak on execve failure (bnc#1012628).
- net: broadcom: Select BROADCOM_PHY for BCMGENET (bnc#1012628).
- dmaengine: xilinx_dma: Add missing check for empty list
(bnc#1012628).
- riscv: fix vdso build with lld (bnc#1012628).
- dmaengine: pch_dma.c: Avoid data race between probe and irq
handler (bnc#1012628).
- dmaengine: mmp_tdma: Do not ignore slave config validation
errors (bnc#1012628).
- dmaengine: mmp_tdma: Reset channel error on release
(bnc#1012628).
- drm/amd/display: blank dp stream before re-train the link
(bnc#1012628).
- selftests/ftrace: Check the first record for kprobe_args_type.tc
(bnc#1012628).
- cpufreq: intel_pstate: Only mention the BIOS disabling turbo
mode once (bnc#1012628).
- ALSA: hda/hdmi: fix race in monitor detection during probe
(bnc#1012628).
- drm/amd/powerplay: avoid using pm_en before it is initialized
revised (bnc#1012628).
- drm/amd/display: check if REFCLK_CNTL register is present
(bnc#1012628).
- drm/amd/display: Defer cursor update around VUPDATE for all ASIC
(bnc#1012628).
- drm/amd/display: Update downspread percent to match spreadsheet
for DCN2.1 (bnc#1012628).
- drm/qxl: lost qxl_bo_kunmap_atomic_page in
qxl_image_init_helper() (bnc#1012628).
- fibmap: Warn and return an error in case of block > INT_MAX
(bnc#1012628).
- io_uring: use cond_resched() in io_ring_ctx_wait_and_kill()
(bnc#1012628).
- io_uring: check non-sync defer_list carefully (bnc#1012628).
- ALSA: hda/realtek - Fix S3 pop noise on Dell Wyse (bnc#1012628).
- gfs2: Another gfs2_walk_metadata fix (bnc#1012628).
- mmc: sdhci-pci-gli: Fix no irq handler from suspend
(bnc#1012628).
- IB/hfi1: Fix another case where pq is left on waitlist
(bnc#1012628).
- ACPI: EC: PM: Avoid premature returns from acpi_s2idle_wake()
(bnc#1012628).
- pinctrl: sunrisepoint: Fix PAD lock register offset for SPT-H
(bnc#1012628).
- pinctrl: baytrail: Enable pin configuration setting for GPIO
chip (bnc#1012628).
- pinctrl: qcom: fix wrong write in update_dual_edge
(bnc#1012628).
- pinctrl: cherryview: Add missing spinlock usage in
chv_gpio_irq_handler (bnc#1012628).
- drm/tegra: Fix SMMU support on Tegra124 and Tegra210
(bnc#1012628).
- bpf: Fix error return code in map_lookup_and_delete_elem()
(bnc#1012628).
- ALSA: firewire-lib: fix 'function sizeof not defined' error
of tracepoints format (bnc#1012628).
- cachefiles: Fix corruption of the return value in
cachefiles_read_or_alloc_pages() (bnc#1012628 boo#1168841).
- i40iw: Fix error handling in i40iw_manage_arp_cache()
(bnc#1012628).
- drm/i915/gt: Make timeslicing an explicit engine property
(bnc#1012628).
- drm/i915: Don't enable WaIncreaseLatencyIPCEnabled when IPC
is disabled (bnc#1012628).
- bpf, sockmap: msg_pop_data can incorrecty set an sge length
(bnc#1012628).
- bpf, sockmap: bpf_tcp_ingress needs to subtract bytes from
sg.size (bnc#1012628).
- drm/i915/gem: Remove object_is_locked assertion from
unpin_from_display_plane (bnc#1012628).
- mmc: alcor: Fix a resource leak in the error path for ->probe()
(bnc#1012628).
- mmc: sdhci-pci-gli: Fix can not access GL9750 after reboot
from Windows 10 (bnc#1012628).
- mmc: core: Check request type before completing the request
(bnc#1012628).
- mmc: core: Fix recursive locking issue in CQE recovery path
(bnc#1012628).
- mmc: block: Fix request completion in the CQE timeout path
(bnc#1012628).
- gfs2: More gfs2_find_jhead fixes (bnc#1012628).
- fork: prevent accidental access to clone3 features
(bnc#1012628).
- drm/amdgpu: force fbdev into vram (bnc#1012628).
- NFS: Fix fscache super_cookie index_key from changing after
umount (bnc#1012628).
- NFS: Fix fscache super_cookie allocation (bnc#1012628).
- NFSv4: Fix fscache cookie aux_data to ensure change_attr is
included (bnc#1012628).
- hwmon: (drivetemp) Fix SCT support if SCT data tables are not
supported (bnc#1012628).
- netfilter: conntrack: avoid gcc-10 zero-length-bounds warning
(bnc#1012628).
- drm/i915/gvt: Fix kernel oops for 3-level ppgtt guest
(bnc#1012628).
- arm64: fix the flush_icache_range arguments in machine_kexec
(bnc#1012628).
- netfilter: conntrack: fix infinite loop on rmmod (bnc#1012628).
- drm/i915: Mark concurrent submissions with a weak-dependency
(bnc#1012628).
- nfs: fix NULL deference in nfs4_get_valid_delegation
(bnc#1012628).
- SUNRPC: Signalled ASYNC tasks need to exit (bnc#1012628).
- netfilter: flowtable: set NF_FLOW_TEARDOWN flag on entry
expiration (bnc#1012628).
- netfilter: nft_set_rbtree: Add missing expired checks
(bnc#1012628).
- RDMA/rxe: Always return ERR_PTR from rxe_create_mmap_info()
(bnc#1012628).
- IB/mlx4: Test return value of calls to ib_get_cached_pkey
(bnc#1012628).
- IB/core: Fix potential NULL pointer dereference in pkey cache
(bnc#1012628).
- RDMA/core: Fix double put of resource (bnc#1012628).
- RDMA/iw_cxgb4: Fix incorrect function parameters (bnc#1012628).
- x86/ftrace: Have ftrace trampolines turn read-only at the end
of system boot up (bnc#1012628).
- hwmon: (da9052) Synchronize access with mfd (bnc#1012628).
- s390/ism: fix error return code in ism_probe() (bnc#1012628).
- drm/i915: Handle idling during i915_gem_evict_something busy
loops (bnc#1012628).
- mm, memcg: fix inconsistent oom event behavior (bnc#1012628).
- epoll: call final ep_events_available() check under the lock
(bnc#1012628).
- bpf: Fix bug in mmap() implementation for BPF array map
(bnc#1012628).
- NFSv3: fix rpc receive buffer size for MOUNT call (bnc#1012628).
- pnp: Use list_for_each_entry() instead of open coding
(bnc#1012628).
- net/rds: Use ERR_PTR for rds_message_alloc_sgs() (bnc#1012628).
- Stop the ad-hoc games with -Wno-maybe-initialized (bnc#1012628).
- gcc-10: disable 'zero-length-bounds' warning for now
(bnc#1012628).
- gcc-10: disable 'array-bounds' warning for now (bnc#1012628).
- gcc-10: disable 'stringop-overflow' warning for now
(bnc#1012628).
- gcc-10: disable 'restrict' warning for now (bnc#1012628).
- gcc-10 warnings: fix low-hanging fruit (bnc#1012628).
- gcc-10: mark more functions __init to avoid section mismatch
warnings (bnc#1012628).
- gcc-10: avoid shadowing standard library 'free()' in crypto
(bnc#1012628).
- bootconfig: Fix to remove bootconfig data from initrd while boot
(bnc#1012628).
- bootconfig: Fix to prevent warning message if no bootconfig
option (bnc#1012628).
- usb: usbfs: correct kernel->user page attribute mismatch
(bnc#1012628).
- USB: usbfs: fix mmap dma mismatch (bnc#1012628).
- ALSA: hda/realtek - Add COEF workaround for ASUS ZenBook UX431DA
(bnc#1012628).
- ALSA: rawmidi: Fix racy buffer resize under concurrent accesses
(bnc#1012628).
- ALSA: usb-audio: Add control message quirk delay for Kingston
HyperX headset (bnc#1012628).
- usb: core: hub: limit HUB_QUIRK_DISABLE_AUTOSUSPEND to USB5534B
(bnc#1012628).
- usb: host: xhci-plat: keep runtime active when removing host
(bnc#1012628).
- usb: cdns3: gadget: prev_req->trb is NULL for ep0 (bnc#1012628).
- USB: gadget: fix illegal array access in binding with UDC
(bnc#1012628).
- usb: xhci: Fix NULL pointer dereference when enqueuing trbs
from urb sg list (bnc#1012628).
- ARM: dts: dra7: Fix bus_dma_limit for PCIe (bnc#1012628).
- ARM: dts: imx27-phytec-phycard-s-rdk: Fix the I2C1 pinctrl
entries (bnc#1012628).
- ARM: dts: imx6dl-yapp4: Fix Ursa board Ethernet connection
(bnc#1012628).
- drm/amd/display: add basic atomic check for cursor plane
(bnc#1012628).
- drm/amd/amdgpu: add raven1 part to the gfxoff quirk list
(bnc#1012628).
- drm/i915/tgl+: Fix interrupt handling for DP AUX transactions
(bnc#1012628).
- powerpc/vdso32: Fallback on getres syscall when clock is unknown
(bnc#1012628).
- powerpc/32s: Fix build failure with CONFIG_PPC_KUAP_DEBUG
(bnc#1012628).
- cifs: fix leaked reference on requeued write (bnc#1012628).
- KVM: x86: Fix pkru save/restore when guest CR4.PKE=0, move it
to x86.c (bnc#1012628).
- x86/unwind/orc: Fix error handling in __unwind_start()
(bnc#1012628).
- exec: Move would_dump into flush_old_exec (bnc#1012628).
- clk: rockchip: fix incorrect configuration of rk3228 aclk_gpu*
clocks (bnc#1012628).
- dwc3: Remove check for HWO flag in
dwc3_gadget_ep_reclaim_trb_sg() (bnc#1012628).
- fanotify: fix merging marks masks with FAN_ONDIR (bnc#1012628).
- arm64: dts: meson-g12b-ugoos-am6: fix usb vbus-supply
(bnc#1012628).
- usb: gadget: tegra-xudc: Fix idle suspend/resume (bnc#1012628).
- usb: gadget: net2272: Fix a memory leak in an error handling
path in 'net2272_plat_probe()' (bnc#1012628).
- usb: gadget: audio: Fix a missing error return value in
audio_bind() (bnc#1012628).
- usb: gadget: legacy: fix error return code in gncm_bind()
(bnc#1012628).
- usb: gadget: legacy: fix error return code in cdc_bind()
(bnc#1012628).
- Revert "ALSA: hda/realtek: Fix pop noise on ALC225"
(bnc#1012628).
- clk: ti: clkctrl: Fix Bad of_node_put within clkctrl_get_name
(bnc#1012628).
- clk: Unlink clock if failed to prepare or enable (bnc#1012628).
- arm64: dts: meson-g12b-khadas-vim3: add missing frddr_a status
property (bnc#1012628).
- arm64: dts: qcom: msm8996: Reduce vdd_apc voltage (bnc#1012628).
- arm64: dts: meson-g12-common: fix dwc2 clock names
(bnc#1012628).
- arm64: dts: rockchip: Replace RK805 PMIC node name with "pmic"
on rk3328 boards (bnc#1012628).
- arm64: dts: rockchip: Rename dwc3 device nodes on rk3399 to
make dtc happy (bnc#1012628).
- arm64: dts: imx8mn: Change SDMA1 ahb clock for imx8mn
(bnc#1012628).
- ARM: dts: r8a73a4: Add missing CMT1 interrupts (bnc#1012628).
- arm64: dts: renesas: r8a77980: Fix IPMMU VIP[01] nodes
(bnc#1012628).
- ARM: dts: r8a7740: Add missing extal2 to CPG node (bnc#1012628).
- dt-bindings: dma: fsl-edma: fix ls1028a-edma compatible
(bnc#1012628).
- SUNRPC: Revert 241b1f419f0e ("SUNRPC: Remove xdr_buf_trim()")
(bnc#1012628).
- bpf: Fix sk_psock refcnt leak when receiving message
(bnc#1012628).
- powerpc/uaccess: Evaluate macro arguments once, before user
access is allowed (bnc#1012628).
- powerpc/ima: Fix secure boot rules in ima arch policy
(bnc#1012628).
- RDMA/uverbs: Do not discard the IB_EVENT_DEVICE_FATAL event
(bnc#1012628).
- RDMA/uverbs: Move IB_EVENT_DEVICE_FATAL to destroy_uobj
(bnc#1012628).
- riscv: perf: RISCV_BASE_PMU should be independent (bnc#1012628).
- KVM: x86: Fix off-by-one error in kvm_vcpu_ioctl_x86_setup_mce
(bnc#1012628).
- bpf: Enforce returning 0 for fentry/fexit progs (bnc#1012628).
- selftests/bpf: Enforce returning 0 for fentry/fexit programs
(bnc#1012628).
- bpf: Restrict bpf_trace_printk()'s %s usage and add %pks,
%pus specifier (bnc#1012628).
- Delete patches.suse/cachefiles-fix.patch.
- commit b0ab48a
-------------------------------------------------------------------
Tue May 19 09:38:03 CEST 2020 - jslaby@suse.cz
- Makefile: disallow data races on gcc-10 as well (gcc 10).
- commit 3c26bf7
-------------------------------------------------------------------
Tue May 19 09:26:14 CEST 2020 - afaerber@suse.com
- config: armv7hl: Update to 5.7-rc6
- commit 8e7f5b8
-------------------------------------------------------------------
Mon May 18 09:46:00 CEST 2020 - bp@suse.de
- Replace gcc10 fix with the upstream one
- commit 1adb363
-------------------------------------------------------------------
Mon May 18 08:36:47 CEST 2020 - jslaby@suse.cz
- Refresh
patches.suse/ipc-util.c-sysvipc_find_ipc-incorrectly-updates-posi.patch.
Update upstream status.
- commit f322baa
-------------------------------------------------------------------
Mon May 18 06:25:59 CEST 2020 - mkubecek@suse.cz
- Update to 5.7-rc6
- eliminated 2 patches
- refresh configs
- commit 3603fcd
-------------------------------------------------------------------
Sun May 17 00:36:35 CEST 2020 - afaerber@suse.com
- config: armv6hl: Update to 5.7-rc5
- commit 2a4b8c3
-------------------------------------------------------------------
Thu May 14 18:32:37 CEST 2020 - tiwai@suse.de
- ALSA: hda/realtek - Limit int mic boost for Thinkpad T530
(bsc#1171293).
- commit 60a84bf
-------------------------------------------------------------------
Thu May 14 13:15:40 CEST 2020 - jslaby@suse.cz
- tracing: Wait for preempt irq delay thread to execute
(git-fixes).
- commit 5f84268
-------------------------------------------------------------------
Thu May 14 11:58:06 CEST 2020 - jslaby@suse.cz
- Linux 5.6.13 (bnc#1012628).
- thunderbolt: Check return value of tb_sw_read() in
usb4_switch_op() (bnc#1012628).
- USB: serial: qcserial: Add DW5816e support (bnc#1012628).
- drm/amdgpu: move kfd suspend after ip_suspend_phase1
(bnc#1012628).
- drm/amdgpu: drop redundant cg/pg ungate on runpm enter
(bnc#1012628).
- vt: fix unicode console freeing with a common interface
(bnc#1012628).
- tty: xilinx_uartps: Fix missing id assignment to the console
(bnc#1012628).
- ext4: don't set dioread_nolock by default for blocksize <
pagesize (bnc#1012628).
- ext4: disable dioread_nolock whenever delayed allocation is
disabled (bnc#1012628).
- nvme: refactor nvme_identify_ns_descs error handling
(bnc#1012628).
- nvme: fix possible hang when ns scanning fails during error
recovery (bnc#1012628).
- tracing/kprobes: Fix a double initialization typo (bnc#1012628).
- net: macb: Fix runtime PM refcounting (bnc#1012628).
- cxgb4: fix EOTID leak when disabling TC-MQPRIO offload
(bnc#1012628).
- devlink: Fix reporter's recovery condition (bnc#1012628).
- devlink: fix return value after hitting end in region read
(bnc#1012628).
- dp83640: reverse arguments to list_add_tail (bnc#1012628).
- fq_codel: fix TCA_FQ_CODEL_DROP_BATCH_SIZE sanity checks
(bnc#1012628).
- ipv6: Use global sernum for dst validation with nexthop objects
(bnc#1012628).
- mlxsw: spectrum_acl_tcam: Position vchunk in a vregion list
properly (bnc#1012628).
- neigh: send protocol value in neighbor create notification
(bnc#1012628).
- net: bridge: vlan: Add a schedule point during VLAN processing
(bnc#1012628).
- net: dsa: Do not leave DSA master with NULL netdev_ops
(bnc#1012628).
- net: dsa: Do not make user port errors fatal (bnc#1012628).
- net: macb: fix an issue about leak related system resources
(bnc#1012628).
- net: macsec: preserve ingress frame ordering (bnc#1012628).
- net/mlx4_core: Fix use of ENOSPC around mlx4_counter_alloc()
(bnc#1012628).
- net: phy: marvell10g: fix temperature sensor on 2110
(bnc#1012628).
- net_sched: sch_skbprio: add message validation to
skbprio_change() (bnc#1012628).
- net: stricter validation of untrusted gso packets (bnc#1012628).
- net: tc35815: Fix phydev supported/advertising mask
(bnc#1012628).
- net/tls: Fix sk_psock refcnt leak in bpf_exec_tx_verdict()
(bnc#1012628).
- net/tls: Fix sk_psock refcnt leak when in tls_data_ready()
(bnc#1012628).
- net: usb: qmi_wwan: add support for DW5816e (bnc#1012628).
- nfp: abm: fix a memory leak bug (bnc#1012628).
- sch_choke: avoid potential panic in choke_reset() (bnc#1012628).
- sch_sfq: validate silly quantum values (bnc#1012628).
- selftests: net: tcp_mmap: clear whole tcp_zerocopy_receive
struct (bnc#1012628).
- selftests: net: tcp_mmap: fix SO_RCVLOWAT setting (bnc#1012628).
- tipc: fix partial topology connection closure (bnc#1012628).
- tunnel: Propagate ECT(1) when decapsulating as recommended by
RFC6040 (bnc#1012628).
- bnxt_en: Fix VF anti-spoof filter setup (bnc#1012628).
- bnxt_en: Reduce BNXT_MSIX_VEC_MAX value to supported CQs per PF
(bnc#1012628).
- bnxt_en: Improve AER slot reset (bnc#1012628).
- bnxt_en: Return error when allocating zero size context memory
(bnc#1012628).
- bnxt_en: Fix VLAN acceleration handling in bnxt_fix_features()
(bnc#1012628).
- net/mlx5: DR, On creation set CQ's arm_db member to right value
(bnc#1012628).
- net/mlx5: Fix forced completion access non initialized command
entry (bnc#1012628).
- net/mlx5: Fix command entry leak in Internal Error State
(bnc#1012628).
- net/mlx5e: Fix q counters on uplink representors (bnc#1012628).
- net: mvpp2: prevent buffer overflow in mvpp22_rss_ctx()
(bnc#1012628).
- net: mvpp2: cls: Prevent buffer overflow in
mvpp2_ethtool_cls_rule_del() (bnc#1012628).
- wireguard: queueing: cleanup ptr_ring in error path of
packet_queue_init (bnc#1012628).
- wireguard: receive: use tunnel helpers for decapsulating ECN
markings (bnc#1012628).
- net: enetc: fix an issue about leak system resources
(bnc#1012628).
- wireguard: socket: remove errant restriction on looping to self
(bnc#1012628).
- wireguard: send/receive: cond_resched() when processing worker
ringbuffers (bnc#1012628).
- HID: wacom: Read HID_DG_CONTACTMAX directly for non-generic
devices (bnc#1012628).
- sctp: Fix bundling of SHUTDOWN with COOKIE-ACK (bnc#1012628).
- Revert "HID: wacom: generic: read the number of expected
touches on a per collection basis" (bnc#1012628).
- HID: usbhid: Fix race between usbhid_close() and usbhid_stop()
(bnc#1012628).
- HID: wacom: Report 2nd-gen Intuos Pro S center button status
over BT (bnc#1012628).
- USB: uas: add quirk for LaCie 2Big Quadra (bnc#1012628).
- usb: chipidea: msm: Ensure proper controller reset using role
switch API (bnc#1012628).
- USB: serial: garmin_gps: add sanity checking for data length
(bnc#1012628).
- tracing/boottime: Fix kprobe event API usage (bnc#1012628).
- tracing/kprobes: Reject new event if loc is NULL (bnc#1012628).
- tracing: Wait for preempt irq delay thread to finish
(bnc#1012628).
- tracing: Add a vmalloc_sync_mappings() for safe measure
(bnc#1012628).
- crypto: arch/nhpoly1305 - process in explicit 4k chunks
(bnc#1012628).
- crypto: arch/lib - limit simd usage to 4k chunks (bnc#1012628).
- KVM: s390: Remove false WARN_ON_ONCE for the PQAP instruction
(bnc#1012628).
- KVM: VMX: Explicitly clear RFLAGS.CF and RFLAGS.ZF in VM-Exit
RSB path (bnc#1012628).
- KVM: arm: vgic: Fix limit condition when writing to
GICD_I[CS]ACTIVER (bnc#1012628).
- KVM: arm64: Fix 32bit PC wrap-around (bnc#1012628).
- arm64: hugetlb: avoid potential NULL dereference (bnc#1012628).
- driver core: platform: Initialize dma_parms for platform devices
(bnc#1012628).
- amba: Initialize dma_parms for amba devices (bnc#1012628).
- mei: me: disable mei interface on LBG servers (bnc#1012628).
- drm: ingenic-drm: add MODULE_DEVICE_TABLE (bnc#1012628).
- drm/amd/display: work around fp code being emitted outside of
DC_FP_START/END (bnc#1012628).
- ipc/mqueue.c: change __do_notify() to bypass
check_kill_permission() (bnc#1012628).
- epoll: atomically remove wait entry on wake up (bnc#1012628).
- eventpoll: fix missing wakeup for ovflist in ep_poll_callback
(bnc#1012628).
- mm/page_alloc: fix watchdog soft lockups during
set_zone_contiguous() (bnc#1012628).
- mm: limit boost_watermark on small zones (bnc#1012628).
- ceph: fix endianness bug when handling MDS session feature bits
(bnc#1012628).
- ceph: demote quotarealm lookup warning to a debug message
(bnc#1012628).
- staging: gasket: Check the return value of
gasket_get_bar_index() (bnc#1012628).
- coredump: fix crash when umh is disabled (bnc#1012628).
- riscv: set max_pfn to the PFN of the last page (bnc#1012628).
- iocost: protect iocg->abs_vdebt with iocg->waitq.lock
(bnc#1012628).
- batman-adv: fix batadv_nc_random_weight_tq (bnc#1012628).
- batman-adv: Fix refcnt leak in batadv_show_throughput_override
(bnc#1012628).
- batman-adv: Fix refcnt leak in batadv_store_throughput_override
(bnc#1012628).
- batman-adv: Fix refcnt leak in batadv_v_ogm_process
(bnc#1012628).
- x86/mm/cpa: Flush direct map alias during cpa (bnc#1012628).
- x86/entry/64: Fix unwind hints in register clearing code
(bnc#1012628).
- x86/entry/64: Fix unwind hints in kernel exit path
(bnc#1012628).
- x86/entry/64: Fix unwind hints in __switch_to_asm()
(bnc#1012628).
- x86/entry/64: Fix unwind hints in rewind_stack_do_exit()
(bnc#1012628).
- x86/unwind/orc: Don't skip the first frame for inactive tasks
(bnc#1012628).
- x86/unwind/orc: Prevent unwinding before ORC initialization
(bnc#1012628).
- x86/unwind/orc: Fix error path for bad ORC entry type
(bnc#1012628).
- x86/unwind/orc: Fix premature unwind stoppage due to IRET frames
(bnc#1012628).
- KVM: x86: Fixes posted interrupt check for IRQs delivery modes
(bnc#1012628).
- arch/x86/kvm/svm/sev.c: change flag passed to GUP fast in
sev_pin_memory() (bnc#1012628).
- netfilter: nat: never update the UDP checksum when it's 0
(bnc#1012628).
- netfilter: nf_osf: avoid passing pointer to local var
(bnc#1012628).
- objtool: Fix stack offset tracking for indirect CFAs
(bnc#1012628).
- iommu/virtio: Reverse arguments to list_add (bnc#1012628).
- scripts/decodecode: fix trapping instruction formatting
(bnc#1012628).
- mm, memcg: fix error return value of mem_cgroup_css_alloc()
(bnc#1012628).
- bdi: move bdi_dev_name out of line (bnc#1012628).
- bdi: add a ->dev_name field to struct backing_dev_info
(bnc#1012628).
- io_uring: don't use 'fd' for openat/openat2/statx (bnc#1012628).
- fsnotify: replace inode pointer with an object id (bnc#1012628).
- fanotify: merge duplicate events on parent and child
(bnc#1012628).
- Refresh
patches.suse/Revert-ext4-make-dioread_nolock-the-default.patch.
- commit 77f44b9
-------------------------------------------------------------------
Thu May 14 09:40:49 CEST 2020 - msuchanek@suse.de
- rpm/check-for-config-changes: Ignore CONFIG_CC_VERSION_TEXT
- commit 8e6b05f
-------------------------------------------------------------------
Tue May 12 18:41:40 CEST 2020 - bp@suse.de
- x86: Fix early boot crash on gcc-10, next try (bsc#1167632).
- commit 3afa06d
-------------------------------------------------------------------
Tue May 12 14:54:24 CEST 2020 - jroedel@suse.de
- kvm: ioapic: Restrict lazy EOI update to edge-triggered interrupts (bsc#1171289).
- commit 9f9d75e
-------------------------------------------------------------------
Mon May 11 08:48:40 CEST 2020 - jslaby@suse.cz
- Linux 5.6.12 (bnc#1012628).
- mm/mremap: Add comment explaining the untagging behaviour of
mremap() (bnc#1012628).
- cgroup, netclassid: remove double cond_resched (bnc#1012628).
- mac80211: add ieee80211_is_any_nullfunc() (bnc#1012628).
- ACPI: PM: s2idle: Fix comment in acpi_s2idle_prepare_late()
(bnc#1012628).
- platform/x86: GPD pocket fan: Fix error message when temp-limits
are out of range (bnc#1012628).
- x86/kvm: fix a missing-prototypes "vmread_error" (bnc#1012628).
- ALSA: hda: Match both PCI ID and SSID for driver blacklist
(bnc#1012628).
- drm/amdgpu: Fix oops when pp_funcs is unset in ACPI event
(bnc#1012628).
- sctp: Fix SHUTDOWN CTSN Ack in the peer restart case
(bnc#1012628).
- tools/runqslower: Ensure own vmlinux.h is picked up first
(bnc#1012628).
- net: systemport: suppress warnings on failed Rx SKB allocations
(bnc#1012628).
- net: bcmgenet: suppress warnings on failed Rx SKB allocations
(bnc#1012628).
- mac80211: sta_info: Add lockdep condition for RCU list usage
(bnc#1012628).
- lib/mpi: Fix building for powerpc with clang (bnc#1012628).
- net: phy: bcm84881: clear settings on link down (bnc#1012628).
- ftrace: Fix memory leak caused by not freeing entry in
unregister_ftrace_direct() (bnc#1012628).
- tracing: Fix memory leaks in trace_events_hist.c (bnc#1012628).
- cifs: do not share tcons with DFS (bnc#1012628).
- scripts/config: allow colons in option strings for sed
(bnc#1012628).
- cifs: protect updating server->dstaddr with a spinlock
(bnc#1012628).
- ASoC: rsnd: Fix "status check failed" spam for multi-SSI
(bnc#1012628).
- ASoC: rsnd: Don't treat master SSI in multi SSI setup as parent
(bnc#1012628).
- net: stmmac: Fix sub-second increment (bnc#1012628).
- net: stmmac: fix enabling socfpga's ptp_ref_clock (bnc#1012628).
- wimax/i2400m: Fix potential urb refcnt leak (bnc#1012628).
- drm/amdgpu: Correctly initialize thermal controller for GPUs
with Powerplay table v0 (e.g Hawaii) (bnc#1012628).
- drm/amd/powerplay: fix resume failed as smu table initialize
early exit (bnc#1012628).
- remoteproc: qcom_q6v5_mss: fix a bug in q6v5_probe()
(bnc#1012628).
- ASoC: codecs: hdac_hdmi: Fix incorrect use of
list_for_each_entry (bnc#1012628).
- ASoC: rsnd: Fix HDMI channel mapping for multi-SSI mode
(bnc#1012628).
- ASoC: rsnd: Fix parent SSI start/stop in multi-SSI mode
(bnc#1012628).
- usb: dwc3: gadget: Properly set maxpacket limit (bnc#1012628).
- ASoC: topology: Fix endianness issue (bnc#1012628).
- ASoC: sgtl5000: Fix VAG power-on handling (bnc#1012628).
- scsi: sg: add sg_remove_request in sg_write (bnc#1012628).
- drm/bridge: anx6345: set correct BPC for display_info of
connector (bnc#1012628).
- selftests/ipc: Fix test failure seen after initial test run
(bnc#1012628).
- Revert "Kernel selftests: tpm2: check for tpm support"
(bnc#1012628).
- selftests: vm: Fix 64-bit test builds for powerpc64le
(bnc#1012628).
- selftests: vm: Do not override definition of ARCH (bnc#1012628).
- SUNRPC/cache: Fix unsafe traverse caused double-free in
cache_purge (bnc#1012628).
- ASoC: topology: Check return value of soc_tplg_dai_config
(bnc#1012628).
- ASoC: topology: Check return value of pcm_new_ver (bnc#1012628).
- ASoC: topology: Check soc_tplg_add_route return value
(bnc#1012628).
- ASoC: topology: Check return value of soc_tplg_*_create
(bnc#1012628).
- ASoC: topology: Check return value of soc_tplg_create_tlv
(bnc#1012628).
- ASoC: topology: Add missing memory checks (bnc#1012628).
- drm/bridge: analogix_dp: Split bind() into probe() and real
bind() (bnc#1012628).
- vhost: vsock: kick send_pkt worker once device is started
(bnc#1012628).
- commit 7501758
-------------------------------------------------------------------
Mon May 11 06:33:26 CEST 2020 - mkubecek@suse.cz
- Update to 5.7-rc5
- refresh configs
- commit 298ea3d
-------------------------------------------------------------------
Thu May 7 23:15:04 CEST 2020 - afaerber@suse.com
- config: arm64: Update to 5.7-rc4
- commit 47279b9
-------------------------------------------------------------------
Thu May 7 20:12:13 CEST 2020 - jzerebecki@suse.com
- kernel-docs: Change Requires on python-Sphinx to earlier than version 3
References: bsc#1166965
From 3 on the internal API that the build system uses was rewritten in
an incompatible way.
See https://github.com/sphinx-doc/sphinx/issues/7421 and
https://bugzilla.suse.com/show_bug.cgi?id=1166965#c16 for some details.
- commit cf60b5c
-------------------------------------------------------------------
Wed May 6 12:42:09 CEST 2020 - jslaby@suse.cz
- Linux 5.6.11 (bnc#1012628).
- drm/scheduler: fix drm_sched_get_cleanup_job (bnc#1012628).
- dma-buf: Fix SET_NAME ioctl uapi (bnc#1012628).
- drm/amdgpu: invalidate L2 before SDMA IBs (v2) (bnc#1012628).
- drm/edid: Fix off-by-one in DispID DTD pixel clock
(bnc#1012628).
- drm/amd/display: Fix green screen issue after suspend
(bnc#1012628).
- drm/i915/gem: Hold obj->vma.lock over for_each_ggtt_vma()
(bnc#1012628).
- drm/i915/gt: Check cacheline is valid before acquiring
(bnc#1012628).
- drm/qxl: qxl_release leak in qxl_draw_dirty_fb() (bnc#1012628).
- drm/qxl: qxl_release leak in qxl_hw_surface_alloc()
(bnc#1012628).
- drm/qxl: qxl_release use after free (bnc#1012628).
- NFSv4.1: fix handling of backchannel binding in
BIND_CONN_TO_SESSION (bnc#1012628).
- btrfs: fix transaction leak in btrfs_recover_relocation
(bnc#1012628).
- btrfs: fix block group leak when removing fails (bnc#1012628).
- btrfs: fix partial loss of prealloc extent past i_size after
fsync (bnc#1012628).
- btrfs: transaction: Avoid deadlock due to bad initialization
timing of fs_info::journal_info (bnc#1012628).
- mmc: cqhci: Avoid false "cqhci: CQE stuck on" by not open-coding
timeout loop (bnc#1012628).
- mmc: sdhci-xenon: fix annoying 1.8V regulator warning
(bnc#1012628).
- mmc: sdhci-pci: Fix eMMC driver strength for BYT-based
controllers (bnc#1012628).
- mmc: sdhci-msm: Enable host capabilities pertains to R1b
response (bnc#1012628).
- mmc: meson-mx-sdio: Set MMC_CAP_WAIT_WHILE_BUSY (bnc#1012628).
- mmc: meson-mx-sdio: remove the broken ->card_busy() op
(bnc#1012628).
- crypto: caam - fix the address of the last entry of S/G
(bnc#1012628).
- ALSA: hda/realtek - Two front mics on a Lenovo ThinkCenter
(bnc#1012628).
- ALSA: usb-audio: Correct a typo of NuPrime DAC-10 USB ID
(bnc#1012628).
- ALSA: hda/hdmi: fix without unlocked before return
(bnc#1012628).
- ALSA: line6: Fix POD HD500 audio playback (bnc#1012628).
- i2c: amd-mp2-pci: Fix Oops in amd_mp2_pci_init() error handling
(bnc#1012628).
- x86/hyperv: Suspend/resume the VP assist page for hibernation
(bnc#1012628).
- Drivers: hv: vmbus: Fix Suspend-to-Idle for Generation-2 VM
(bnc#1012628).
- dlmfs_file_write(): fix the bogosity in handling non-zero *ppos
(bnc#1012628).
- selinux: properly handle multiple messages in
selinux_netlink_send() (bnc#1012628).
- IB/rdmavt: Always return ERR_PTR from rvt_create_mmap_info()
(bnc#1012628).
- PM: ACPI: Output correct message on target power state
(bnc#1012628).
- PM: hibernate: Freeze kernel threads in software_resume()
(bnc#1012628).
- dm verity fec: fix hash block number in verity_fec_decode
(bnc#1012628).
- dm writecache: fix data corruption when reloading the target
(bnc#1012628).
- dm multipath: use updated MPATHF_QUEUE_IO on mapping for
bio-based mpath (bnc#1012628).
- ARM: dts: imx6qdl-sr-som-ti: indicate powering off wifi is safe
(bnc#1012628).
- block: remove the bd_openers checks in blk_drop_partitions
(bnc#1012628).
- scsi: qla2xxx: set UNLOADING before waiting for session deletion
(bnc#1012628).
- scsi: qla2xxx: check UNLOADING before posting async work
(bnc#1012628).
- RDMA/mlx5: Set GRH fields in query QP on RoCE (bnc#1012628).
- RDMA/uverbs: Fix a race with disassociate and exit_mmap()
(bnc#1012628).
- RDMA/mlx4: Initialize ib_spec on the stack (bnc#1012628).
- RDMA/siw: Fix potential siw_mem refcnt leak in siw_fastreg_mr()
(bnc#1012628).
- RDMA/core: Prevent mixed use of FDs between shared ufiles
(bnc#1012628).
- RDMA/core: Fix overwriting of uobj in case of error
(bnc#1012628).
- RDMA/core: Fix race between destroy and release FD object
(bnc#1012628).
- RDMA/cm: Fix ordering of xa_alloc_cyclic() in ib_create_cm_id()
(bnc#1012628).
- RDMA/cm: Fix an error check in cm_alloc_id_priv() (bnc#1012628).
- i2c: iproc: generate stop event for slave writes (bnc#1012628).
- dmaengine: hisilicon: Fix build error without PCI_MSI
(bnc#1012628).
- vfio: avoid possible overflow in vfio_iommu_type1_pin_pages
(bnc#1012628).
- vfio/type1: Fix VA->PA translation for PFNMAP VMAs in
vaddr_get_pfn() (bnc#1012628).
- iommu/qcom: Fix local_base status check (bnc#1012628).
- dmaengine: ti: k3-psil: fix deadlock on error path
(bnc#1012628).
- dmaengine: fix channel index enumeration (bnc#1012628).
- scsi: target/iblock: fix WRITE SAME zeroing (bnc#1012628).
- iommu: Properly export iommu_group_get_for_dev() (bnc#1012628).
- iommu/vt-d: Use right Kconfig option name (bnc#1012628).
- iommu/amd: Fix legacy interrupt remapping for x2APIC-enabled
system (bnc#1012628).
- i2c: aspeed: Avoid i2c interrupt status clear race condition
(bnc#1012628).
- ALSA: opti9xx: shut up gcc-10 range warning (bnc#1012628).
- Fix use after free in get_tree_bdev() (bnc#1012628).
- nvme: prevent double free in nvme_alloc_ns() error handling
(bnc#1012628).
- drm/i915/selftests: Fix i915_address_space refcnt leak
(bnc#1012628).
- nfs: Fix potential posix_acl refcnt leak in nfs3_set_acl
(bnc#1012628).
- dmaengine: dmatest: Fix iteration non-stop logic (bnc#1012628).
- drm/i915: Use proper fault mask in interrupt postinstall too
(bnc#1012628).
- dmaengine: dmatest: Fix process hang when reading 'wait'
parameter (bnc#1012628).
- arm64: vdso: Add -fasynchronous-unwind-tables to cflags
(bnc#1012628).
- io_uring: statx must grab the file table for valid fd
(bnc#1012628).
- commit 91c024a
-------------------------------------------------------------------
Wed May 6 11:02:16 CEST 2020 - jslaby@suse.cz
- ipc/util.c: sysvipc_find_ipc() incorrectly updates position
index (bnc#1171211).
- commit 31ea4f6
-------------------------------------------------------------------
Mon May 4 06:23:10 CEST 2020 - mkubecek@suse.cz
- Update to 5.7-rc4
- commit 9761f3e
-------------------------------------------------------------------
Sat May 2 20:18:50 CEST 2020 - jslaby@suse.cz
- Linux 5.6.10 (bnc#1012628).
- Revert "ASoC: meson: axg-card: fix codec-to-codec link setup"
(bnc#1012628).
- commit de48f93
-------------------------------------------------------------------
Sat May 2 20:18:29 CEST 2020 - jslaby@suse.cz
- Linux 5.6.9 (bnc#1012628).
- ubifs: Fix ubifs_tnc_lookup() usage in do_kill_orphans()
(bnc#1012628).
- printk: queue wake_up_klogd irq_work only if per-CPU areas
are ready (bnc#1012628).
- ASoC: stm32: sai: fix sai probe (bnc#1012628).
- usb: dwc3: gadget: Do link recovery for SS and SSP
(bnc#1012628).
- ARM: dts: bcm283x: Add cells encoding format to firmware bus
(bnc#1012628).
- kbuild: fix DT binding schema rule again to avoid needless
rebuilds (bnc#1012628).
- usb: gadget: udc: bdc: Remove unnecessary NULL checks in
bdc_req_complete (bnc#1012628).
- usb: gadget: udc: atmel: Fix vbus disconnect handling
(bnc#1012628).
- afs: Make record checking use TASK_UNINTERRUPTIBLE when
appropriate (bnc#1012628).
- afs: Fix to actually set AFS_SERVER_FL_HAVE_EPOCH (bnc#1012628).
- iio:ad7797: Use correct attribute_group (bnc#1012628).
- iio: imu: st_lsm6dsx: fix read misalignment on untagged FIFO
(bnc#1012628).
- iio: imu: st_lsm6dsx: specify slave odr in slv_odr
(bnc#1012628).
- propagate_one(): mnt_set_mountpoint() needs mount_lock
(bnc#1012628).
- counter: 104-quad-8: Add lock guards - generic interface
(bnc#1012628).
- s390/ftrace: fix potential crashes when switching tracers
(bnc#1012628).
- ASoC: q6dsp6: q6afe-dai: add missing channels to MI2S DAIs
(bnc#1012628).
- iwlwifi: actually check allocated conf_tlv pointer
(bnc#1012628).
- ASoC: samsung: s3c24xx-i2s: Fix build after removal of DAI
suspend/resume (bnc#1012628).
- ASoC: tas571x: disable regulators on failed probe (bnc#1012628).
- ASoC: meson: axg-card: fix codec-to-codec link setup
(bnc#1012628).
- ASoC: wm8960: Fix wrong clock after suspend & resume
(bnc#1012628).
- drivers: soc: xilinx: fix firmware driver Kconfig dependency
(bnc#1012628).
- nfsd: memory corruption in nfsd4_lock() (bnc#1012628).
- ARM: dts: OMAP3: disable RNG on N950/N9 (bnc#1012628).
- bpf: Forbid XADD on spilled pointers for unprivileged users
(bnc#1012628).
- brcmfmac: add stub for monitor interface xmit (bnc#1012628).
- i2c: altera: use proper variable to hold errno (bnc#1012628).
- hwmon: (drivetemp) Use drivetemp's true module name in Kconfig
section (bnc#1012628).
- clk: asm9260: fix __clk_hw_register_fixed_rate_with_accuracy
typo (bnc#1012628).
- rtw88: avoid unused function warnings (bnc#1012628).
- hwmon: (drivetemp) Return -ENODATA for invalid temperatures
(bnc#1012628).
- rxrpc: Fix DATA Tx to disable nofrag for UDP on AF_INET6 socket
(bnc#1012628).
- netfilter: nf_tables: reintroduce the NFT_SET_CONCAT flag
(bnc#1012628).
- net/cxgb4: Check the return from t4_query_params properly
(bnc#1012628).
- tipc: fix incorrect increasing of link window (bnc#1012628).
- xfs: acquire superblock freeze protection on eofblocks scans
(bnc#1012628).
- svcrdma: Fix trace point use-after-free race (bnc#1012628).
- svcrdma: Fix leak of svc_rdma_recv_ctxt objects (bnc#1012628).
- net/mlx5e: Don't trigger IRQ multiple times on XSK wakeup to
avoid WQ overruns (bnc#1012628).
- net/mlx5e: Get the latest values from counters in switchdev mode
(bnc#1012628).
- PCI: Avoid ASMedia XHCI USB PME# from D0 defect (bnc#1012628).
- PCI: Add Zhaoxin Vendor ID (bnc#1012628).
- PCI: Add ACS quirk for Zhaoxin multi-function devices
(bnc#1012628).
- PCI: Add ACS quirk for Zhaoxin Root/Downstream Ports
(bnc#1012628).
- PCI: Move Apex Edge TPU class quirk to fix BAR assignment
(bnc#1012628).
- ARM: dts: bcm283x: Disable dsi0 node (bnc#1012628).
- cpumap: Avoid warning when CONFIG_DEBUG_PER_CPU_MAPS is enabled
(bnc#1012628).
- s390/pci: do not set affinity for floating irqs (bnc#1012628).
- remoteproc: mtk_scp: use dma_addr_t for DMA API (bnc#1012628).
- net/mlx5: Fix failing fw tracer allocation on s390
(bnc#1012628).
- sched/core: Fix reset-on-fork from RT with uclamp (bnc#1012628).
- perf/core: fix parent pid/tid in task exit events (bnc#1012628).
- netfilter: nat: fix error handling upon registering inet hook
(bnc#1012628).
- PM: sleep: core: Switch back to async_schedule_dev()
(bnc#1012628).
- blk-iocost: Fix error on iocost_ioc_vrate_adj (bnc#1012628).
- um: ensure `make ARCH=um mrproper` removes
arch/$(SUBARCH)/include/generated/ (bnc#1012628).
- bpf: Fix handling of XADD on BTF memory (bnc#1012628).
- bpf, x86: Fix encoding for lower 8-bit registers in BPF_STX
BPF_B (bnc#1012628).
- bpf, x86_32: Fix incorrect encoding in BPF_LDX zero-extension
(bnc#1012628).
- bpf, x86_32: Fix clobbering of dst for BPF_JSET (bnc#1012628).
- bpf, x86_32: Fix logic error in BPF_LDX zero-extension
(bnc#1012628).
- bpf: Propagate expected_attach_type when verifying freplace
programs (bnc#1012628).
- selftests/bpf: Fix a couple of broken test_btf cases
(bnc#1012628).
- mm: shmem: disable interrupt when acquiring info->lock in
userfaultfd_copy path (bnc#1012628).
- xfs: clear PF_MEMALLOC before exiting xfsaild thread
(bnc#1012628).
- libbpf: Initialize *nl_pid so gcc 10 is happy (bnc#1012628).
- net: fec: set GPR bit on suspend by DT configuration
(bnc#1012628).
- x86: hyperv: report value of misc_features (bnc#1012628).
- signal: check sig before setting info in kill_pid_usb_asyncio
(bnc#1012628).
- afs: Fix length of dump of bad YFSFetchStatus record
(bnc#1012628).
- xfs: fix partially uninitialized structure in
xfs_reflink_remap_extent (bnc#1012628).
- ALSA: hda: Release resources at error in delayed probe
(bnc#1012628).
- ALSA: hda: Keep the controller initialization even if no codecs
found (bnc#1012628).
- ALSA: hda: Explicitly permit using autosuspend if runtime PM
is supported (bnc#1012628).
- drm/amdgpu: fix wrong vram lost counter increment V2
(bnc#1012628).
- scsi: target: fix PR IN / READ FULL STATUS for FC (bnc#1012628).
- scsi: target: tcmu: reset_ring should reset TCMU_DEV_BIT_BROKEN
(bnc#1012628).
- objtool: Fix CONFIG_UBSAN_TRAP unreachable warnings
(bnc#1012628).
- objtool: Support Clang non-section symbols in ORC dump
(bnc#1012628).
- xen/xenbus: ensure xenbus_map_ring_valloc() returns proper
grant status (bnc#1012628).
- ALSA: hda: call runtime_allow() for all hda controllers
(bnc#1012628).
- net: stmmac: socfpga: Allow all RGMII modes (bnc#1012628).
- mac80211: fix channel switch trigger from unknown mesh peer
(bnc#1012628).
- sched/isolation: Allow "isolcpus=" to skip unknown
sub-parameters (bnc#1012628).
- sched/vtime: Work around an unitialized variable warning
(bnc#1012628).
- arm64: Delete the space separator in __emit_inst (bnc#1012628).
- ext4: use matching invalidatepage in ext4_writepage
(bnc#1012628).
- ext4: increase wait time needed before reuse of deleted inode
numbers (bnc#1012628).
- ext4: convert BUG_ON's to WARN_ON's in mballoc.c (bnc#1012628).
- irqchip/gic-v4.1: Add support for VPENDBASER's Dirty+Valid
signaling (bnc#1012628).
- blk-mq: Put driver tag in blk_mq_dispatch_rq_list() when no
budget (bnc#1012628).
- irqchip/meson-gpio: Fix HARDIRQ-safe -> HARDIRQ-unsafe lock
order (bnc#1012628).
- hwmon: (jc42) Fix name to have no illegal characters
(bnc#1012628).
- sfc: fix XDP-redirect in this driver (bnc#1012628).
- taprio: do not use BIT() in TCA_TAPRIO_ATTR_FLAG_* definitions
(bnc#1012628).
- tipc: Add a missing case of TIPC_DIRECT_MSG type (bnc#1012628).
- qed: Fix race condition between scheduling and destroying the
slowpath workqueue (bnc#1012628).
- Crypto: chelsio - Fixes a hang issue during driver registration
(bnc#1012628).
- net: use indirect call wrappers for skb_copy_datagram_iter()
(bnc#1012628).
- qed: Fix use after free in qed_chain_free (bnc#1012628).
- ext4: check for non-zero journal inum in ext4_calculate_overhead
(bnc#1012628).
- ASoC: soc-pcm: fix regression in soc_new_pcm() (bnc#1012628).
- ASoC: soc-core: disable route checks for legacy devices
(bnc#1012628).
- ASoC: stm32: spdifrx: fix regmap status check (bnc#1012628).
- commit 46be1cc
-------------------------------------------------------------------
Thu Apr 30 19:34:58 CEST 2020 - tiwai@suse.de
- drm/nouveau: Fix regression by audio component transition
(bsc#1170951).
- commit 779158b
-------------------------------------------------------------------
Thu Apr 30 12:47:01 CEST 2020 - jslaby@suse.cz
- Revert "ext4: make dioread_nolock the default" (bnc#1169774).
- commit 63116ab
-------------------------------------------------------------------
Wed Apr 29 21:46:49 CEST 2020 - jslaby@suse.cz
- Linux 5.6.8 (bnc#1012628).
- mm: check that mm is still valid in madvise() (bnc#1012628).
- tools/testing/nvdimm: Fix compilation failure without
CONFIG_DEV_DAX_PMEM_COMPAT (bnc#1012628).
- watchdog: reset last_hw_keepalive time at start (bnc#1012628).
- scsi: lpfc: Fix kasan slab-out-of-bounds error in
lpfc_unreg_login (bnc#1012628).
- xfs: correctly acount for reclaimable slabs (bnc#1012628).
- scsi: lpfc: Fix crash after handling a pci error (bnc#1012628).
- scsi: lpfc: Fix crash in target side cable pulls hitting
WAIT_FOR_UNREG (bnc#1012628).
- scsi: libfc: If PRLI rejected, move rport to PLOGI state
(bnc#1012628).
- ceph: return ceph_mdsc_do_request() errors from __get_parent()
(bnc#1012628).
- ceph: don't skip updating wanted caps when cap is stale
(bnc#1012628).
- pwm: imx27: Fix clock handling in pwm_imx27_apply()
(bnc#1012628).
- pwm: rcar: Fix late Runtime PM enablement (bnc#1012628).
- nvme-tcp: fix possible crash in write_zeroes processing
(bnc#1012628).
- ASoC: qcom: q6asm-dai: Add SNDRV_PCM_INFO_BATCH flag
(bnc#1012628).
- scsi: iscsi: Report unbind session event when the target has
been removed (bnc#1012628).
- tools/test/nvdimm: Fix out of tree build (bnc#1012628).
- ASoC: Intel: atom: Take the drv->lock mutex before calling
sst_send_slot_map() (bnc#1012628).
- nvme: fix deadlock caused by ANA update wrong locking
(bnc#1012628).
- drm/amd/display: Update stream adjust in
dc_stream_adjust_vmin_vmax (bnc#1012628).
- dma-direct: fix data truncation in
dma_direct_get_required_mask() (bnc#1012628).
- kernel/gcov/fs.c: gcov_seq_next() should increase position index
(bnc#1012628).
- selftests: kmod: fix handling test numbers above 9
(bnc#1012628).
- ipc/util.c: sysvipc_find_ipc() should increase position index
(bnc#1012628).
- kconfig: qconf: Fix a few alignment issues (bnc#1012628).
- lib/raid6/test: fix build on distros whose /bin/sh is not bash
(bnc#1012628).
- block: fix busy device checking in blk_drop_partitions
(bnc#1012628).
- s390/cio: generate delayed uevent for vfio-ccw subchannels
(bnc#1012628).
- s390/cio: avoid duplicated 'ADD' uevents (bnc#1012628).
- loop: Better discard support for block devices (bnc#1012628).
- Revert "powerpc/64: irq_work avoid interrupt when called with
hardware irqs enabled" (bnc#1012628).
- powerpc/pseries: Fix MCE handling on pseries (bnc#1012628).
- nvme: fix compat address handling in several ioctls
(bnc#1012628).
- pwm: renesas-tpu: Fix late Runtime PM enablement (bnc#1012628).
- pwm: bcm2835: Dynamically allocate base (bnc#1012628).
- scsi: lpfc: Fix erroneous cpu limit of 128 on I/O statistics
(bnc#1012628).
- scsi: lpfc: Fix lockdep error - register non-static key
(bnc#1012628).
- perf/core: Disable page faults when getting phys address
(bnc#1012628).
- drm/amd/display: Calculate scaling ratios on every medium/full
update (bnc#1012628).
- ASoC: Intel: bytcr_rt5640: Add quirk for MPMAN MPWIN895CL tablet
(bnc#1012628).
- ALSA: usb-audio: Add Pioneer DJ DJM-250MK2 quirk (bnc#1012628).
- xhci: Ensure link state is U3 after setting USB_SS_PORT_LS_U3
(bnc#1012628).
- xhci: Wait until link state trainsits to U0 after setting
USB_SS_PORT_LS_U0 (bnc#1012628).
- xhci: Finetune host initiated USB3 rootport link suspend and
resume (bnc#1012628).
- block: fix busy device checking in blk_drop_partitions again
(bnc#1012628).
- cxgb4: fix adapter crash due to wrong MC size (bnc#1012628).
- cxgb4: fix large delays in PTP synchronization (bnc#1012628).
- ipv4: Update fib_select_default to handle nexthop objects
(bnc#1012628).
- ipv6: fix restrict IPV6_ADDRFORM operation (bnc#1012628).
- macsec: avoid to set wrong mtu (bnc#1012628).
- macvlan: fix null dereference in macvlan_device_event()
(bnc#1012628).
- mlxsw: Fix some IS_ERR() vs NULL bugs (bnc#1012628).
- net: bcmgenet: correct per TX/RX ring statistics (bnc#1012628).
- net/mlx4_en: avoid indirect call in TX completion (bnc#1012628).
- net: netrom: Fix potential nr_neigh refcnt leak in nr_add_node
(bnc#1012628).
- net: openvswitch: ovs_ct_exit to be done under ovs_lock
(bnc#1012628).
- net: stmmac: dwmac-meson8b: Add missing boundary to RGMII TX
clock array (bnc#1012628).
- net/x25: Fix x25_neigh refcnt leak when receiving frame
(bnc#1012628).
- sched: etf: do not assume all sockets are full blown
(bnc#1012628).
- selftests: Fix suppress test in fib_tests.sh (bnc#1012628).
- tcp: cache line align MAX_TCP_HEADER (bnc#1012628).
- team: fix hang in team_mode_get() (bnc#1012628).
- tipc: Fix potential tipc_aead refcnt leak in tipc_crypto_rcv
(bnc#1012628).
- tipc: Fix potential tipc_node refcnt leak in tipc_rcv
(bnc#1012628).
- vrf: Fix IPv6 with qdisc and xfrm (bnc#1012628).
- net: dsa: b53: Lookup VID in ARL searches when VLAN is enabled
(bnc#1012628).
- net: dsa: b53: Fix valid setting for MDB entries (bnc#1012628).
- net: dsa: b53: Fix ARL register definitions (bnc#1012628).
- net: dsa: b53: Rework ARL bin logic (bnc#1012628).
- net: dsa: b53: b53_arl_rw_op() needs to select IVL or SVL
(bnc#1012628).
- vxlan: use the correct nlattr array in NL_SET_ERR_MSG_ATTR
(bnc#1012628).
- geneve: use the correct nlattr array in NL_SET_ERR_MSG_ATTR
(bnc#1012628).
- xfrm: Always set XFRM_TRANSFORMED in xfrm{4,6}_output_finish
(bnc#1012628).
- vrf: Check skb for XFRM_TRANSFORMED flag (bnc#1012628).
- net: ethernet: ixp4xx: Add error handling in ixp4xx_eth_probe()
(bnc#1012628).
- KEYS: Avoid false positive ENOMEM error on key read
(bnc#1012628).
- ALSA: hda: Remove ASUS ROG Zenith from the blacklist
(bnc#1012628).
- ALSA: usb-audio: Add static mapping table for ALC1220-VB-based
mobos (bnc#1012628).
- ALSA: usb-audio: Add connector notifier delegation
(bnc#1012628).
- mac80211: populate debugfs only after cfg80211 init
(bnc#1012628).
- iio: core: remove extra semi-colon from
devm_iio_device_register() macro (bnc#1012628).
- iio: imu: st_lsm6dsx: flush hw FIFO before resetting the device
(bnc#1012628).
- iio: st_sensors: rely on odr mask to know if odr can be set
(bnc#1012628).
- iio: adc: stm32-adc: fix sleep in atomic context (bnc#1012628).
- iio: adc: ti-ads8344: properly byte swap value (bnc#1012628).
- iio: xilinx-xadc: Fix ADC-B powerdown (bnc#1012628).
- iio: xilinx-xadc: Fix clearing interrupt when enabling trigger
(bnc#1012628).
- iio: xilinx-xadc: Fix sequencer configuration for aux channels
in simultaneous mode (bnc#1012628).
- iio: xilinx-xadc: Make sure not exceed maximum samplerate
(bnc#1012628).
- USB: sisusbvga: Change port variable from signed to unsigned
(bnc#1012628).
- USB: Add USB_QUIRK_DELAY_CTRL_MSG and USB_QUIRK_DELAY_INIT
for Corsair K70 RGB RAPIDFIRE (bnc#1012628).
- USB: early: Handle AMD's spec-compliant identifiers, too
(bnc#1012628).
- USB: core: Fix free-while-in-use bug in the USB S-Glibrary
(bnc#1012628).
- USB: hub: Fix handling of connect changes during sleep
(bnc#1012628).
- USB: hub: Revert commit bd0e6c9614b9 ("usb: hub: try old
enumeration scheme first for high speed devices") (bnc#1012628).
- tty: serial: owl: add "much needed" clk_prepare_enable()
(bnc#1012628).
- vmalloc: fix remap_vmalloc_range() bounds checks (bnc#1012628).
- staging: gasket: Fix incongruency in handling of sysfs entries
creation (bnc#1012628).
- coredump: fix null pointer dereference on coredump
(bnc#1012628).
- mm/hugetlb: fix a addressing exception caused by huge_pte_offset
(bnc#1012628).
- mm/ksm: fix NULL pointer dereference when KSM zero page is
enabled (bnc#1012628).
- tools/vm: fix cross-compile build (bnc#1012628).
- ALSA: usx2y: Fix potential NULL dereference (bnc#1012628).
- ALSA: hda/realtek - Fix unexpected init_amp override
(bnc#1012628).
- ALSA: hda/realtek - Add new codec supported for ALC245
(bnc#1012628).
- ALSA: hda/hdmi: Add module option to disable audio component
binding (bnc#1012628).
- ALSA: usb-audio: Fix usb audio refcnt leak when getting spdif
(bnc#1012628).
- ALSA: usb-audio: Filter out unsupported sample rates on
Focusrite devices (bnc#1012628).
- tpm/tpm_tis: Free IRQ if probing fails (bnc#1012628).
- tpm: fix wrong return value in tpm_pcr_extend (bnc#1012628).
- tpm: ibmvtpm: retry on H_CLOSED in tpm_ibmvtpm_send()
(bnc#1012628).
- KVM: s390: Return last valid slot if approx index is
out-of-bounds (bnc#1012628).
- KVM: Check validity of resolved slot when searching memslots
(bnc#1012628).
- KVM: VMX: Enable machine check support for 32bit targets
(bnc#1012628).
- tty: hvc: fix buffer overflow during hvc_alloc() (bnc#1012628).
- tty: rocket, avoid OOB access (bnc#1012628).
- usb-storage: Add unusual_devs entry for JMicron JMS566
(bnc#1012628).
- signal: Avoid corrupting si_pid and si_uid in do_notify_parent
(bnc#1012628).
- drm/dp_mst: Zero assigned PBN when releasing VCPI slots
(bnc#1012628).
- audit: check the length of userspace generated audit records
(bnc#1012628).
- ASoC: dapm: fixup dapm kcontrol widget (bnc#1012628).
- SUNRPC: Fix backchannel RPC soft lockups (bnc#1012628).
- iwlwifi: pcie: actually release queue memory in TVQM
(bnc#1012628).
- iwlwifi: mvm: beacon statistics shouldn't go backwards
(bnc#1012628).
- iwlwifi: pcie: indicate correct RB size to device (bnc#1012628).
- iwlwifi: mvm: limit maximum queue appropriately (bnc#1012628).
- iwlwifi: mvm: Do not declare support for ACK Enabled Aggregation
(bnc#1012628).
- iwlwifi: mvm: fix inactive TID removal return value usage
(bnc#1012628).
- iwlwifi: fix WGDS check when WRDS is disabled (bnc#1012628).
- cifs: fix uninitialised lease_key in open_shroot()
(bnc#1012628).
- ARM: imx: provide v7_cpu_resume() only on ARM_CPU_SUSPEND=y
(bnc#1012628).
- powerpc/8xx: Fix STRICT_KERNEL_RWX startup test failure
(bnc#1012628).
- powerpc/setup_64: Set cache-line-size based on cache-block-size
(bnc#1012628).
- staging: comedi: dt2815: fix writing hi byte of analog output
(bnc#1012628).
- staging: comedi: Fix comedi_device refcnt leak in comedi_open
(bnc#1012628).
- vt: don't hardcode the mem allocation upper bound (bnc#1012628).
- vt: don't use kmalloc() for the unicode screen buffer
(bnc#1012628).
- staging: vt6656: Don't set RCR_MULTICAST or RCR_BROADCAST by
default (bnc#1012628).
- staging: vt6656: Fix calling conditions of vnt_set_bss_mode
(bnc#1012628).
- staging: vt6656: Fix drivers TBTT timing counter (bnc#1012628).
- staging: vt6656: Fix pairwise key entry save (bnc#1012628).
- staging: vt6656: Power save stop wake_up_count wrap around
(bnc#1012628).
- mei: me: fix irq number stored in hw struct (bnc#1012628).
- cdc-acm: close race betrween suspend() and acm_softint
(bnc#1012628).
- cdc-acm: introduce a cool down (bnc#1012628).
- UAS: no use logging any details in case of ENODEV (bnc#1012628).
- UAS: fix deadlock in error handling and PM flushing work
(bnc#1012628).
- fpga: dfl: pci: fix return value of cci_pci_sriov_configure
(bnc#1012628).
- usb: dwc3: gadget: Fix request completion check (bnc#1012628).
- usb: f_fs: Clear OS Extended descriptor counts to zero in
ffs_data_reset() (bnc#1012628).
- usb: typec: tcpm: Ignore CC and vbus changes in PORT_RESET
change (bnc#1012628).
- usb: typec: altmode: Fix typec_altmode_get_partner sometimes
returning an invalid pointer (bnc#1012628).
- xhci: Fix handling halted endpoint even if endpoint ring
appears empty (bnc#1012628).
- xhci: prevent bus suspend if a roothub port detected a
over-current condition (bnc#1012628).
- xhci: Don't clear hub TT buffer on ep0 protocol stall
(bnc#1012628).
- serial: sh-sci: Make sure status register SCxSR is read in
correct sequence (bnc#1012628).
- Revert "serial: uartps: Fix uartps_major handling"
(bnc#1012628).
- Revert "serial: uartps: Use the same dynamic major number for
all ports" (bnc#1012628).
- Revert "serial: uartps: Fix error path when alloc failed"
(bnc#1012628).
- Revert "serial: uartps: Do not allow use aliases >=
MAX_UART_INSTANCES" (bnc#1012628).
- Revert "serial: uartps: Change uart ID port allocation"
(bnc#1012628).
- Revert "serial: uartps: Move Port ID to device data structure"
(bnc#1012628).
- Revert "serial: uartps: Register own uart console and driver
structures" (bnc#1012628).
- drm/i915/gt: Update PMINTRMSK holding fw (bnc#1012628).
- powerpc/kuap: PPC_KUAP_DEBUG should depend on PPC_KUAP
(bnc#1012628).
- powerpc/mm: Fix CONFIG_PPC_KUAP_DEBUG on PPC32 (bnc#1012628).
- s390/mm: fix page table upgrade vs 2ndary address mode accesses
(bnc#1012628).
- commit 58238cd
-------------------------------------------------------------------
Wed Apr 29 15:41:17 CEST 2020 - ykaukab@suse.de
- config: arm64: enable coresight support
Coresight is already enabled in armv7hl
- commit f6f465c
-------------------------------------------------------------------
Wed Apr 29 08:57:05 CEST 2020 - tiwai@suse.de
- Make the "Reducing compressed framebufer size" message be
DRM_INFO_ONCE() (bsc#1168776).
- commit a7947d7
-------------------------------------------------------------------
Mon Apr 27 08:11:21 CEST 2020 - tiwai@suse.de
- ALSA: pcm: oss: Place the plugin buffer overflow checks
correctly (bsc#1170522).
- commit 00d8515
-------------------------------------------------------------------
Mon Apr 27 06:27:57 CEST 2020 - mkubecek@suse.cz
- Update to 5.7-rc3
- eliminated 1 patch
- refresh configs
- commit 888b015
-------------------------------------------------------------------
Sun Apr 26 08:37:44 CEST 2020 - jslaby@suse.cz
- blacklist.conf: add one revert
- commit 2ef52fd
-------------------------------------------------------------------
Sun Apr 26 08:37:28 CEST 2020 - jslaby@suse.cz
- libbpf: Only check mode flags in get_xdp_id (git-fixes).
- commit 4535732
-------------------------------------------------------------------
Sat Apr 25 08:52:40 CEST 2020 - jslaby@suse.cz
- Linux 5.6.7 (bnc#1012628).
- x86/xen: fix booting 32-bit pv guest (bnc#1012628).
- bpf, test_verifier: switch bpf_get_stack's 0 s> r8 test
(bnc#1012628).
- bpf: Test_progs, add test to catch retval refine error handling
(bnc#1012628).
- bpf: Test_verifier, bpf_get_stack return value add <0
(bnc#1012628).
- bpf: fix buggy r0 retval refinement for tracing helpers
(bnc#1012628).
- KEYS: Don't write out to userspace while holding key semaphore
(bnc#1012628).
- mtd: phram: fix a double free issue in error path (bnc#1012628).
- mtd: lpddr: Fix a double free in probe() (bnc#1012628).
- docs: Fix path to MTD command line partition parser
(bnc#1012628).
- mtd: spinand: Explicitly use MTD_OPS_RAW to write the bad
block marker to OOB (bnc#1012628).
- mtd: rawnand: free the nand_device object (bnc#1012628).
- locktorture: Print ratio of acquisitions, not failures
(bnc#1012628).
- iio: st_sensors: handle memory allocation failure to fix null
pointer dereference (bnc#1012628).
- tty: evh_bytechan: Fix out of bounds accesses (bnc#1012628).
- fbmem: Adjust indentation in fb_prepare_logo and fb_blank
(bnc#1012628).
- iio: si1133: read 24-bit signed integer for measurement
(bnc#1012628).
- ARM: dts: sunxi: Fix DE2 clocks register range (bnc#1012628).
- fbdev: potential information leak in do_fb_ioctl()
(bnc#1012628).
- dma-debug: fix displaying of dma allocation type (bnc#1012628).
- cifs: ignore cached share root handle closing errors
(bnc#1012628).
- net: dsa: bcm_sf2: Fix overflow checks (bnc#1012628).
- drm/nouveau/gr/gp107,gp108: implement workaround for HW hanging
during init (bnc#1012628).
- platform/chrome: cros_ec: Query EC protocol version if EC
transitions between RO/RW (bnc#1012628).
- f2fs: fix to wait all node page writeback (bnc#1012628).
- f2fs: fix leaking uninitialized memory in compressed clusters
(bnc#1012628).
- iommu/amd: Fix the configuration of GCR3 table root pointer
(bnc#1012628).
- libnvdimm: Out of bounds read in __nd_ioctl() (bnc#1012628).
- power: supply: axp288_fuel_gauge: Broaden vendor check for
Intel Compute Sticks (bnc#1012628).
- csky: Fixup init_fpu compile warning with __init (bnc#1012628).
- thermal: qoriq: Fix a compiling issue (bnc#1012628).
- sunrpc: Fix gss_unwrap_resp_integ() again (bnc#1012628).
- ext2: fix debug reference to ext2_xattr_cache (bnc#1012628).
- iommu/vt-d: Fix page request descriptor size (bnc#1012628).
- iommu/vt-d: Silence RCU-list debugging warning in
dmar_find_atsr() (bnc#1012628).
- f2fs: skip GC when section is full (bnc#1012628).
- f2fs: fix to account compressed blocks in
f2fs_compressed_blocks() (bnc#1012628).
- ext2: fix empty body warnings when -Wextra is used
(bnc#1012628).
- virtio-balloon: Switch back to OOM handler for
VIRTIO_BALLOON_F_DEFLATE_ON_OOM (bnc#1012628).
- SUNRPC: fix krb5p mount to provide large enough buffer in
rq_rcvsize (bnc#1012628).
- iommu/vt-d: Fix mm reference leak (bnc#1012628).
- iommu/vt-d: Add build dependency on IOASID (bnc#1012628).
- iommu/virtio: Fix freeing of incomplete domains (bnc#1012628).
- drm/vc4: Fix HDMI mode validation (bnc#1012628).
- um: falloc.h needs to be directly included for older libc
(bnc#1012628).
- mfd: cros_ec: Check DT node for usbpd-notify add (bnc#1012628).
- ceph: re-org copy_file_range and fix some error paths
(bnc#1012628).
- ACPICA: Fixes for acpiExec namespace init file (bnc#1012628).
- f2fs: fix potential deadlock on compressed quota file
(bnc#1012628).
- f2fs: fix NULL pointer dereference in f2fs_write_begin()
(bnc#1012628).
- f2fs: fix NULL pointer dereference in f2fs_verity_work()
(bnc#1012628).
- f2fs: fix potential .flags overflow on 32bit architecture
(bnc#1012628).
- f2fs: compress: fix to call missing destroy_compress_ctx()
(bnc#1012628).
- csky: Fixup get wrong psr value from phyical reg (bnc#1012628).
- ACPI: Update Tiger Lake ACPI device IDs (bnc#1012628).
- NFS: Fix memory leaks in nfs_pageio_stop_mirroring()
(bnc#1012628).
- drm/amdkfd: kfree the wrong pointer (bnc#1012628).
- csky: Fixup cpu speculative execution to IO area (bnc#1012628).
- x86: ACPI: fix CPU hotplug deadlock (bnc#1012628).
- leds: core: Fix warning message when init_data (bnc#1012628).
- drm/nouveau: workaround runpm fail by disabling PCI power
management on certain intel bridges (bnc#1012628).
- KVM: s390: vsie: Fix possible race when shadowing region 3
tables (bnc#1012628).
- compiler.h: fix error in BUILD_BUG_ON() reporting (bnc#1012628).
- percpu_counter: fix a data race at vm_committed_as
(bnc#1012628).
- include/linux/swapops.h: correct guards for non_swap_entry()
(bnc#1012628).
- drm/nouveau/svm: fix vma range check for migration
(bnc#1012628).
- drm/nouveau/svm: check for SVM initialized before migrating
(bnc#1012628).
- macsec: fix NULL dereference in macsec_upd_offload()
(bnc#1012628).
- mm/hugetlb: fix build failure with HUGETLB_PAGE but not
HUGEBTLBFS (bnc#1012628).
- platform/x86: intel-hid: fix: Update Tiger Lake ACPI device ID
(bnc#1012628).
- dt-bindings: thermal: tsens: Fix nvmem-cell-names schema
(bnc#1012628).
- drm/amd/display: Don't try hdcp1.4 when content_type is set
to type1 (bnc#1012628).
- x86/xen: Make the boot CPU idle task reliable (bnc#1012628).
- cifs: Allocate encryption header through kmalloc (bnc#1012628).
- um: ubd: Prevent buffer overrun on command completion
(bnc#1012628).
- ext4: do not commit super on read-only bdev (bnc#1012628).
- nfsroot: set tcp as the default transport protocol
(bnc#1012628).
- s390/cpum_sf: Fix wrong page count in error message
(bnc#1012628).
- powerpc/maple: Fix declaration made after definition
(bnc#1012628).
- powerpc/prom_init: Pass the "os-term" message to hypervisor
(bnc#1012628).
- btrfs: add RCU locks around block group initialization
(bnc#1012628).
- hibernate: Allow uswsusp to write to swap (bnc#1012628).
- thermal/drivers/cpufreq_cooling: Fix return of
cpufreq_set_cur_state (bnc#1012628).
- MIPS: DTS: CI20: add DT node for IR sensor (bnc#1012628).
- s390/cpuinfo: fix wrong output when CPU0 is offline
(bnc#1012628).
- f2fs: Add a new CP flag to help fsck fix resize SPO issues
(bnc#1012628).
- f2fs: Fix mount failure due to SPO after a successful online
resize FS (bnc#1012628).
- f2fs: fix to update f2fs_super_block fields under sb_lock
(bnc#1012628).
- NFS: direct.c: Fix memory leak of dreq when nfs_get_lock_context
fails (bnc#1012628).
- phy: uniphier-usb3ss: Add Pro5 support (bnc#1012628).
- drivers: thermal: tsens: Release device in success path
(bnc#1012628).
- f2fs: fix to show norecovery mount option (bnc#1012628).
- KVM: PPC: Book3S HV: Fix H_CEDE return code for nested guests
(bnc#1012628).
- xfs: fix incorrect test in xfs_alloc_ag_vextent_lastblock
(bnc#1012628).
- ARM: dts: rockchip: fix lvds-encoder ports subnode for
rk3188-bqedison2qc (bnc#1012628).
- NFSv4.2: error out when relink swapfile (bnc#1012628).
- NFSv4/pnfs: Return valid stateids in
nfs_layout_find_inode_by_stateid() (bnc#1012628).
- NFS: alloc_nfs_open_context() must use the file cred when
available (bnc#1012628).
- rtc: 88pm860x: fix possible race condition (bnc#1012628).
- dma-coherent: fix integer overflow in the reserved-memory dma
allocation (bnc#1012628).
- soc: imx: gpc: fix power up sequencing (bnc#1012628).
- arm64: dts: marvell: Fix cpu compatible for AP807-quad
(bnc#1012628).
- arm64: dts: clearfog-gt-8k: set gigabit PHY reset deassert delay
(bnc#1012628).
- arm64: dts: marvell: espressobin: add ethernet alias
(bnc#1012628).
- xfs: fix regression in "cleanup xfs_dir2_block_getdents"
(bnc#1012628).
- xfs: fix use-after-free when aborting corrupt attr inactivation
(bnc#1012628).
- arm64: tegra: Fix Tegra194 PCIe compatible string (bnc#1012628).
- arm64: tegra: Add PCIe endpoint controllers nodes for Tegra194
(bnc#1012628).
- clk: tegra: Fix Tegra PMC clock out parents (bnc#1012628).
- power: supply: bq27xxx_battery: Silence deferred-probe error
(bnc#1012628).
- arm64: dts: allwinner: a64: Fix display clock register range
(bnc#1012628).
- memory: tegra: Correct debugfs clk rate-range on Tegra124
(bnc#1012628).
- memory: tegra: Correct debugfs clk rate-range on Tegra30
(bnc#1012628).
- memory: tegra: Correct debugfs clk rate-range on Tegra20
(bnc#1012628).
- dmaengine: idxd: reflect shadow copy of traffic class
programming (bnc#1012628).
- ARM: dts: rockchip: fix vqmmc-supply property name for
rk3188-bqedison2qc (bnc#1012628).
- f2fs: fix to avoid use-after-free in f2fs_write_multi_pages()
(bnc#1012628).
- f2fs: fix wrong check on F2FS_IOC_FSSETXATTR (bnc#1012628).
- xfs: fix iclog release error check race with shutdown
(bnc#1012628).
- bpf: Reliably preserve btf_trace_xxx types (bnc#1012628).
- f2fs: fix the panic in do_checkpoint() (bnc#1012628).
- f2fs: fix to avoid potential deadlock (bnc#1012628).
- s390/crypto: explicitly memzero stack key material in aes_s390.c
(bnc#1012628).
- net/mlx5e: Enforce setting of a single FEC mode (bnc#1012628).
- crypto: qce - use cryptlen when adding extra sgl (bnc#1012628).
- clk: imx: pll14xx: Add new frequency entries for pll1443x table
(bnc#1012628).
- clk: at91: usb: continue if clk_hw_round_rate() return zero
(bnc#1012628).
- clk: Don't cache errors from clk_ops::get_phase() (bnc#1012628).
- gfs2: clear ail1 list when gfs2 withdraws (bnc#1012628).
- drm/ttm: flush the fence on the bo after we individualize the
reservation object (bnc#1012628).
- block, bfq: invoke flush_idle_tree after reparent_active_queues
in pd_offline (bnc#1012628).
- block, bfq: make reparent_leaf_entity actually work only on
leaf entities (bnc#1012628).
- block, bfq: turn put_queue into release_process_ref in
__bfq_bic_change_cgroup (bnc#1012628).
- afs: Fix race between post-modification dir edit and
readdir/d_revalidate (bnc#1012628).
- afs: Fix afs_d_validate() to set the right directory version
(bnc#1012628).
- afs: Fix rename operation status delivery (bnc#1012628).
- afs: Fix decoding of inline abort codes from version 1 status
records (bnc#1012628).
- afs: Fix missing XDR advance in
xdr_decode_{AFS,YFS}FSFetchStatus() (bnc#1012628).
- x86/Hyper-V: Report crash data in die() when panic_on_oops is
set (bnc#1012628).
- x86/Hyper-V: Report crash register data when
sysctl_record_panic_msg is not set (bnc#1012628).
- x86/Hyper-V: Report crash register data or kmsg before running
crash kernel (bnc#1012628).
- x86/Hyper-V: Trigger crash enlightenment only once during
system crash (bnc#1012628).
- x86/Hyper-V: Free hv_panic_page when fail to register kmsg dump
(bnc#1012628).
- x86/Hyper-V: Unload vmbus channel in hv panic callback
(bnc#1012628).
- of: overlay: kmemleak in dup_and_fixup_symbol_prop()
(bnc#1012628).
- of: unittest: kmemleak in of_unittest_overlay_high_level()
(bnc#1012628).
- of: unittest: kmemleak in of_unittest_platform_populate()
(bnc#1012628).
- of: unittest: kmemleak on changeset destroy (bnc#1012628).
- xsk: Add missing check on user supplied headroom size
(bnc#1012628).
- ALSA: hda: Don't release card at firmware loading error
(bnc#1012628).
- rbd: don't test rbd_dev->opts in rbd_dev_image_release()
(bnc#1012628).
- rbd: call rbd_dev_unprobe() after unwatching and flushing
notifies (bnc#1012628).
- rbd: avoid a deadlock on header_rwsem when flushing notifies
(bnc#1012628).
- irqchip/mbigen: Free msi_desc on device teardown (bnc#1012628).
- netfilter: nf_tables: report EOPNOTSUPP on unsupported
flags/object type (bnc#1012628).
- kbuild, btf: Fix dependencies for DEBUG_INFO_BTF (bnc#1012628).
- ARM: dts: imx6: Use gpc for FEC interrupt controller to fix
wake on LAN (bnc#1012628).
- bpf: Prevent re-mmap()'ing BPF map as writable for initially
r/o mapping (bnc#1012628).
- arm, bpf: Fix bugs with ALU64 {RSH, ARSH} BPF_K shift by 0
(bnc#1012628).
- libbpf: Fix bpf_get_link_xdp_id flags handling (bnc#1012628).
- xsk: Fix out of boundary write in __xsk_rcv_memcpy
(bnc#1012628).
- watchdog: sp805: fix restart handler (bnc#1012628).
- ext4: use non-movable memory for superblock readahead
(bnc#1012628).
- ALSA: hda: Honor PM disablement in PM freeze and thaw_noirq ops
(bnc#1012628).
- scsi: sg: add sg_remove_request in sg_common_write
(bnc#1012628).
- objtool: Fix switch table detection in .text.unlikely
(bnc#1012628).
- arm, bpf: Fix offset overflow for BPF_MEM BPF_DW (bnc#1012628).
- netfilter: nft_set_rbtree: Drop spurious condition for overlap
detection on insertion (bnc#1012628).
- commit 94f4e94
-------------------------------------------------------------------
Wed Apr 22 09:14:10 CEST 2020 - mkubecek@suse.cz
- config.conf: fix order of i386 flavors
Unlike on other architectures, i386/pae is the basic config and others,
including i386/default are diffs against it. With i386/default listed
first, it is also processed first by run_oldconfig.sh so that if there
is a new config option, it is added to i386/default (as it's not present
in i386/pae yet) even if the value is going to be the same as in
i386/pae. To get stable result, one needs to run run_oldconfig.sh twice.
Swat the two entries so that i386/pae is updated first and i386/default
is created as a diff against already updated i386/pae.
- commit 17dfd53
-------------------------------------------------------------------
Wed Apr 22 06:15:55 CEST 2020 - jslaby@suse.cz
- Linux 5.6.6 (bnc#1012628).
- amd-xgbe: Use __napi_schedule() in BH context (bnc#1012628).
- hsr: check protocol version in hsr_newlink() (bnc#1012628).
- l2tp: Allow management of tunnels and session in user namespace
(bnc#1012628).
- net: dsa: mt7530: fix tagged frames pass-through in VLAN-unaware
mode (bnc#1012628).
- net: ipv4: devinet: Fix crash when add/del multicast IP with
autojoin (bnc#1012628).
- net: ipv6: do not consider routes via gateways for anycast
address check (bnc#1012628).
- net: macsec: fix using wrong structure in macsec_changelink()
(bnc#1012628).
- net: phy: micrel: use genphy_read_status for KSZ9131
(bnc#1012628).
- net: qrtr: send msgs from local of same id as broadcast
(bnc#1012628).
- net: revert default NAPI poll timeout to 2 jiffies
(bnc#1012628).
- net: tun: record RX queue in skb before do_xdp_generic()
(bnc#1012628).
- net: dsa: mt7530: move mt7623 settings out off the mt7530
(bnc#1012628).
- net: ethernet: mediatek: move mt7623 settings out off the mt7530
(bnc#1012628).
- net/mlx5: Fix frequent ioread PCI access during recovery
(bnc#1012628).
- net/mlx5e: Add missing release firmware call (bnc#1012628).
- net/mlx5e: Fix nest_level for vlan pop action (bnc#1012628).
- net/mlx5e: Fix pfnum in devlink port attribute (bnc#1012628).
- net: icmp6: do not select saddr from iif when route has prefsrc
set (bnc#1012628).
- net: mscc: ocelot: fix untagged packet drops when enslaving
to vlan aware bridge (bnc#1012628).
- net: stmmac: dwmac-sunxi: Provide TX and RX fifo sizes
(bnc#1012628).
- net: phy: marvell: Fix pause frame negotiation (bnc#1012628).
- Revert "ACPI: EC: Do not clear boot_ec_is_ecdt in acpi_ec_add()"
(bnc#1012628).
- ovl: fix value of i_ino for lower hardlink corner case
(bnc#1012628).
- scsi: ufs: Fix ufshcd_hold() caused scheduling while atomic
(bnc#1012628).
- platform/chrome: cros_ec_rpmsg: Fix race with host event
(bnc#1012628).
- jbd2: improve comments about freeing data buffers whose page
mapping is NULL (bnc#1012628).
- acpi/nfit: improve bounds checking for 'func' (bnc#1012628).
- perf report: Fix no branch type statistics report issue
(bnc#1012628).
- pwm: pca9685: Fix PWM/GPIO inter-operation (bnc#1012628).
- net: stmmac: xgmac: Fix VLAN register handling (bnc#1012628).
- ext4: fix incorrect group count in ext4_fill_super error message
(bnc#1012628).
- ext4: fix incorrect inodes per group in error message
(bnc#1012628).
- HID: lg-g15: Do not fail the probe when we fail to disable F#
emulation (bnc#1012628).
- clk: at91: sam9x60: fix usb clock parents (bnc#1012628).
- clk: at91: usb: use proper usbs_mask (bnc#1012628).
- ARM: dts: imx7-colibri: fix muxing of usbc_det pin
(bnc#1012628).
- arm64: dts: librem5-devkit: add a vbus supply to usb0
(bnc#1012628).
- usb: dwc3: gadget: Don't clear flags before transfer ended
(bnc#1012628).
- ASoC: Intel: mrfld: fix incorrect check on p->sink
(bnc#1012628).
- ASoC: Intel: mrfld: return error codes when an error occurs
(bnc#1012628).
- ALSA: hda/realtek - Enable the headset mic on Asus FX505DT
(bnc#1012628).
- ALSA: usb-audio: Filter error from connector kctl ops, too
(bnc#1012628).
- ALSA: usb-audio: Don't override ignore_ctl_error value from
the map (bnc#1012628).
- ALSA: usb-audio: Don't create jack controls for PCM terminals
(bnc#1012628).
- ALSA: usb-audio: Check mapping at creating connector controls,
too (bnc#1012628).
- arm64: vdso: don't free unallocated pages (bnc#1012628).
- keys: Fix proc_keys_next to increase position index
(bnc#1012628).
- tracing: Fix the race between registering 'snapshot' event
trigger and triggering 'snapshot' operation (bnc#1012628).
- btrfs: check commit root generation in should_ignore_root
(bnc#1012628).
- nl80211: fix NL80211_ATTR_FTM_RESPONDER policy (bnc#1012628).
- mac80211: fix race in ieee80211_register_hw() (bnc#1012628).
- mac80211_hwsim: Use kstrndup() in place of kasprintf()
(bnc#1012628).
- net/mlx5e: Encapsulate updating netdev queues into a function
(bnc#1012628).
- net/mlx5e: Rename hw_modify to preactivate (bnc#1012628).
- net/mlx5e: Use preactivate hook to set the indirection table
(bnc#1012628).
- drm/amd/powerplay: force the trim of the mclk dpm_levels if
OD is enabled (bnc#1012628).
- drm/amdgpu/gfx9: add gfxoff quirk (bnc#1012628).
- drm/amdgpu: fix the hw hang during perform system reboot and
reset (bnc#1012628).
- i2c: designware: platdrv: Remove DPM_FLAG_SMART_SUSPEND flag
on BYT and CHT (bnc#1012628).
- drm/nouveau/sec2/gv100-: add missing MODULE_FIRMWARE()
(bnc#1012628).
- drm/i915/perf: Do not clear pollin for small user read buffers
(bnc#1012628).
- rcu: Don't acquire lock in NMI handler in rcu_nmi_enter_common()
(bnc#1012628).
- ext4: do not zeroout extents beyond i_disksize (bnc#1012628).
- irqchip/ti-sci-inta: Fix processing of masked irqs
(bnc#1012628).
- proc, time/namespace: Show clock symbolic names in
/proc/pid/timens_offsets (bnc#1012628).
- x86/resctrl: Preserve CDP enable over CPU hotplug (bnc#1012628).
- x86/resctrl: Fix invalid attempt at removing the default
resource group (bnc#1012628).
- x86/microcode/AMD: Increase microcode PATCH_MAX_SIZE
(bnc#1012628).
- scsi: target: iscsi: calling iscsit_stop_session() inside
iscsit_close_session() has no effect (bnc#1012628).
- Delete
patches.suse/Revert-ACPI-EC-Do-not-clear-boot_ec_is_ecdt-in-acpi_.patch.
- commit c11f000
-------------------------------------------------------------------
Tue Apr 21 14:22:09 CEST 2020 - schwab@suse.de
- config: riscv64: enable left out config options
Enable some config options to reduce difference with x86 config
- commit ffb4ebb
-------------------------------------------------------------------
Tue Apr 21 14:13:11 CEST 2020 - mkubecek@suse.cz
- series.conf: cleanup
- fix Patch-mainline and move to more appropriate place:
patches.suse/s390-export-symbols-for-crash-kmp.patch
- commit d3c6449
-------------------------------------------------------------------
Mon Apr 20 13:35:14 CEST 2020 - tiwai@suse.de
- Update config files: revert CONFIG_SND_HDA_PREALLOC_SIZE changes (bsc#1169471)
- commit 438882a
-------------------------------------------------------------------
Mon Apr 20 12:49:40 CEST 2020 - jslaby@suse.cz
- Revert "ACPI: EC: Do not clear boot_ec_is_ecdt in acpi_ec_add()"
(5.6.5 regression).
- commit 1bdcfdf
-------------------------------------------------------------------
Mon Apr 20 06:16:42 CEST 2020 - mkubecek@suse.cz
- config: enable PCIE_EDR (bsc#1169263)
(x86 only)
- commit e781722
-------------------------------------------------------------------
Mon Apr 20 06:11:03 CEST 2020 - mkubecek@suse.cz
- Update to 5.7-rc2
- eliminated 2 patches
- commit 487485c
-------------------------------------------------------------------
Mon Apr 20 02:02:43 CEST 2020 - mbrugger@suse.com
- armv7hl: Update config files.
Build MediaTek watchdog as built-in.
- commit dfe578b
-------------------------------------------------------------------
Fri Apr 17 19:32:50 CEST 2020 - jslaby@suse.cz
- Linux 5.6.5 (bnc#1012628).
- media: rc: add keymap for Videostrong KII Pro (bnc#1012628).
- cpufreq: imx6q: Fixes unwanted cpu overclocking on i.MX6ULL
(bnc#1012628).
- EDAC/mc: Report "unknown memory" on too many DIMM labels found
(bnc#1012628).
- usb: ucsi: ccg: disable runtime pm during fw flashing
(bnc#1012628).
- staging: wilc1000: avoid double unlocking of 'wilc->hif_cs'
mutex (bnc#1012628).
- media: vimc: streamer: fix memory leak in vimc subdevs if
kthread_run fails (bnc#1012628).
- media: hantro: fix extra MV/MC sync space calculation
(bnc#1012628).
- media: staging: rkisp1: use consistent bus_info string for
media_dev (bnc#1012628).
- media: staging: rkisp1: isp: do not set invalid mbus code for
pad (bnc#1012628).
- media: venus: hfi_parser: Ignore HEVC encoding for V1
(bnc#1012628).
- firmware: arm_sdei: fix double-lock on hibernate with shared
events (bnc#1012628).
- media: arm64: dts: amlogic: add rc-videostrong-kii-pro keymap
(bnc#1012628).
- usb: phy: tegra: Include proper GPIO consumer header to fix
compile testing (bnc#1012628).
- arm64/mm: Hold memory hotplug lock while walking for kernel
page table dump (bnc#1012628).
- sched/vtime: Prevent unstable evaluation of WARN(vtime->state)
(bnc#1012628).
- iio: imu: st_lsm6dsx: check return value from
st_lsm6dsx_sensor_set_enable (bnc#1012628).
- null_blk: Fix the null_add_dev() error path (bnc#1012628).
- blk-mq: Fix a recently introduced regression in
blk_mq_realloc_hw_ctxs() (bnc#1012628).
- null_blk: Handle null_add_dev() failures properly (bnc#1012628).
- null_blk: Suppress an UBSAN complaint triggered when setting
'memory_backed' (bnc#1012628).
- null_blk: fix spurious IO errors after failed past-wp access
(bnc#1012628).
- media: imx: imx7_mipi_csis: Power off the source when stopping
streaming (bnc#1012628).
- media: imx: imx7-media-csi: Fix video field handling
(bnc#1012628).
- xhci: bail out early if driver can't accress host in resume
(bnc#1012628).
- ACPI: EC: Do not clear boot_ec_is_ecdt in acpi_ec_add()
(bnc#1012628).
- clocksource/drivers/timer-microchip-pit64b: Fix rate for gck
(bnc#1012628).
- x86: Don't let pgprot_modify() change the page encryption bit
(bnc#1012628).
- dma-mapping: Fix dma_pgprot() for unencrypted coherent pages
(bnc#1012628).
- block: keep bdi->io_pages in sync with max_sectors_kb for
stacked devices (bnc#1012628).
- debugfs: Check module state before warning in
{full/open}_proxy_open() (bnc#1012628).
- spi: spi-fsl-dspi: Avoid NULL pointer in dspi_slave_abort for
non-DMA mode (bnc#1012628).
- irqchip/versatile-fpga: Handle chained IRQs properly
(bnc#1012628).
- time/sched_clock: Expire timer in hardirq context (bnc#1012628).
- irqchip/gic-v4.1: Skip absent CPUs while iterating over
redistributors (bnc#1012628).
- media: allegro: fix type of gop_length in channel_create message
(bnc#1012628).
- sched: Avoid scale real weight down to zero (bnc#1012628).
- sched/fair: Fix condition of avg_load calculation (bnc#1012628).
- selftests/x86/ptrace_syscall_32: Fix no-vDSO segfault
(bnc#1012628).
- PCI/switchtec: Fix init_completion race condition with
poll_wait() (bnc#1012628).
- block, bfq: move forward the getting of an extra ref in
bfq_bfqq_move (bnc#1012628).
- media: i2c: video-i2c: fix build errors due to 'imply hwmon'
(bnc#1012628).
- libata: Remove extra scsi_host_put() in ata_scsi_add_hosts()
(bnc#1012628).
- pstore/platform: fix potential mem leak if pstore_init_fs failed
(bnc#1012628).
- gfs2: Do log_flush in gfs2_ail_empty_gl even if ail list is
empty (bnc#1012628).
- gfs2: Don't demote a glock until its revokes are written
(bnc#1012628).
- cpufreq: imx6q: fix error handling (bnc#1012628).
- x86/boot: Use unsigned comparison for addresses (bnc#1012628).
- efi/x86: Ignore the memory attributes table on i386
(bnc#1012628).
- genirq/irqdomain: Check pointer in
irq_domain_alloc_irqs_hierarchy() (bnc#1012628).
- block: Fix use-after-free issue accessing struct io_cq
(bnc#1012628).
- block, zoned: fix integer overflow with BLKRESETZONE et al
(bnc#1012628).
- media: mtk-vpu: avoid unaligned access to DTCM buffer
(bnc#1012628).
- media: i2c: ov5695: Fix power on and off sequences
(bnc#1012628).
- usb: dwc3: core: add support for disabling SS instances in
park mode (bnc#1012628).
- irqchip/gic-v4: Provide irq_retrigger to avoid circular locking
dependency (bnc#1012628).
- md: check arrays is suspended in mddev_detach before call
quiesce operations (bnc#1012628).
- firmware: fix a double abort case with fw_load_sysfs_fallback
(bnc#1012628).
- spi: spi-fsl-dspi: Replace interruptible wait queue with a
simple completion (bnc#1012628).
- locking/lockdep: Avoid recursion in
lockdep_count_{for,back}ward_deps() (bnc#1012628).
- staging: mt7621-pci: avoid to poweroff the phy for slot one
(bnc#1012628).
- block, bfq: fix use-after-free in bfq_idle_slice_timer_body
(bnc#1012628).
- btrfs: qgroup: ensure qgroup_rescan_running is only set when
the worker is at least queued (bnc#1012628).
- btrfs: remove a BUG_ON() from merge_reloc_roots() (bnc#1012628).
- btrfs: restart relocate_tree_blocks properly (bnc#1012628).
- btrfs: track reloc roots based on their commit root bytenr
(bnc#1012628).
- ASoC: fix regwmask (bnc#1012628).
- ASoC: dapm: connect virtual mux with default value
(bnc#1012628).
- ASoC: dpcm: allow start or stop during pause for backend
(bnc#1012628).
- ASoC: topology: use name_prefix for new kcontrol (bnc#1012628).
- usb: gadget: f_fs: Fix use after free issue as part of queue
failure (bnc#1012628).
- usb: gadget: composite: Inform controller driver of self-powered
(bnc#1012628).
- ALSA: usb-audio: Add mixer workaround for TRX40 and co
(bnc#1012628).
- ALSA: hda: Add driver blacklist (bnc#1012628).
- ALSA: hda: Fix potential access overflow in beep helper
(bnc#1012628).
- ALSA: ice1724: Fix invalid access for enumerated ctl items
(bnc#1012628).
- ALSA: pcm: oss: Fix regression by buffer overflow fix
(bnc#1012628).
- ALSA: hda/realtek: Enable mute LED on an HP system
(bnc#1012628).
- ALSA: hda/realtek - a fake key event is triggered by running
shutup (bnc#1012628).
- ALSA: doc: Document PC Beep Hidden Register on Realtek ALC256
(bnc#1012628).
- ALSA: hda/realtek - Set principled PC Beep configuration for
ALC256 (bnc#1012628).
- ALSA: hda/realtek - Remove now-unnecessary XPS 13 headphone
noise fixups (bnc#1012628).
- ALSA: hda/realtek - Add quirk for Lenovo Carbon X1 8th gen
(bnc#1012628).
- ALSA: hda/realtek - Add quirk for MSI GL63 (bnc#1012628).
- media: venus: cache vb payload to be used by clock scaling
(bnc#1012628).
- media: venus: firmware: Ignore secure call error on first resume
(bnc#1012628).
- media: hantro: Read be32 words starting at every fourth byte
(bnc#1012628).
- media: ti-vpe: cal: fix disable_irqs to only the intended target
(bnc#1012628).
- media: ti-vpe: cal: fix a kernel oops when unloading module
(bnc#1012628).
- seccomp: Add missing compat_ioctl for notify (bnc#1012628).
- ACPI: EC: Avoid printing confusing messages in acpi_ec_setup()
(bnc#1012628).
- acpi/x86: ignore unspecified bit positions in the ACPI global
lock field (bnc#1012628).
- ACPICA: Allow acpi_any_gpe_status_set() to skip one GPE
(bnc#1012628).
- ACPI: PM: s2idle: Refine active GPEs check (bnc#1012628).
- thermal: int340x_thermal: fix: Update Tiger Lake ACPI device
IDs (bnc#1012628).
- thermal: devfreq_cooling: inline all stubs for
CONFIG_DEVFREQ_THERMAL=n (bnc#1012628).
- nvmet-tcp: fix maxh2cdata icresp parameter (bnc#1012628).
- nvme-fc: Revert "add module to ops template to allow module
references" (bnc#1012628).
- efi/x86: Add TPM related EFI tables to unencrypted mapping
checks (bnc#1012628).
- PCI: pciehp: Fix indefinite wait on sysfs requests
(bnc#1012628).
- PCI/ASPM: Clear the correct bits when enabling L1 substates
(bnc#1012628).
- PCI: Add boot interrupt quirk mechanism for Xeon chipsets
(bnc#1012628).
- PCI: qcom: Fix the fixup of PCI_VENDOR_ID_QCOM (bnc#1012628).
- PCI: endpoint: Fix for concurrent memory allocation in OB
address region (bnc#1012628).
- erofs: correct the remaining shrink objects (bnc#1012628).
- sched/fair: Fix enqueue_task_fair warning (bnc#1012628).
- tpm: Don't make log failures fatal (bnc#1012628).
- tpm: tpm1_bios_measurements_next should increase position index
(bnc#1012628).
- tpm: tpm2_bios_measurements_next should increase position index
(bnc#1012628).
- KEYS: reaching the keys quotas correctly (bnc#1012628).
- mmc: mmci_sdmmc: Fix clear busyd0end irq flag (bnc#1012628).
- rcu: Make rcu_barrier() account for offline no-CBs CPUs
(bnc#1012628).
- cpu/hotplug: Ignore pm_wakeup_pending() for
disable_nonboot_cpus() (bnc#1012628).
- genirq/debugfs: Add missing sanity checks to interrupt injection
(bnc#1012628).
- irqchip/versatile-fpga: Apply clear-mask earlier (bnc#1012628).
- io_uring: ensure openat sets O_LARGEFILE if needed
(bnc#1012628).
- io_uring: remove bogus RLIMIT_NOFILE check in file registration
(bnc#1012628).
- io_uring: fix ctx refcounting in io_submit_sqes() (bnc#1012628).
- pstore: pstore_ftrace_seq_next should increase position index
(bnc#1012628).
- MIPS/tlbex: Fix LDDIR usage in setup_pw() for Loongson-3
(bnc#1012628).
- MIPS: OCTEON: irq: Fix potential NULL pointer dereference
(bnc#1012628).
- PM / Domains: Allow no domain-idle-states DT property in genpd
when parsing (bnc#1012628).
- PM: sleep: wakeup: Skip wakeup_source_sysfs_remove() if device
is not there (bnc#1012628).
- ath9k: Handle txpower changes even when TPC is disabled
(bnc#1012628).
- signal: Extend exec_id to 64bits (bnc#1012628).
- x86/tsc_msr: Use named struct initializers (bnc#1012628).
- x86/tsc_msr: Fix MSR_FSB_FREQ mask for Cherry Trail devices
(bnc#1012628).
- x86/tsc_msr: Make MSR derived TSC frequency more accurate
(bnc#1012628).
- x86/entry/32: Add missing ASM_CLAC to general_protection entry
(bnc#1012628).
- platform/x86: asus-wmi: Support laptops where the first battery
is named BATT (bnc#1012628).
- KVM: PPC: Book3S HV: Skip kvmppc_uvmem_free if Ultravisor is
not supported (bnc#1012628).
- KVM: nVMX: Properly handle userspace interrupt window request
(bnc#1012628).
- KVM: s390: vsie: Fix region 1 ASCE sanity shadow address checks
(bnc#1012628).
- KVM: s390: vsie: Fix delivery of addressing exceptions
(bnc#1012628).
- KVM: x86: Allocate new rmap and large page tracking when moving
memslot (bnc#1012628).
- KVM: VMX: Always VMCLEAR in-use VMCSes during crash with kexec
support (bnc#1012628).
- KVM: x86: Gracefully handle __vmalloc() failure during VM
allocation (bnc#1012628).
- KVM: VMX: Add a trampoline to fix VMREAD error handling
(bnc#1012628).
- KVM: VMX: fix crash cleanup when KVM wasn't used (bnc#1012628).
- smb3: fix performance regression with setting mtime
(bnc#1012628).
- CIFS: Fix bug which the return value by asynchronous read is
error (bnc#1012628).
- CIFS: check new file size when extending file by fallocate
(bnc#1012628).
- mtd: spinand: Stop using spinand->oobbuf for buffering bad
block markers (bnc#1012628).
- mtd: spinand: Do not erase the block before writing a bad
block marker (bnc#1012628).
- mtd: rawnand: cadence: fix the calculation of the avaialble
OOB size (bnc#1012628).
- mtd: rawnand: cadence: change bad block marker size
(bnc#1012628).
- mtd: rawnand: cadence: reinit completion before executing a
new command (bnc#1012628).
- drm/i915/gen12: Disable preemption timeout (bnc#1012628).
- btrfs: Don't submit any btree write bio if the fs has errors
(bnc#1012628).
- btrfs: fix btrfs_calc_reclaim_metadata_size calculation
(bnc#1012628).
- Btrfs: fix crash during unmount due to race with delayed inode
workers (bnc#1012628).
- btrfs: reloc: clean dirty subvols if we fail to start a
transaction (bnc#1012628).
- btrfs: set update the uuid generation as soon as possible
(bnc#1012628).
- btrfs: drop block from cache on error in relocation
(bnc#1012628).
- btrfs: fix missing file extent item for hole after ranged fsync
(bnc#1012628).
- btrfs: unset reloc control if we fail to recover (bnc#1012628).
- btrfs: fix missing semaphore unlock in btrfs_sync_file
(bnc#1012628).
- btrfs: use nofs allocations for running delayed items
(bnc#1012628).
- remoteproc: qcom_q6v5_mss: Don't reassign mpss region on
shutdown (bnc#1012628).
- remoteproc: qcom_q6v5_mss: Reload the mba region on coredump
(bnc#1012628).
- remoteproc: Fix NULL pointer dereference in rproc_virtio_notify
(bnc#1012628).
- time/namespace: Fix time_for_children symlink (bnc#1012628).
- time/namespace: Add max_time_namespaces ucount (bnc#1012628).
- crypto: rng - Fix a refcounting bug in crypto_rng_reset()
(bnc#1012628).
- crypto: mxs-dcp - fix scatterlist linearization for hash
(bnc#1012628).
- io_uring: honor original task RLIMIT_FSIZE (bnc#1012628).
- scsi: mpt3sas: Fix kernel panic observed on soft HBA unplug
(bnc#1012628).
- tools: gpio: Fix out-of-tree build regression (bnc#1012628).
- net: qualcomm: rmnet: Allow configuration updates to existing
devices (bnc#1012628).
- arm64: dts: allwinner: h6: Fix PMU compatible (bnc#1012628).
- sched/core: Remove duplicate assignment in sched_tick_remote()
(bnc#1012628).
- arm64: dts: allwinner: h5: Fix PMU compatible (bnc#1012628).
- mm, memcg: do not high throttle allocators based on wraparound
(bnc#1012628).
- dm writecache: add cond_resched to avoid CPU hangs
(bnc#1012628).
- dm integrity: fix a crash with unusually large tag size
(bnc#1012628).
- dm verity fec: fix memory leak in verity_fec_dtr (bnc#1012628).
- dm zoned: remove duplicate nr_rnd_zones increase in
dmz_init_zone() (bnc#1012628).
- dm clone: Fix handling of partial region discards (bnc#1012628).
- dm clone: Add overflow check for number of regions
(bnc#1012628).
- dm clone: Add missing casts to prevent overflows and data
corruption (bnc#1012628).
- dm clone metadata: Fix return type of
dm_clone_nr_of_hydrated_regions() (bnc#1012628).
- XArray: Fix xas_pause for large multi-index entries
(bnc#1012628).
- xarray: Fix early termination of xas_for_each_marked
(bnc#1012628).
- crypto: caam/qi2 - fix chacha20 data size error (bnc#1012628).
- crypto: caam - update xts sector size for large input length
(bnc#1012628).
- crypto: ccree - protect against empty or NULL scatterlists
(bnc#1012628).
- crypto: ccree - only try to map auth tag if needed
(bnc#1012628).
- crypto: ccree - dec auth tag size from cryptlen map
(bnc#1012628).
- scsi: zfcp: fix missing erp_lock in port recovery trigger for
point-to-point (bnc#1012628).
- scsi: ufs: fix Auto-Hibern8 error detection (bnc#1012628).
- scsi: lpfc: Fix lpfc_io_buf resource leak in
lpfc_get_scsi_buf_s4 error path (bnc#1012628).
- scsi: lpfc: Fix broken Credit Recovery after driver load
(bnc#1012628).
- ARM: dts: exynos: Fix polarity of the LCD SPI bus on
UniversalC210 board (bnc#1012628).
- arm64: dts: ti: k3-am65: Add clocks to dwc3 nodes (bnc#1012628).
- arm64: armv8_deprecated: Fix undef_hook mask for thumb setend
(bnc#1012628).
- selftests: vm: drop dependencies on page flags from mlock2 tests
(bnc#1012628).
- selftests/vm: fix map_hugetlb length used for testing read
and write (bnc#1012628).
- selftests/powerpc: Add tlbie_test in .gitignore (bnc#1012628).
- selftests/powerpc: Fix try-run when source tree is not writable
(bnc#1012628).
- vfio: platform: Switch to platform_get_irq_optional()
(bnc#1012628).
- drm/i915/gem: Flush all the reloc_gpu batch (bnc#1012628).
- drm/bridge: analogix-anx78xx: Fix drm_dp_link helper removal
(bnc#1012628).
- drm/etnaviv: rework perfmon query infrastructure (bnc#1012628).
- drm: Remove PageReserved manipulation from drm_pci_alloc
(bnc#1012628).
- drm/amdgpu/powerplay: using the FCLK DPM table to set the MCLK
(bnc#1012628).
- drm/amd/powerplay: implement the is_dpm_running() (bnc#1012628).
- drm/amdgpu: unify fw_write_wait for new gfx9 asics
(bnc#1012628).
- drm/amd/display: Check for null fclk voltage when parsing
clock table (bnc#1012628).
- drm/prime: fix extracting of the DMA addresses from a
scatterlist (bnc#1012628).
- drm/i915/icl+: Don't enable DDI IO power on a TypeC port in
TBT mode (bnc#1012628).
- powerpc/pseries: Avoid NULL pointer dereference when drmem is
unavailable (bnc#1012628).
- drm/vboxvideo: Add missing remove_conflicting_pci_framebuffers
call, v2 (bnc#1012628).
- nfsd: fsnotify on rmdir under nfsd/clients/ (bnc#1012628).
- NFS: Fix use-after-free issues in nfs_pageio_add_request()
(bnc#1012628).
- NFS: Fix a page leak in nfs_destroy_unlinked_subrequests()
(bnc#1012628).
- NFS: finish_automount() requires us to hold 2 refs to the
mount record (bnc#1012628).
- NFS: Fix a few constant_table array definitions (bnc#1012628).
- ext4: fix a data race at inode->i_blocks (bnc#1012628).
- drm/i915/gt: Treat idling as a RPS downclock event
(bnc#1012628).
- ASoC: cs4270: pull reset GPIO low then high (bnc#1012628).
- fs/filesystems.c: downgrade user-reachable WARN_ONCE() to
pr_warn_once() (bnc#1012628).
- ocfs2: no need try to truncate file beyond i_size (bnc#1012628).
- perf tools: Support Python 3.8+ in Makefile (bnc#1012628).
- s390/diag: fix display of diagnose call statistics
(bnc#1012628).
- Input: i8042 - add Acer Aspire 5738z to nomux list
(bnc#1012628).
- ftrace/kprobe: Show the maxactive number on kprobe_events
(bnc#1012628).
- clk: ingenic/jz4770: Exit with error if CGU init failed
(bnc#1012628).
- clk: ingenic/TCU: Fix round_rate returning error (bnc#1012628).
- kmod: make request_module() return an error when autoloading
is disabled (bnc#1012628).
- cpufreq: powernv: Fix use-after-free (bnc#1012628).
- hfsplus: fix crash and filesystem corruption when deleting files
(bnc#1012628).
- libata: Return correct status in sata_pmp_eh_recover_pm()
when ATA_DFLAG_DETACH is set (bnc#1012628).
- ipmi: fix hung processes in __get_guid() (bnc#1012628).
- xen/blkfront: fix memory allocation flags in
blkfront_setup_indirect() (bnc#1012628).
- scsi: sr: get rid of sr global mutex (bnc#1012628).
- scsi: sr: Fix sr_block_release() (bnc#1012628).
- powerpc: Make setjmp/longjmp signature standard (bnc#1012628).
- powerpc/64/tm: Don't let userspace set regs->trap via sigreturn
(bnc#1012628).
- powerpc/fsl_booke: Avoid creating duplicate tlb1 entry
(bnc#1012628).
- powerpc/hash64/devmap: Use H_PAGE_THP_HUGE when setting up
huge devmap PTE entries (bnc#1012628).
- powerpc/xive: Use XIVE_BAD_IRQ instead of zero to catch non
configured IPIs (bnc#1012628).
- powerpc/64: Setup a paca before parsing device tree etc
(bnc#1012628).
- powerpc/xive: Fix xmon support on the PowerNV platform
(bnc#1012628).
- powerpc/kprobes: Ignore traps that happened in real mode
(bnc#1012628).
- powerpc/64: Prevent stack protection in early boot
(bnc#1012628).
- arm64: Always force a branch protection mode when the compiler
has one (bnc#1012628).
- Revert "drm/dp_mst: Remove VCPI while disabling topology mgr"
(bnc#1012628).
- drm/dp_mst: Fix clearing payload state on topology disable
(bnc#1012628).
- drm/amdgpu: fix gfx hang during suspend with video playback (v2)
(bnc#1012628).
- drm/i915/ggtt: do not set bits 1-11 in gen12 ptes (bnc#1012628).
- drm/i915/gt: Fill all the unused space in the GGTT
(bnc#1012628).
- perf/core: Unify {pinned,flexible}_sched_in() (bnc#1012628).
- perf/core: Fix event cgroup tracking (bnc#1012628).
- perf/core: Remove 'struct sched_in_data' (bnc#1012628).
- powerpc/kasan: Fix kasan_remap_early_shadow_ro() (bnc#1012628).
- bpf: Fix tnum constraints for 32-bit comparisons (bnc#1012628).
- mfd: dln2: Fix sanity checking for endpoints (bnc#1012628).
- ASoC: stm32: sai: Add missing cleanup (bnc#1012628).
- commit 6656dc4
-------------------------------------------------------------------
Wed Apr 15 18:05:40 CEST 2020 - tiwai@suse.de
- Update config files: fix vanilla config for CONFIG_SND_HDA_PREALLOC_SIZE
Those have to removed from vanilla config once after the fix went into
5.6.y stable tree and backported.
- commit 902100b
-------------------------------------------------------------------
Wed Apr 15 12:33:48 CEST 2020 - msuchanek@suse.de
- rpm/check-for-config-changes: Ignore CONFIG_LD_VERSION
- commit e60242e
-------------------------------------------------------------------
Wed Apr 15 10:57:46 CEST 2020 - tiwai@suse.de
- ALSA: hda: Allow setting preallocation again for x86
(bsc#1169471).
- Update config files: revert CONFIG_SND_HDA_PREALLOC_SIZE changes
- commit 2cd7ffd
-------------------------------------------------------------------
Tue Apr 14 21:43:55 CEST 2020 - schwab@suse.de
- Add config files for riscv64
- commit b85c09e
-------------------------------------------------------------------
Mon Apr 13 20:13:10 CEST 2020 - jslaby@suse.cz
- Linux 5.6.4 (bnc#1012628).
- driver core: Reevaluate dev->links.need_for_probe as suppliers
are added (bnc#1012628).
- io-uring: drop completion when removing file (bnc#1012628).
- fbcon: fix null-ptr-deref in fbcon_switch (bnc#1012628).
- blk-mq: Keep set->nr_hw_queues and set->map[].nr_queues in sync
(bnc#1012628).
- RDMA/cm: Update num_paths in cma_resolve_iboe_route error flow
(bnc#1012628).
- Bluetooth: RFCOMM: fix ODEBUG bug in rfcomm_dev_ioctl
(bnc#1012628).
- RDMA/siw: Fix passive connection establishment (bnc#1012628).
- RDMA/cma: Teach lockdep about the order of rtnl and lock
(bnc#1012628).
- RDMA/ucma: Put a lock around every call to the rdma_cm layer
(bnc#1012628).
- ubi: fastmap: Free unused fastmap anchor peb during detach
(bnc#1012628).
- IB/mlx5: Replace tunnel mpls capability bits for tunnel_offloads
(bnc#1012628).
- IB/hfi1: Fix memory leaks in sysfs registration and
unregistration (bnc#1012628).
- IB/hfi1: Call kobject_put() when kobject_init_and_add() fails
(bnc#1012628).
- ASoC: jz4740-i2s: Fix divider written at incorrect offset in
register (bnc#1012628).
- ASoC: tas2562: Fixed incorrect amp_level setting (bnc#1012628).
- platform/x86: intel_int0002_vgpio: Use
acpi_register_wakeup_handler() (bnc#1012628).
- ACPI: PM: Add acpi_[un]register_wakeup_handler() (bnc#1012628).
- hwrng: imx-rngc - fix an error path (bnc#1012628).
- tools/accounting/getdelays.c: fix netlink attribute length
(bnc#1012628).
- slub: improve bit diffusion for freelist ptr obfuscation
(bnc#1012628).
- usb: dwc3: gadget: Wrap around when skip TRBs (bnc#1012628).
- random: always use batched entropy for get_random_u{32,64}
(bnc#1012628).
- s390: prevent leaking kernel address in BEAR (bnc#1012628).
- net: phy: at803x: fix clock sink configuration on ATH8030 and
ATH8035 (bnc#1012628).
- cxgb4: free MQPRIO resources in shutdown path (bnc#1012628).
- r8169: change back SG and TSO to be disabled by default
(bnc#1012628).
- mlxsw: spectrum_flower: Do not stop at FLOW_ACTION_VLAN_MANGLE
(bnc#1012628).
- tun: Don't put_page() for all negative return values from XDP
program (bnc#1012628).
- slcan: Don't transmit uninitialized stack data in padding
(bnc#1012628).
- net: stmmac: dwmac1000: fix out-of-bounds mac address reg
setting (bnc#1012628).
- net_sched: fix a missing refcnt in tcindex_init() (bnc#1012628).
- net_sched: add a temporary refcnt for struct tcindex_data
(bnc#1012628).
- net: phy: micrel: kszphy_resume(): add delay after
genphy_resume() before accessing PHY registers (bnc#1012628).
- net: dsa: mt7530: fix null pointer dereferencing in port5 setup
(bnc#1012628).
- net: dsa: bcm_sf2: Ensure correct sub-node is parsed
(bnc#1012628).
- net: dsa: bcm_sf2: Do not register slave MDIO bus with OF
(bnc#1012628).
- ipv6: don't auto-add link-local address to lag ports
(bnc#1012628).
- cxgb4: fix MPS index overwrite when setting MAC address
(bnc#1012628).
- commit e42da4f
-------------------------------------------------------------------
Mon Apr 13 02:01:33 CEST 2020 - mkubecek@suse.cz
- Update to 5.7-rc1
- eliminated 70 patches (66 stable, 4 other)
- disable ARM architectures (need config update)
- refresh
- patches.suse/supported-flag
- patches.suse/supported-flag-external
- patches.suse/supported-flag-modverdir
- patches.suse/vfs-add-super_operations-get_inode_dev
- patches.suse/acpi_thinkpad_introduce_acpi_root_table_boot_param.patch
- patches.suse/btrfs-btrfs-use-the-new-VFS-super_block_dev.patch
- fix s390x build:
patches.suse/tty-sysrq-Export-sysrq_mask.patch
- new config options:
- General setup
- CONFIG_SCHED_THERMAL_PRESSURE=y
- CONFIG_BPF_LSM=y
- Power management and ACPI options
- CONFIG_ACPI_TINY_POWER_BUTTON=m
- CONFIG_ACPI_TINY_POWER_BUTTON_SIGNAL=38
- Memory Management options
- CONFIG_ZSWAP_COMPRESSOR_DEFAULT_LZO=y
- CONFIG_ZSWAP_ZPOOL_DEFAULT_ZBUD=y
- CONFIG_ZSWAP_DEFAULT_ON=n
- Networking support
- CONFIG_IPV6_RPL_LWTUNNEL=y
- File systems
- CONFIG_EXFAT_FS=m
- CONFIG_EXFAT_DEFAULT_IOCHARSET="utf8"
- Cryptographic API
- CONFIG_CHELSIO_TLS_DEVICE=y
- Kernel hacking
- CONFIG_MAGIC_SYSRQ_SERIAL_SEQUENCE=""
- CONFIG_TEST_LOCKUP=n
- CONFIG_TEST_MIN_HEAP=n
- PCI
- CONFIG_PCIE_EDR=n
- Network device drivers
- CONFIG_BAREUDP=m
- CONFIG_MLX5_TC_CT=y
- CONFIG_DWMAC_INTEL=m
- CONFIG_MDIO_MVUSB=m
- CONFIG_BCM84881_PHY=m
- PHY_CADENCE_TORRENT=m (i386, ppc64(le))
- PTP clock support
- CONFIG_PTP_1588_CLOCK_IDT82P33=m
- CONFIG_PTP_1588_CLOCK_VMW=m
- Graphics
- CONFIG_DRM_I915_MAX_REQUEST_BUSYWAIT=8000
- CONFIG_TINYDRM_ILI9486=n
- DRM_PANEL_BOE_TV101WUM_NL6=n
- DRM_PANEL_ELIDA_KD35T133=n
- DRM_PANEL_FEIXIN_K101_IM2BA02=n
- DRM_PANEL_NOVATEK_NT35510=n
- DRM_PANEL_SAMSUNG_S6E88A0_AMS452EF01=n
- DRM_DISPLAY_CONNECTOR=n
- DRM_PARADE_PS8640=n
- DRM_SIMPLE_BRIDGE=n
- DRM_TOSHIBA_TC358768=n
- DRM_TI_TPD12S015=n
- Sound
- CONFIG_SND_SOC_AMD_RV_RT5682_MACH=m
- CONFIG_SND_BCM63XX_I2S_WHISTLER=n
- CONFIG_SND_SOC_INTEL_SOF_PCM512x_MACH=m
- CONFIG_SND_SOC_SOF_DEBUG_PROBES=n
- CONFIG_SND_SOC_RT5682_SDW=n
- CONFIG_SND_SOC_TLV320ADCX140=n
- Input
- CONFIG_HID_GLORIOUS=m
- CONFIG_HID_MCP2221=m
- CONFIG_KEYBOARD_IQS62X=m
- USB
- CONFIG_APPLE_MFI_FASTCHARGE=m
- CONFIG_TYPEC_MUX_INTEL_PMC=m
- Virtio drivers
- CONFIG_VIRTIO_VDPA=m
- CONFIG_VDPA_MENU=y
- CONFIG_VDPA_SIM=m
- CONFIG_IFCVF=m
- CONFIG_VHOST_MENU=y
- CONFIG_VHOST_VDPA=m
- Platform drivers
- CONFIG_SURFACE_3_POWER_OPREGION=m
- CONFIG_CROS_EC_TYPEC=m
- CONFIG_CROS_USBPD_NOTIFY=m
- Industrial I/O
- CONFIG_HMC425=n
- CONFIG_AD5770R=n
- CONFIG_AL3010=n
- CONFIG_GP2AP002=n
- CONFIG_ICP10100=n
- CONFIG_IQS621_ALS=n
- CONFIG_IQS624_POS=n
- CONFIG_IQS620AT_TEMP=n
- Misc drivers
- CONFIG_MHI_BUS=m
- CONFIG_UACCE=m
- CONFIG_ATA_FORCE=y
- CONFIG_SERIAL_SPRD=m
- CONFIG_SPI_MUX=m
- CONFIG_PINCTRL_DA9062=m
- CONFIG_SENSORS_AXI_FAN_CONTROL=m
- CONFIG_MFD_IQS62X=m
- CONFIG_VIDEO_IMX219=m
- CONFIG_MMC_HSQ=m
- CONFIG_DMABUF_MOVE_NOTIFY=n
- CONFIG_PWM_DEBUG=n
- CONFIG_MUX_ADG792A=n
- CONFIG_MUX_ADGS1408=n
- CONFIG_MUX_GPIO=n
- i386
- REGULATOR_MP541=m
- REGULATOR_MP886X=m
- ppc64
- PMU_SYSFS=y
- FSL_ENETC=m
- FSL_ENETC_VF=m
- FSL_ENETC_PTP_CLOCK=m
- FSL_ENETC_QOS=y
- SPI_FSI=m
- REGULATOR_MP5416=m
- REGULATOR_MP886X=m
- s390x
- XILINX_LL_TEMAC=m
- MDIO_XPCS=m
- QETH_OSN=y
- QETH_OSX=y
- commit 84ddad4
-------------------------------------------------------------------
Sun Apr 12 10:56:48 CEST 2020 - mkubecek@suse.cz
- constrants: fix malformed XML
Closing tag of an element is "</foo>", not "<foo/>".
Fixes: 8b37de2eb835 ("rpm/constraints.in: Increase memory for kernel-docs")
- commit 4a8ca28
-------------------------------------------------------------------
Thu Apr 9 15:45:36 CEST 2020 - jslaby@suse.cz
- Refresh
patches.suse/efi-x86-Don-t-remap-text-rodata-gap-read-only-for-mi.patch.
Update upstream status.
- commit 5b340fd
-------------------------------------------------------------------
Thu Apr 9 15:41:53 CEST 2020 - jslaby@suse.cz
- efi/x86: Fix the deletion of variables in mixed mode
(bnc#1167933).
- commit 61c9284
-------------------------------------------------------------------
Thu Apr 9 15:02:07 CEST 2020 - jslaby@suse.cz
- efi/x86: Don't remap text<->rodata gap read-only for mixed mode
(bnc#1168645).
- commit fd9c360
-------------------------------------------------------------------
Thu Apr 9 13:05:51 CEST 2020 - mgorman@suse.de
- Update config files to disable CONFIG_TRACE_IRQFLAGS (bsc#1169078).
- commit d379575
-------------------------------------------------------------------
Thu Apr 9 11:24:12 CEST 2020 - msuchanek@suse.de
- rpm/constraints.in: Increase memory for kernel-docs
References: https://build.opensuse.org/request/show/792664
- commit 8b37de2
-------------------------------------------------------------------
Thu Apr 9 02:44:51 CEST 2020 - neilb@suse.de
- cachefiles: fix corruption of 'ret' (boo#1168841).
- commit 0a79cdc
-------------------------------------------------------------------
Wed Apr 8 18:13:23 CEST 2020 - jslaby@suse.cz
- Linux 5.6.3 (bnc#1012628).
- ipv4: fix a RCU-list lock in fib_triestat_seq_show
(bnc#1012628).
- net: dsa: ksz: Select KSZ protocol tag (bnc#1012628).
- net, ip_tunnel: fix interface lookup with no key (bnc#1012628).
- sctp: fix possibly using a bad saddr with a given dst
(bnc#1012628).
- sctp: fix refcount bug in sctp_wfree (bnc#1012628).
- net: macb: Fix handling of fixed-link node (bnc#1012628).
- net: fix fraglist segmentation reference count leak
(bnc#1012628).
- udp: initialize is_flist with 0 in udp_gro_receive
(bnc#1012628).
- padata: fix uninitialized return value in padata_replace()
(bnc#1012628).
- brcmfmac: abort and release host after error (bnc#1012628).
- XArray: Fix xa_find_next for large multi-index entries
(bnc#1012628).
- drm/bridge: analogix-anx6345: Avoid duplicate -supply suffix
(bnc#1012628).
- misc: rtsx: set correct pcr_ops for rts522A (bnc#1012628).
- misc: pci_endpoint_test: Fix to support > 10 pci-endpoint-test
devices (bnc#1012628).
- misc: pci_endpoint_test: Avoid using module parameter to
determine irqtype (bnc#1012628).
- PCI: sysfs: Revert "rescan" file renames (bnc#1012628).
- coresight: do not use the BIT() macro in the UAPI header
(bnc#1012628).
- mei: me: add cedar fork device ids (bnc#1012628).
- nvmem: release the write-protect pin (bnc#1012628).
- nvmem: check for NULL reg_read and reg_write before
dereferencing (bnc#1012628).
- nvmem: sprd: Fix the block lock operation (bnc#1012628).
- extcon: axp288: Add wakeup support (bnc#1012628).
- power: supply: axp288_charger: Add special handling for HP
Pavilion x2 10 (bnc#1012628).
- Revert "ALSA: uapi: Drop asound.h inclusion from asoc.h"
(bnc#1012628).
- Revert "dm: always call blk_queue_split() in dm_process_bio()"
(bnc#1012628).
- ALSA: hda/ca0132 - Add Recon3Di quirk to handle integrated
sound on EVGA X99 Classified motherboard (bnc#1012628).
- soc: mediatek: knows_txdone needs to be set in Mediatek CMDQ
helper (bnc#1012628).
- perf python: Fix clang detection to strip out options passed
in $CC (bnc#1012628).
- mm: mempolicy: require at least one nodeid for MPOL_PREFERRED
(bnc#1012628).
- commit 97c6e99
-------------------------------------------------------------------
Tue Apr 7 20:14:11 CEST 2020 - msuchanek@suse.de
- s390x: zfcpdump: disable CONFIG_RELOCATABLE (bsc#1168847).
- commit c824449
-------------------------------------------------------------------
Tue Apr 7 18:00:32 CEST 2020 - mgorman@suse.de
- Update config files to disable CONFIG_UCLAMP_TASK (bsc#1168888).
- commit 025835f
-------------------------------------------------------------------
Mon Apr 6 10:40:31 CEST 2020 - jslaby@suse.cz
- net/bpfilter: remove superfluous testing message (bnc#1168664).
- commit 7d8cfa8
-------------------------------------------------------------------
Fri Apr 3 13:15:02 CEST 2020 - msuchanek@suse.de
- Delete patches.rpmify/powerpc-Blacklist-GCC-5.4-6.1-and-6.2.patch.
- commit daf9f5a
-------------------------------------------------------------------
Fri Apr 3 11:11:32 CEST 2020 - yousaf.kaukab@suse.com
- config: arm64: enable cpufreq driver for Jetson Nano and Jetson TX1
- commit 3187813
-------------------------------------------------------------------
Thu Apr 2 17:06:34 CEST 2020 - tiwai@suse.de
- drm/i915/display: Fix mode private_flags comparison at
atomic_check (bsc#1168383).
- commit a83dd8f
-------------------------------------------------------------------
Thu Apr 2 08:31:03 CEST 2020 - jslaby@suse.cz
- bpf: update jmp32 test cases to fix range bound deduction
(bnc#1012628).
- serial: sprd: Fix a dereference warning (bnc#1012628).
- vt: selection, introduce vc_is_sel (bnc#1012628).
- vt: ioctl, switch VT_IS_IN_USE and VT_BUSY to inlines
(bnc#1012628).
- vt: switch vt_dont_switch to bool (bnc#1012628).
- vt: vt_ioctl: remove unnecessary console allocation checks
(bnc#1012628).
- vt: vt_ioctl: fix VT_DISALLOCATE freeing in-use virtual console
(bnc#1012628).
- vt: vt_ioctl: fix use-after-free in vt_in_use() (bnc#1012628).
- platform/x86: pmc_atom: Add Lex 2I385SW to critclk_systems
DMI table (bnc#1012628).
- Linux 5.6.2 (bnc#1012628).
- commit 8dfb75b
-------------------------------------------------------------------
Wed Apr 1 17:20:11 CEST 2020 - jslaby@suse.cz
- Linux 5.6.1 (bnc#1012628).
- media: v4l2-core: fix a use-after-free bug of sd->devnode
(bnc#1012628).
- media: xirlink_cit: add missing descriptor sanity checks
(bnc#1012628).
- media: stv06xx: add missing descriptor sanity checks
(bnc#1012628).
- media: dib0700: fix rc endpoint lookup (bnc#1012628).
- media: ov519: add missing endpoint sanity checks (bnc#1012628).
- libfs: fix infoleak in simple_attr_read() (bnc#1012628).
- ahci: Add Intel Comet Lake H RAID PCI ID (bnc#1012628).
- staging: wfx: annotate nested gc_list vs tx queue locking
(bnc#1012628).
- staging: wfx: fix init/remove vs IRQ race (bnc#1012628).
- staging: wfx: add proper "compatible" string (bnc#1012628).
- staging: wlan-ng: fix use-after-free Read in
hfa384x_usbin_callback (bnc#1012628).
- staging: wlan-ng: fix ODEBUG bug in prism2sta_disconnect_usb
(bnc#1012628).
- staging: rtl8188eu: Add ASUS USB-N10 Nano B1 to device table
(bnc#1012628).
- staging: kpc2000: prevent underflow in cpld_reconfigure()
(bnc#1012628).
- media: usbtv: fix control-message timeouts (bnc#1012628).
- media: flexcop-usb: fix endpoint sanity check (bnc#1012628).
- usb: musb: fix crash with highmen PIO and usbmon (bnc#1012628).
- USB: serial: io_edgeport: fix slab-out-of-bounds read in
edge_interrupt_callback (bnc#1012628).
- USB: cdc-acm: restore capability check order (bnc#1012628).
- USB: serial: option: add Wistron Neweb D19Q1 (bnc#1012628).
- USB: serial: option: add BroadMobi BM806U (bnc#1012628).
- USB: serial: option: add support for ASKEY WWHC050
(bnc#1012628).
- bpf: Undo incorrect __reg_bound_offset32 handling (bnc#1012628).
- commit 1675c56
-------------------------------------------------------------------
Wed Apr 1 07:16:39 CEST 2020 - jslaby@suse.cz
- Refresh
patches.suse/media-go7007-Fix-URB-type-for-interrupt-handling.patch.
Update upstream status.
- commit 96043ad
-------------------------------------------------------------------
Wed Apr 1 07:15:58 CEST 2020 - jslaby@suse.cz
- Refresh
patches.suse/mac80211-fix-authentication-with-iwlwifi-mvm.patch.
Update upstream status -- merged.
- commit 26b6c02
-------------------------------------------------------------------
Mon Mar 30 09:55:50 CEST 2020 - jslaby@suse.cz
- Refresh
patches.suse/media-go7007-Fix-URB-type-for-interrupt-handling.patch.
Update upstream status.
- commit 46fab61
-------------------------------------------------------------------
Mon Mar 30 07:46:22 CEST 2020 - mkubecek@suse.cz
- mac80211: fix authentication with iwlwifi/mvm
(https://lkml.kernel.org/r/20200329.212136.273575061630425724.davem@davemloft.net).
- commit 5032681
-------------------------------------------------------------------
Mon Mar 30 06:48:22 CEST 2020 - mkubecek@suse.cz
- Revert "sign also s390x kernel images (bsc#1163524)"
This reverts commit b38b61155f0a2c3ebca06d4bb0c2e11a19a87f1f.
The pesign-obs-integration changes needed for s390x image signing are
still missing in Factory so that this change breaks s390x builds.
- commit 9544af9
-------------------------------------------------------------------
Mon Mar 30 06:30:50 CEST 2020 - mkubecek@suse.cz
- Update to 5.6 final
- refresh configs
- commit da616f7
-------------------------------------------------------------------
Thu Mar 26 07:14:39 CET 2020 - jslaby@suse.cz
- Linux 5.5.13 (bnc#1012628).
- commit 0af205d
-------------------------------------------------------------------
Wed Mar 25 14:33:28 CET 2020 - jslaby@suse.cz
- driver core: Add dev_has_sync_state() (bnc#1167245).
- commit 9fa62a7
-------------------------------------------------------------------
Wed Mar 25 14:17:33 CET 2020 - jslaby@suse.cz
- driver core: Skip unnecessary work when device doesn't have
sync_state() (bnc#1167245).
- commit 2d483bd
-------------------------------------------------------------------
Wed Mar 25 13:46:40 CET 2020 - jslaby@suse.cz
- Linux 5.5.12 (bnc#1012628).
- locks: fix a potential use-after-free problem when wakeup a
waiter (bnc#1012628).
- locks: reinstate locks_delete_block optimization (bnc#1012628).
- spi: spi-omap2-mcspi: Support probe deferral for DMA channels
(bnc#1012628).
- drm/mediatek: Find the cursor plane instead of hard coding it
(bnc#1012628).
- drm/mediatek: Ensure the cursor plane is on top of other
overlays (bnc#1012628).
- phy: ti: gmii-sel: fix set of copy-paste errors (bnc#1012628).
- phy: ti: gmii-sel: do not fail in case of gmii (bnc#1012628).
- ARM: dts: dra7-l4: mark timer13-16 as pwm capable (bnc#1012628).
- spi: qup: call spi_qup_pm_resume_runtime before suspending
(bnc#1012628).
- powerpc: Include .BTF section (bnc#1012628).
- cifs: fix potential mismatch of UNC paths (bnc#1012628).
- cifs: add missing mount option to /proc/mounts (bnc#1012628).
- ARM: dts: dra7: Add "dma-ranges" property to PCIe RC DT nodes
(bnc#1012628).
- spi: pxa2xx: Add CS control clock quirk (bnc#1012628).
- spi/zynqmp: remove entry that causes a cs glitch (bnc#1012628).
- ARM: dts: bcm283x: Add missing properties to the PWR LED
(bnc#1012628).
- drm/exynos: dsi: propagate error value and silence meaningless
warning (bnc#1012628).
- drm/exynos: dsi: fix workaround for the legacy clock name
(bnc#1012628).
- drm/exynos: hdmi: don't leak enable HDMI_EN regulator if probe
fails (bnc#1012628).
- drivers/perf: fsl_imx8_ddr: Correct the CLEAR bit definition
(bnc#1012628).
- drivers/perf: arm_pmu_acpi: Fix incorrect checking of gicc
pointer (bnc#1012628).
- io-wq: fix IO_WQ_WORK_NO_CANCEL cancellation (bnc#1012628).
- ARM: bcm2835_defconfig: Explicitly restore CONFIG_DEBUG_FS
(bnc#1012628).
- altera-stapl: altera_get_note: prevent write beyond end of 'key'
(bnc#1012628).
- dm bio record: save/restore bi_end_io and bi_integrity
(bnc#1012628).
- dm integrity: use dm_bio_record and dm_bio_restore
(bnc#1012628).
- riscv: avoid the PIC offset of static percpu data in module
beyond 2G limits (bnc#1012628).
- ASoC: stm32: sai: manage rebind issue (bnc#1012628).
- spi: spi_register_controller(): free bus id on error paths
(bnc#1012628).
- riscv: Force flat memory model with no-mmu (bnc#1012628).
- riscv: Fix range looking for kernel image memblock
(bnc#1012628).
- drm/amdgpu: clean wptr on wb when gpu recovery (bnc#1012628).
- drm/amd/display: Clear link settings on MST disable connector
(bnc#1012628).
- drm/amd/display: fix dcc swath size calculations on dcn1
(bnc#1012628).
- xenbus: req->body should be updated before req->state
(bnc#1012628).
- xenbus: req->err should be updated before req->state
(bnc#1012628).
- riscv: fix seccomp reject syscall code path (bnc#1012628).
- parse-maintainers: Mark as executable (bnc#1012628).
- io_uring: fix lockup with timeouts (bnc#1012628).
- binderfs: use refcount for binder control devices too
(bnc#1012628).
- USB: Disable LPM on WD19's Realtek Hub (bnc#1012628).
- usb: quirks: add NO_LPM quirk for RTL8153 based ethernet
adapters (bnc#1012628).
- USB: serial: option: add ME910G1 ECM composition 0x110b
(bnc#1012628).
- usb: chipidea: udc: fix sleeping function called from invalid
context (bnc#1012628).
- usb: host: xhci-plat: add a shutdown (bnc#1012628).
- USB: serial: pl2303: add device-id for HP LD381 (bnc#1012628).
- usb: xhci: apply XHCI_SUSPEND_DELAY to AMD XHCI controller
1022:145c (bnc#1012628).
- usb: typec: ucsi: displayport: Fix NULL pointer dereference
(bnc#1012628).
- usb: typec: ucsi: displayport: Fix a potential race during
registration (bnc#1012628).
- USB: cdc-acm: fix close_delay and closing_wait units in
TIOCSSERIAL (bnc#1012628).
- USB: cdc-acm: fix rounding error in TIOCSSERIAL (bnc#1012628).
- ALSA: line6: Fix endless MIDI read loop (bnc#1012628).
- ALSA: hda/realtek - Enable headset mic of Acer X2660G with
ALC662 (bnc#1012628).
- ALSA: hda/realtek - Enable the headset of Acer N50-600 with
ALC662 (bnc#1012628).
- ALSA: seq: virmidi: Fix running status after receiving sysex
(bnc#1012628).
- ALSA: seq: oss: Fix running status after receiving sysex
(bnc#1012628).
- ALSA: pcm: oss: Avoid plugin buffer overflow (bnc#1012628).
- ALSA: pcm: oss: Remove WARNING from snd_pcm_plug_alloc() checks
(bnc#1012628).
- tty: fix compat TIOCGSERIAL leaking uninitialized memory
(bnc#1012628).
- tty: fix compat TIOCGSERIAL checking wrong function ptr
(bnc#1012628).
- iio: chemical: sps30: fix missing triggered buffer dependency
(bnc#1012628).
- iio: st_sensors: remap SMO8840 to LIS2DH12 (bnc#1012628).
- iio: trigger: stm32-timer: disable master mode when stopping
(bnc#1012628).
- iio: accel: adxl372: Set iio_chan BE (bnc#1012628).
- iio: magnetometer: ak8974: Fix negative raw values in sysfs
(bnc#1012628).
- iio: adc: stm32-dfsdm: fix sleep in atomic context
(bnc#1012628).
- iio: adc: at91-sama5d2_adc: fix differential channels in
triggered mode (bnc#1012628).
- iio: light: vcnl4000: update sampling periods for vcnl4200
(bnc#1012628).
- iio: light: vcnl4000: update sampling periods for vcnl4040
(bnc#1012628).
- mmc: rtsx_pci: Fix support for speed-modes that relies on tuning
(bnc#1012628).
- mmc: sdhci-of-at91: fix cd-gpios for SAMA5D2 (bnc#1012628).
- mmc: sdhci-cadence: set SDHCI_QUIRK2_PRESET_VALUE_BROKEN for
UniPhier (bnc#1012628).
- mmc: sdhci-acpi: Switch signal voltage back to 3.3V on suspend
on external microSD on Lenovo Miix 320 (bnc#1012628).
- mmc: sdhci-acpi: Disable write protect detection on Acer Aspire
Switch 10 (SW5-012) (bnc#1012628).
- CIFS: fiemap: do not return EINVAL if get nothing (bnc#1012628).
- kbuild: Disable -Wpointer-to-enum-cast (bnc#1012628).
- staging: rtl8188eu: Add device id for MERCUSYS MW150US v2
(bnc#1012628).
- staging: greybus: loopback_test: fix poll-mask build breakage
(bnc#1012628).
- staging/speakup: fix get_word non-space look-ahead
(bnc#1012628).
- intel_th: msu: Fix the unexpected state warning (bnc#1012628).
- intel_th: Fix user-visible error codes (bnc#1012628).
- intel_th: pci: Add Elkhart Lake CPU support (bnc#1012628).
- modpost: move the namespace field in Module.symvers last
(bnc#1012628).
- rtc: max8907: add missing select REGMAP_IRQ (bnc#1012628).
- arm64: compat: Fix syscall number of compat_clock_getres
(bnc#1012628).
- xhci: Do not open code __print_symbolic() in xhci trace events
(bnc#1012628).
- btrfs: fix log context list corruption after rename whiteout
error (bnc#1012628).
- drm/amd/amdgpu: Fix GPR read from debugfs (v2) (bnc#1012628).
- drm/lease: fix WARNING in idr_destroy (bnc#1012628).
- stm class: sys-t: Fix the use of time_after() (bnc#1012628).
- memcg: fix NULL pointer dereference in
__mem_cgroup_usage_unregister_event (bnc#1012628).
- mm, memcg: fix corruption on 64-bit divisor in memory.high
throttling (bnc#1012628).
- mm, memcg: throttle allocators based on ancestral memory.high
(bnc#1012628).
- mm/hotplug: fix hot remove failure in SPARSEMEM|!VMEMMAP case
(bnc#1012628).
- mm: do not allow MADV_PAGEOUT for CoW pages (bnc#1012628).
- epoll: fix possible lost wakeup on epoll_ctl() path
(bnc#1012628).
- mm: slub: be more careful about the double cmpxchg of freelist
(bnc#1012628).
- mm, slub: prevent kmalloc_node crashes and memory leaks
(bnc#1012628).
- page-flags: fix a crash at SetPageError(THP_SWAP) (bnc#1012628).
- x86/mm: split vmalloc_sync_all() (bnc#1012628).
- io_uring: NULL-deref for IOSQE_{ASYNC,DRAIN} (bnc#1012628).
- futex: Fix inode life-time issue (bnc#1012628).
- futex: Unbreak futex hashing (bnc#1012628).
- ALSA: hda/realtek: Fix pop noise on ALC225 (bnc#1012628).
- thunderbolt: Fix error code in tb_port_is_width_supported()
(bnc#1012628).
- arm64: smp: fix smp_send_stop() behaviour (bnc#1012628).
- arm64: smp: fix crash_smp_send_stop() behaviour (bnc#1012628).
- nvmet-tcp: set MSG_MORE only if we actually have more to send
(bnc#1012628).
- modpost: Get proper section index by get_secindex() instead
of st_shndx (bnc#1012628).
- btrfs: fix removal of raid[56|1c34} incompat flags after
removing block group (bnc#1012628).
- Revert "drm/i915/tgl: Add extra hdc flush workaround"
(bnc#1012628).
- drm/bridge: dw-hdmi: fix AVI frame colorimetry (bnc#1012628).
- drm/i915/execlists: Track active elements during dequeue
(bnc#1012628).
- drm/i915: Handle all MCR ranges (bnc#1012628).
- staging: greybus: loopback_test: fix potential path truncation
(bnc#1012628).
- staging: greybus: loopback_test: fix potential path truncations
(bnc#1012628).
- kconfig: introduce m32-flag and m64-flag (bnc#1012628).
- int128: fix __uint128_t compiler test in Kconfig (bnc#1012628).
- Refresh patches.suse/supported-flag.
- Update config files.
- commit 19257da
-------------------------------------------------------------------
Mon Mar 23 06:35:03 CET 2020 - mkubecek@suse.cz
- Update to 5.6-rc7
- refresh
patches.suse/supported-flag
- refresh config files:
- CC_HAS_INT128=n on 32-bit architectures (was =y on i386)
- commit 0801cd7
-------------------------------------------------------------------
Sat Mar 21 12:10:56 CET 2020 - jslaby@suse.cz
- Linux 5.5.11 (bnc#1012628).
- ipv4: ensure rcu_read_lock() in cipso_v4_error() (bnc#1012628).
- ARM: 8961/2: Fix Kbuild issue caused by per-task stack protector
GCC plugin (bnc#1012628).
- HID: add ALWAYS_POLL quirk to lenovo pixart mouse (bnc#1012628).
- HID: google: add moonball USB id (bnc#1012628).
- mm: slub: add missing TID bump in kmem_cache_alloc_bulk()
(bnc#1012628).
- ARM: 8958/1: rename missed uaccess .fixup section (bnc#1012628).
- ARM: 8957/1: VDSO: Match ARMv8 timer in cntvct_functional()
(bnc#1012628).
- blk-mq: insert flush request to the front of dispatch queue
(bnc#1012628).
- jbd2: fix data races at struct journal_head (bnc#1012628).
- net: dsa: mv88e6xxx: Fix masking of egress port (bnc#1012628).
- mlxsw: pci: Wait longer before accessing the device after reset
(bnc#1012628).
- sfc: fix timestamp reconstruction at 16-bit rollover points
(bnc#1012628).
- net: rmnet: fix packet forwarding in rmnet bridge mode
(bnc#1012628).
- net: rmnet: fix bridge mode bugs (bnc#1012628).
- net: rmnet: use upper/lower device infrastructure (bnc#1012628).
- net: rmnet: do not allow to change mux id if mux id is
duplicated (bnc#1012628).
- net: rmnet: remove rcu_read_lock in
rmnet_force_unassociate_device() (bnc#1012628).
- net: rmnet: fix suspicious RCU usage (bnc#1012628).
- net: rmnet: fix NULL pointer dereference in rmnet_changelink()
(bnc#1012628).
- net: rmnet: fix NULL pointer dereference in rmnet_newlink()
(bnc#1012628).
- hinic: fix a bug of rss configuration (bnc#1012628).
- hinic: fix a bug of setting hw_ioctxt (bnc#1012628).
- hinic: fix a irq affinity bug (bnc#1012628).
- net: phy: mscc: fix firmware paths (bnc#1012628).
- slip: not call free_netdev before rtnl_unlock in slip_open
(bnc#1012628).
- net: bcmgenet: Clear ID_MODE_DIS in EXT_RGMII_OOB_CTRL when
not needed (bnc#1012628).
- signal: avoid double atomic counter increments for user
accounting (bnc#1012628).
- kbuild: add dt_binding_check to PHONY in a correct place
(bnc#1012628).
- kbuild: add dtbs_check to PHONY (bnc#1012628).
- io_uring: pick up link work on submit reference drop
(bnc#1012628).
- drm/amdgpu: fix memory leak during TDR test(v2) (bnc#1012628).
- io_uring: fix poll_list race for SETUP_IOPOLL|SETUP_SQPOLL
(bnc#1012628).
- blk-mq: insert passthrough request into hctx->dispatch directly
(bnc#1012628).
- net: ll_temac: Handle DMA halt condition caused by buffer
underrun (bnc#1012628).
- net: ll_temac: Fix RX buffer descriptor handling on GFP_ATOMIC
pressure (bnc#1012628).
- net: ll_temac: Add more error handling of dma_map_single()
calls (bnc#1012628).
- net: ll_temac: Fix race condition causing TX hang (bnc#1012628).
- mac80211: rx: avoid RCU list traversal under mutex
(bnc#1012628).
- net: ks8851-ml: Fix IRQ handling and locking (bnc#1012628).
- net: usb: qmi_wwan: restore mtu min/max values after raw_ip
switch (bnc#1012628).
- scsi: libfc: free response frame from GPN_ID (bnc#1012628).
- cfg80211: check reg_rule for NULL in handle_channel_custom()
(bnc#1012628).
- tracing: Fix number printing bug in print_synth_event()
(bnc#1012628).
- selftests/rseq: Fix out-of-tree compilation (bnc#1012628).
- kunit: run kunit_tool from any directory (bnc#1012628).
- riscv: set pmp configuration if kernel is running in M-mode
(bnc#1012628).
- HID: hid-bigbenff: fix race condition for scheduled work during
removal (bnc#1012628).
- HID: hid-bigbenff: call hid_hw_stop() in case of error
(bnc#1012628).
- HID: hid-bigbenff: fix general protection fault caused by
double kfree (bnc#1012628).
- HID: i2c-hid: add Trekstor Surfbook E11B to descriptor override
(bnc#1012628).
- ACPI: watchdog: Set default timeout in probe (bnc#1012628).
- HID: apple: Add support for recent firmware on Magic Keyboards
(bnc#1012628).
- ACPI: watchdog: Allow disabling WDAT at boot (bnc#1012628).
- pinctrl: qcom: ssbi-gpio: Fix fwspec parsing bug (bnc#1012628).
- commit 794b2e9
-------------------------------------------------------------------
Thu Mar 19 18:15:57 CET 2020 - yousaf.kaukab@suse.com
- config: arm64: enable CONFIG_MTD_PHYSMAP_OF
Get rid of CONFIG_MTD_PHYSMAP_COMPAT and enable CONFIG_MTD_PHYSMAP_OF.
Compat maps of zero length (CONFIG_MTD_PHYSMAP_LEN=0x0) doesn't make
sense and driver initialization is bound to fail. So use device-tree
based initialization.
- commit 2205109
-------------------------------------------------------------------
Wed Mar 18 09:53:55 CET 2020 - jslaby@suse.cz
- Linux 5.5.10 (bnc#1012628).
- virtio_balloon: Adjust label in virtballoon_probe (bnc#1012628).
- ALSA: hda/realtek - More constifications (bnc#1012628).
- ALSA: hda/realtek - Add Headset Mic supported for HP cPC
(bnc#1012628).
- ALSA: hda/realtek - Fixed one of HP ALC671 platform Headset
Mic supported (bnc#1012628).
- cgroup, netclassid: periodically release file_lock on classid
updating (bnc#1012628).
- cxgb4: fix checks for max queues to allocate (bnc#1012628).
- gre: fix uninit-value in __iptunnel_pull_header (bnc#1012628).
- inet_diag: return classid for all socket types (bnc#1012628).
- ipv6/addrconf: call ipv6_mc_up() for non-Ethernet interface
(bnc#1012628).
- ipvlan: add cond_resched_rcu() while processing muticast backlog
(bnc#1012628).
- ipvlan: do not add hardware address of master to its unicast
filter list (bnc#1012628).
- ipvlan: do not use cond_resched_rcu() in
ipvlan_process_multicast() (bnc#1012628).
- ipvlan: don't deref eth hdr before checking it's set
(bnc#1012628).
- macvlan: add cond_resched() during multicast processing
(bnc#1012628).
- net: dsa: fix phylink_start()/phylink_stop() calls
(bnc#1012628).
- net: dsa: mv88e6xxx: fix lockup on warm boot (bnc#1012628).
- net: fec: validate the new settings in fec_enet_set_coalesce()
(bnc#1012628).
- net: hns3: fix a not link up issue when fibre port supports
autoneg (bnc#1012628).
- net/ipv6: use configured metric when add peer route
(bnc#1012628).
- netlink: Use netlink header as base to calculate bad attribute
offset (bnc#1012628).
- net: macsec: update SCI upon MAC address change (bnc#1012628).
- net: mscc: ocelot: properly account for VLAN header length
when setting MRU (bnc#1012628).
- net: nfc: fix bounds checking bugs on "pipe" (bnc#1012628).
- net/packet: tpacket_rcv: do not increment ring index on drop
(bnc#1012628).
- net: phy: bcm63xx: fix OOPS due to missing driver name
(bnc#1012628).
- net/smc: cancel event worker during device removal
(bnc#1012628).
- net: stmmac: dwmac1000: Disable ACS if enhanced descs are not
used (bnc#1012628).
- net: systemport: fix index check to avoid an array out of
bounds access (bnc#1012628).
- r8152: check disconnect status after long sleep (bnc#1012628).
- sfc: detach from cb_page in efx_copy_channel() (bnc#1012628).
- slip: make slhc_compress() more robust against malicious packets
(bnc#1012628).
- taprio: Fix sending packets without dequeueing them
(bnc#1012628).
- bonding/alb: make sure arp header is pulled before accessing it
(bnc#1012628).
- bnxt_en: reinitialize IRQs when MTU is modified (bnc#1012628).
- bnxt_en: fix error handling when flashing from file
(bnc#1012628).
- cgroup: memcg: net: do not associate sock with unrelated cgroup
(bnc#1012628).
- net: memcg: late association of sock to memcg (bnc#1012628).
- net: memcg: fix lockdep splat in inet_csk_accept()
(bnc#1012628).
- dt-bindings: net: FMan erratum A050385 (bnc#1012628).
- arm64: dts: ls1043a: FMan erratum A050385 (bnc#1012628).
- fsl/fman: detect FMan erratum A050385 (bnc#1012628).
- dpaa_eth: FMan erratum A050385 workaround (bnc#1012628).
- net: hns3: fix "tc qdisc del" failed issue (bnc#1012628).
- net: hns3: fix RMW issue for VLAN filter switch (bnc#1012628).
- net: hns3: clear port base VLAN when unload PF (bnc#1012628).
- devlink: validate length of param values (bnc#1012628).
- devlink: validate length of region addr/len (bnc#1012628).
- fib: add missing attribute validation for tun_id (bnc#1012628).
- nl802154: add missing attribute validation (bnc#1012628).
- nl802154: add missing attribute validation for dev_type
(bnc#1012628).
- can: add missing attribute validation for termination
(bnc#1012628).
- macsec: add missing attribute validation for port (bnc#1012628).
- openvswitch: add missing attribute validation for hash
(bnc#1012628).
- net: fq: add missing attribute validation for orphan mask
(bnc#1012628).
- net: taprio: add missing attribute validation for txtime delay
(bnc#1012628).
- team: add missing attribute validation for port ifindex
(bnc#1012628).
- team: add missing attribute validation for array index
(bnc#1012628).
- tipc: add missing attribute validation for MTU property
(bnc#1012628).
- nfc: add missing attribute validation for SE API (bnc#1012628).
- nfc: add missing attribute validation for deactivate target
(bnc#1012628).
- nfc: add missing attribute validation for vendor subcommand
(bnc#1012628).
- net: phy: avoid clearing PHY interrupts twice in irq handler
(bnc#1012628).
- net: phy: fix MDIO bus PM PHY resuming (bnc#1012628).
- net/ipv6: need update peer route when modify metric
(bnc#1012628).
- net/ipv6: remove the old peer route if change it to a new one
(bnc#1012628).
- selftests/net/fib_tests: update addr_metric_test for peer
route testing (bnc#1012628).
- s390/qeth: don't reset default_out_queue (bnc#1012628).
- s390/qeth: handle error when backing RX buffer (bnc#1012628).
- net: dsa: Don't instantiate phylink for CPU/DSA ports unless
needed (bnc#1012628).
- net: dsa: mv88e6xxx: Add missing mask of ATU occupancy register
(bnc#1012628).
- net: phy: Avoid multiple suspends (bnc#1012628).
- cgroup: fix psi_show() crash on 32bit ino archs (bnc#1012628).
- cgroup: cgroup_procs_next should increase position index
(bnc#1012628).
- cgroup: Iterate tasks that did not finish do_exit()
(bnc#1012628).
- netfilter: nf_tables: fix infinite loop when expr is not
available (bnc#1012628).
- virtio-blk: fix hw_queue stopped on arbitrary error
(bnc#1012628).
- iommu/vt-d: quirk_ioat_snb_local_iommu: replace WARN_TAINT
with pr_warn + add_taint (bnc#1012628).
- netfilter: nf_conntrack: ct_cpu_seq_next should increase
position index (bnc#1012628).
- netfilter: synproxy: synproxy_cpu_seq_next should increase
position index (bnc#1012628).
- netfilter: xt_recent: recent_seq_next should increase position
index (bnc#1012628).
- netfilter: x_tables: xt_mttg_seq_next should increase position
index (bnc#1012628).
- workqueue: don't use wq_select_unbound_cpu() for bound works
(bnc#1012628).
- drm/amd/display: remove duplicated assignment to grph_obj_type
(bnc#1012628).
- drm/i915: Actually emit the await_start (bnc#1012628).
- drm/i915: Return early for await_start on same timeline
(bnc#1012628).
- drm/i915: be more solid in checking the alignment (bnc#1012628).
- drm/i915: Defer semaphore priority bumping to a workqueue
(bnc#1012628).
- drm/i915/gt: Close race between cacheline_retire and free
(bnc#1012628).
- drm/i915/execlists: Enable timeslice on partial virtual engine
dequeue (bnc#1012628).
- mmc: sdhci-pci-gli: Enable MSI interrupt for GL975x
(bnc#1012628).
- pinctrl: falcon: fix syntax error (bnc#1012628).
- pinctrl: qcom: Assign irq_eoi conditionally (bnc#1012628).
- ktest: Add timeout for ssh sync testing (bnc#1012628).
- block: Fix partition support for host aware zoned block devices
(bnc#1012628).
- cifs_atomic_open(): fix double-put on late allocation failure
(bnc#1012628).
- gfs2_atomic_open(): fix O_EXCL|O_CREAT handling on cold dcache
(bnc#1012628).
- KVM: x86: clear stale x86_emulate_ctxt->intercept value
(bnc#1012628).
- KVM: nVMX: avoid NULL pointer dereference with incorrect EVMCS
GPAs (bnc#1012628).
- ARC: define __ALIGN_STR and __ALIGN symbols for ARC
(bnc#1012628).
- fuse: fix stack use after return (bnc#1012628).
- MIPS: DTS: CI20: fix PMU definitions for ACT8600 (bnc#1012628).
- MIPS: DTS: CI20: fix interrupt for pcf8563 RTC (bnc#1012628).
- MIPS: Fix CONFIG_MIPS_CMDLINE_DTB_EXTEND handling (bnc#1012628).
- s390/dasd: fix data corruption for thin provisioned devices
(bnc#1012628).
- ftrace: Return the first found result in lookup_rec()
(bnc#1012628).
- blk-iocost: fix incorrect vtime comparison in iocg_is_idle()
(bnc#1012628).
- fscrypt: don't evict dirty inodes after removing key
(bnc#1012628).
- pid: Fix error return value in some cases (bnc#1012628).
- macintosh: windfarm: fix MODINFO regression (bnc#1012628).
- x86/ioremap: Map EFI runtime services data as encrypted for SEV
(bnc#1012628).
- efi: Fix a race and a buffer overflow while reading efivars
via sysfs (bnc#1012628).
- efi: Add a sanity check to efivar_store_raw() (bnc#1012628).
- i2c: designware-pci: Fix BUG_ON during device removal
(bnc#1012628).
- mt76: fix array overflow on receiving too many fragments for
a packet (bnc#1012628).
- perf/amd/uncore: Replace manual sampling check with
CAP_NO_INTERRUPT flag (bnc#1012628).
- x86/mce: Fix logic and comments around MSR_PPIN_CTL
(bnc#1012628).
- iommu/dma: Fix MSI reservation allocation (bnc#1012628).
- iommu/vt-d: dmar: replace WARN_TAINT with pr_warn + add_taint
(bnc#1012628).
- iommu/vt-d: dmar_parse_one_rmrr: replace WARN_TAINT with
pr_warn + add_taint (bnc#1012628).
- iommu/vt-d: Fix RCU list debugging warnings (bnc#1012628).
- iommu/vt-d: Fix a bug in intel_iommu_iova_to_phys() for huge
page (bnc#1012628).
- batman-adv: Don't schedule OGM for disabled interface
(bnc#1012628).
- clk: imx8mn: Fix incorrect clock defines (bnc#1012628).
- pinctrl: meson-gxl: fix GPIOX sdio pins (bnc#1012628).
- pinctrl: imx: scu: Align imx sc msg structs to 4 (bnc#1012628).
- virtio_ring: Fix mem leak with vring_new_virtqueue()
(bnc#1012628).
- x86/mce/therm_throt: Undo thermal polling properly on CPU
offline (bnc#1012628).
- drm/i915/gvt: Fix dma-buf display blur issue on CFL
(bnc#1012628).
- pinctrl: core: Remove extra kref_get which blocks hogs being
freed (bnc#1012628).
- drm/i915/gvt: Fix unnecessary schedule timer when no vGPU exits
(bnc#1012628).
- driver code: clarify and fix platform device DMA mask allocation
(bnc#1012628).
- iommu/vt-d: Fix RCU-list bugs in intel_iommu_init()
(bnc#1012628).
- i2c: gpio: suppress error on probe defer (bnc#1012628).
- nl80211: add missing attribute validation for critical protocol
indication (bnc#1012628).
- nl80211: add missing attribute validation for beacon report
scanning (bnc#1012628).
- nl80211: add missing attribute validation for channel switch
(bnc#1012628).
- perf bench futex-wake: Restore thread count default to online
CPU count (bnc#1012628).
- netfilter: nf_tables: free flowtable hooks on hook register
error (bnc#1012628).
- netfilter: cthelper: add missing attribute validation for
cthelper (bnc#1012628).
- netfilter: nft_payload: add missing attribute validation for
payload csum flags (bnc#1012628).
- netfilter: nft_tunnel: add missing attribute validation for
tunnels (bnc#1012628).
- netfilter: nf_tables: dump NFTA_CHAIN_FLAGS attribute
(bnc#1012628).
- netfilter: nft_chain_nat: inet family is missing module
ownership (bnc#1012628).
- iommu/vt-d: Fix the wrong printing in RHSA parsing
(bnc#1012628).
- iommu/vt-d: Ignore devices with out-of-spec domain number
(bnc#1012628).
- i2c: acpi: put device when verifying client fails (bnc#1012628).
- iommu/amd: Fix IOMMU AVIC not properly update the is_run bit
in IRTE (bnc#1012628).
- ipv6: restrict IPV6_ADDRFORM operation (bnc#1012628).
- net/smc: check for valid ib_client_data (bnc#1012628).
- Update config files.
- commit 3925fb5
-------------------------------------------------------------------
Mon Mar 16 06:38:08 CET 2020 - mkubecek@suse.cz
- Update to 5.6-rc6
- refresh config files
- commit 5c2f002
-------------------------------------------------------------------
Fri Mar 13 11:28:05 CET 2020 - nsaenzjulienne@suse.de
- mmc: sdhci: iproc: Add custom set_power() callback for bcm2711
(bsc#1165954).
- mmc: sdhci: Introduce sdhci_set_power_and_bus_voltage()
(bsc#1165954).
- mmc: sdhci: iproc: Add custom set_power() callback for bcm2711
(bsc#1165954).
- mmc: sdhci: Introduce sdhci_set_power_and_bus_voltage()
(bsc#1165954).
- commit e9e359d
-------------------------------------------------------------------
Fri Mar 13 11:08:52 CET 2020 - nsaenzjulienne@suse.de
- Delete patches.suse/linux-log2-h-add-roundup-rounddown_pow_two64-family-of-functions.patch.
The patch was initially introduced to support RPi4's PCIe controller.
The now available upstream driver isn't using this roundup
functionality.
- commit ea370a5
-------------------------------------------------------------------
Thu Mar 12 14:33:05 CET 2020 - tiwai@suse.de
- Update config files: disable CONFIG_OABI_COMPAT for 32bit Arm (bsc#1165462)
It enables CONFIG_SECCOMP_FILTER.
- commit e9e55d0
-------------------------------------------------------------------
Thu Mar 12 14:21:25 CET 2020 - tiwai@suse.de
- Update config files: enable CONFIG_EROFS_FS_ZIP=y
Requested for supporting EROFS compressed files:
https://lists.opensuse.org/opensuse-kernel/2020-02/msg00007.html
- commit 2b67ee5
-------------------------------------------------------------------
Thu Mar 12 07:33:05 CET 2020 - jslaby@suse.cz
- Linux 5.5.9 (bnc#1012628).
- ASoC: intel/skl/hda - export number of digital microphones
via control components (bnc#1012628).
- block, bfq: get a ref to a group when adding it to a service
tree (bnc#1012628).
- block, bfq: get extra ref to prevent a queue from being freed
during a group move (bnc#1012628).
- block, bfq: do not insert oom queue into position tree
(bnc#1012628).
- dm thin metadata: fix lockdep complaint (bnc#1012628).
- net: dsa: bcm_sf2: Forcibly configure IMP port for 1Gb/sec
(bnc#1012628).
- RDMA/core: Fix pkey and port assignment in get_new_pps
(bnc#1012628).
- RDMA/core: Fix use of logical OR in get_new_pps (bnc#1012628).
- blktrace: fix dereference after null check (bnc#1012628).
- netfilter: hashlimit: do not use indirect calls during gc
(bnc#1012628).
- ALSA: hda: do not override bus codec_mask in link_get()
(bnc#1012628).
- serial: ar933x_uart: set UART_CS_{RX,TX}_READY_ORIDE
(bnc#1012628).
- Kernel selftests: tpm2: check for tpm support (bnc#1012628).
- selftests: fix too long argument (bnc#1012628).
- usb: gadget: composite: Support more than 500mA MaxPower
(bnc#1012628).
- usb: gadget: ffs: ffs_aio_cancel(): Save/restore IRQ flags
(bnc#1012628).
- usb: gadget: serial: fix Tx stall after buffer overflow
(bnc#1012628).
- habanalabs: halt the engines before hard-reset (bnc#1012628).
- habanalabs: do not halt CoreSight during hard reset
(bnc#1012628).
- habanalabs: patched cb equals user cb in device memset
(bnc#1012628).
- drm/msm/mdp5: rate limit pp done timeout warnings (bnc#1012628).
- drm: msm: Fix return type of dsi_mgr_connector_mode_valid for
kCFI (bnc#1012628).
- drm/modes: Make sure to parse valid rotation value from cmdline
(bnc#1012628).
- drm/modes: Allow DRM_MODE_ROTATE_0 when applying video mode
parameters (bnc#1012628).
- scsi: megaraid_sas: silence a warning (bnc#1012628).
- drm/msm/dsi: save pll state before dsi host is powered off
(bnc#1012628).
- drm/msm/dsi/pll: call vco set rate explicitly (bnc#1012628).
- selftests: forwarding: use proto icmp for {gretap,
ip6gretap}_mac testing (bnc#1012628).
- selftests: forwarding: vxlan_bridge_1d: fix tos value
(bnc#1012628).
- net: atlantic: check rpc result and wait for rpc address
(bnc#1012628).
- net: atlantic: ptp gpio adjustments (bnc#1012628).
- net: ks8851-ml: Remove 8-bit bus accessors (bnc#1012628).
- net: ks8851-ml: Fix 16-bit data access (bnc#1012628).
- net: ks8851-ml: Fix 16-bit IO operation (bnc#1012628).
- net: ethernet: dm9000: Handle -EPROBE_DEFER in dm9000_parse_dt()
(bnc#1012628).
- watchdog: da9062: do not ping the hw during stop()
(bnc#1012628).
- s390/cio: cio_ignore_proc_seq_next should increase position
index (bnc#1012628).
- s390: make 'install' not depend on vmlinux (bnc#1012628).
- efi: Only print errors about failing to get certs if EFI vars
are found (bnc#1012628).
- net/mlx5: DR, Fix matching on vport gvmi (bnc#1012628).
- iommu/amd: Disable IOMMU on Stoney Ridge systems (bnc#1012628).
- nvme/pci: Add sleep quirk for Samsung and Toshiba drives
(bnc#1012628).
- nvme-pci: Use single IRQ vector for old Apple models
(bnc#1012628).
- x86/boot/compressed: Don't declare __force_order in kaslr_64.c
(bnc#1012628).
- s390/qdio: fill SL with absolute addresses (bnc#1012628).
- nvme: Fix uninitialized-variable warning (bnc#1012628).
- ice: Don't tell the OS that link is going down (bnc#1012628).
- x86/xen: Distribute switch variables for initialization
(bnc#1012628).
- net: thunderx: workaround BGX TX Underflow issue (bnc#1012628).
- csky/mm: Fixup export invalid_pte_table symbol (bnc#1012628).
- csky: Set regs->usp to kernel sp, when the exception is from
kernel (bnc#1012628).
- csky/smp: Fixup boot failed when CONFIG_SMP (bnc#1012628).
- csky: Fixup ftrace modify panic (bnc#1012628).
- csky: Fixup compile warning for three unimplemented syscalls
(bnc#1012628).
- arch/csky: fix some Kconfig typos (bnc#1012628).
- selftests: forwarding: vxlan_bridge_1d: use more proper tos
value (bnc#1012628).
- firmware: imx: scu: Ensure sequential TX (bnc#1012628).
- binder: prevent UAF for binderfs devices (bnc#1012628).
- binder: prevent UAF for binderfs devices II (bnc#1012628).
- ALSA: hda/realtek - Add Headset Mic supported (bnc#1012628).
- ALSA: hda/realtek - Add Headset Button supported for ThinkPad X1
(bnc#1012628).
- ALSA: hda/realtek - Fix a regression for mute led on Lenovo
Carbon X1 (bnc#1012628).
- ALSA: hda/realtek - Fix silent output on Gigabyte X570 Aorus
Master (bnc#1012628).
- ALSA: hda/realtek - Enable the headset of ASUS B9450FA with
ALC294 (bnc#1012628).
- driver core: Call sync_state() even if supplier has no consumers
(bnc#1012628).
- cifs: don't leak -EAGAIN for stat() during reconnect
(bnc#1012628).
- cifs: fix rename() by ensuring source handle opened with DELETE
bit (bnc#1012628).
- usb: storage: Add quirk for Samsung Fit flash (bnc#1012628).
- usb: usb251xb: fix regulator probe and error handling
(bnc#1012628).
- usb: quirks: add NO_LPM quirk for Logitech Screen Share
(bnc#1012628).
- usb: dwc3: gadget: Update chain bit correctly when using sg list
(bnc#1012628).
- usb: cdns3: gadget: link trb should point to next request
(bnc#1012628).
- usb: cdns3: gadget: toggle cycle bit before reset endpoint
(bnc#1012628).
- usb: core: hub: fix unhandled return by employing a void
function (bnc#1012628).
- usb: core: hub: do error out if usb_autopm_get_interface()
fails (bnc#1012628).
- usb: core: port: do error out if usb_autopm_get_interface()
fails (bnc#1012628).
- vgacon: Fix a UAF in vgacon_invert_region (bnc#1012628).
- mm, numa: fix bad pmd by atomically check for pmd_trans_huge
when marking page tables prot_numa (bnc#1012628).
- mm: fix possible PMD dirty bit lost in set_pmd_migration_entry()
(bnc#1012628).
- mm: avoid data corruption on CoW fault into PFN-mapped VMA
(bnc#1012628).
- mm, hotplug: fix page online with DEBUG_PAGEALLOC compiled
but not enabled (bnc#1012628).
- fat: fix uninit-memory access for partial initialized inode
(bnc#1012628).
- btrfs: fix RAID direct I/O reads with alternate csums
(bnc#1012628).
- arm64: dts: socfpga: agilex: Fix gmac compatible (bnc#1012628).
- arm: dts: dra76x: Fix mmc3 max-frequency (bnc#1012628).
- phy: allwinner: Fix GENMASK misuse (bnc#1012628).
- tty:serial:mvebu-uart:fix a wrong return (bnc#1012628).
- tty: serial: fsl_lpuart: free IDs allocated by IDA
(bnc#1012628).
- serial: 8250_exar: add support for ACCES cards (bnc#1012628).
- serdev: Fix detection of UART devices on Apple machines
(bnc#1012628).
- media: hantro: Fix broken media controller links (bnc#1012628).
- media: mc-entity.c: use & to check pad flags, not ==
(bnc#1012628).
- media: vicodec: process all 4 components for RGB32 formats
(bnc#1012628).
- media: v4l2-mem2mem.c: fix broken links (bnc#1012628).
- perf intel-pt: Fix endless record after being terminated
(bnc#1012628).
- perf intel-bts: Fix endless record after being terminated
(bnc#1012628).
- perf cs-etm: Fix endless record after being terminated
(bnc#1012628).
- perf arm-spe: Fix endless record after being terminated
(bnc#1012628).
- spi: spidev: Fix CS polarity if GPIO descriptors are used
(bnc#1012628).
- x86/ioperm: Add new paravirt function update_io_bitmap()
(bnc#1012628).
- x86/pkeys: Manually set X86_FEATURE_OSPKE to preserve existing
changes (bnc#1012628).
- s390/pci: Fix unexpected write combine on resource
(bnc#1012628).
- s390/mm: fix panic in gup_fast on large pud (bnc#1012628).
- selftests: pidfd: Add pidfd_fdinfo_test in .gitignore
(bnc#1012628).
- powerpc/mm: Fix missing KUAP disable in flush_coherent_icache()
(bnc#1012628).
- drm/amdgpu: disable 3D pipe 1 on Navi1x (bnc#1012628).
- drm/amd/powerplay: fix pre-check condition for setting clock
range (bnc#1012628).
- dmaengine: imx-sdma: fix context cache (bnc#1012628).
- dmaengine: imx-sdma: Fix the event id check to include RX
event for UART6 (bnc#1012628).
- dmaengine: tegra-apb: Fix use-after-free (bnc#1012628).
- dmaengine: tegra-apb: Prevent race conditions of tasklet vs
free list (bnc#1012628).
- dm integrity: fix recalculation when moving from journal mode
to bitmap mode (bnc#1012628).
- dm integrity: fix a deadlock due to offloading to an incorrect
workqueue (bnc#1012628).
- dm integrity: fix invalid table returned due to argument count
mismatch (bnc#1012628).
- dm cache: fix a crash due to incorrect work item cancelling
(bnc#1012628).
- dm: report suspended device during destroy (bnc#1012628).
- dm writecache: verify watermark during resume (bnc#1012628).
- dm zoned: Fix reference counter initial value of chunk works
(bnc#1012628).
- dm: fix congested_fn for request-based device (bnc#1012628).
- arm64: dts: meson-sm1-sei610: add missing interrupt-names
(bnc#1012628).
- ARM: dts: ls1021a: Restore MDIO compatible to gianfar
(bnc#1012628).
- spi: bcm63xx-hsspi: Really keep pll clk enabled (bnc#1012628).
- drm/virtio: fix resource id creation race (bnc#1012628).
- ASoC: topology: Fix memleak in soc_tplg_link_elems_load()
(bnc#1012628).
- ASoC: topology: Fix memleak in soc_tplg_manifest_load()
(bnc#1012628).
- ASoC: SOF: Fix snd_sof_ipc_stream_posn() (bnc#1012628).
- ASoC: intel: skl: Fix pin debug prints (bnc#1012628).
- ASoC: intel: skl: Fix possible buffer overflow in debug outputs
(bnc#1012628).
- ASoC: pcm: Fix possible buffer overflow in dpcm state sysfs
output (bnc#1012628).
- ASoC: pcm512x: Fix unbalanced regulator enable call in probe
error path (bnc#1012628).
- ASoC: Intel: Skylake: Fix available clock counter incrementation
(bnc#1012628).
- ASoC: dapm: Correct DAPM handling of active widgets during
shutdown (bnc#1012628).
- ASoC: soc-component: tidyup snd_soc_pcm_component_sync_stop()
(bnc#1012628).
- spi: atmel-quadspi: fix possible MMIO window size overrun
(bnc#1012628).
- drm/panfrost: Don't try to map on error faults (bnc#1012628).
- drm/mediatek: Handle component type MTK_DISP_OVL_2L correctly
(bnc#1012628).
- drm/ttm: fix leaking fences via ttm_buffer_object_transfer
(bnc#1012628).
- drm: kirin: Revert "Fix for hikey620 display offset problem"
(bnc#1012628).
- drm/sun4i: Add separate DE3 VI layer formats (bnc#1012628).
- drm/sun4i: Fix DE2 VI layer format support (bnc#1012628).
- drm/sun4i: de2/de3: Remove unsupported VI layer formats
(bnc#1012628).
- drm/i915: Program MBUS with rmw during initialization
(bnc#1012628).
- drm/i915/selftests: Fix return in assert_mmap_offset()
(bnc#1012628).
- drm/i915/perf: Reintroduce wait on OA configuration completion
(bnc#1012628).
- phy: mapphone-mdm6600: Fix timeouts by adding wake-up handling
(bnc#1012628).
- phy: mapphone-mdm6600: Fix write timeouts with shorter GPIO
toggle interval (bnc#1012628).
- ARM: dts: imx6: phycore-som: fix emmc supply (bnc#1012628).
- arm64: dts: imx8qxp-mek: Remove unexisting Ethernet PHY
(bnc#1012628).
- firmware: imx: misc: Align imx sc msg structs to 4
(bnc#1012628).
- firmware: imx: scu-pd: Align imx sc msg structs to 4
(bnc#1012628).
- firmware: imx: Align imx_sc_msg_req_cpu_start to 4
(bnc#1012628).
- soc: imx-scu: Align imx sc msg structs to 4 (bnc#1012628).
- Revert "RDMA/cma: Simplify rdma_resolve_addr() error flow"
(bnc#1012628).
- RDMA/rw: Fix error flow during RDMA context initialization
(bnc#1012628).
- RDMA/odp: Ensure the mm is still alive before creating an
implicit child (bnc#1012628).
- RDMA/nldev: Fix crash when set a QP to a new counter but QPN
is missing (bnc#1012628).
- RDMA/siw: Fix failure handling during device creation
(bnc#1012628).
- RDMA/iwcm: Fix iwcm work deallocation (bnc#1012628).
- RDMA/core: Fix protection fault in ib_mr_pool_destroy
(bnc#1012628).
- regulator: stm32-vrefbuf: fix a possible overshoot when
re-enabling (bnc#1012628).
- regulator: qcom_spmi: Fix docs for PM8004 (bnc#1012628).
- RMDA/cm: Fix missing ib_cm_destroy_id() in ib_cm_insert_listen()
(bnc#1012628).
- IB/mlx5: Fix implicit ODP race (bnc#1012628).
- IB/hfi1, qib: Ensure RCU is locked when accessing list
(bnc#1012628).
- ARM: imx: build v7_cpu_resume() unconditionally (bnc#1012628).
- ARM: dts: imx7d: fix opp-supported-hw (bnc#1012628).
- ARM: dts: am437x-idk-evm: Fix incorrect OPP node names
(bnc#1012628).
- ARM: dts: dra7xx-clocks: Fixup IPU1 mux clock parent source
(bnc#1012628).
- ARM: dts: imx7-colibri: Fix frequency for sd/mmc (bnc#1012628).
- hwmon: (adt7462) Fix an error return in ADT7462_REG_VOLT()
(bnc#1012628).
- dma-buf: free dmabuf->name in dma_buf_release() (bnc#1012628).
- dmaengine: coh901318: Fix a double lock bug in dma_tc_handle()
(bnc#1012628).
- sched/fair: Fix statistics for find_idlest_group()
(bnc#1012628).
- arm64: dts: meson: fix gxm-khadas-vim2 wifi (bnc#1012628).
- bus: ti-sysc: Fix 1-wire reset quirk (bnc#1012628).
- dt-bindings: arm: fsl: fix APF6Dev compatible (bnc#1012628).
- EDAC/synopsys: Do not print an error with back-to-back
snprintf() calls (bnc#1012628).
- powerpc: fix hardware PMU exception bug on PowerVM compatibility
mode systems (bnc#1012628).
- efi/x86: Align GUIDs to their size in the mixed mode runtime
wrapper (bnc#1012628).
- efi/x86: Handle by-ref arguments covering multiple pages in
mixed mode (bnc#1012628).
- efi: READ_ONCE rng seed size before munmap (bnc#1012628).
- net: stmmac: fix notifier registration (bnc#1012628).
- block, bfq: remove ifdefs from around gets/puts of bfq groups
(bnc#1012628).
- csky: Implement copy_thread_tls (bnc#1012628).
- commit 70a6377
-------------------------------------------------------------------
Wed Mar 11 10:50:07 CET 2020 - jslaby@suse.cz
- vt: selection, push sel_lock up (bnc#1162928 CVE-2020-8648).
- vt: selection, push console lock down (bnc#1162928
CVE-2020-8648).
- commit 1538c30
-------------------------------------------------------------------
Wed Mar 11 10:47:53 CET 2020 - jslaby@suse.cz
- Refresh patches.suse/vt-selection-close-sel_buffer-race.patch.
Update upstream status.
- commit e2b9350
-------------------------------------------------------------------
Tue Mar 10 10:00:15 CET 2020 - dmueller@suse.com
- drm/virtio: fix mmap page attributes (bsc#1163720).
- drm/shmem: add support for per object caching flags
(bsc#1163720).
- commit 1e5a090
-------------------------------------------------------------------
Mon Mar 9 19:06:37 CET 2020 - jeyu@suse.de
- rpm/kabi.pl: account for namespace field being moved last
Upstream is moving the namespace field in Module.symvers last in order to
preserve backwards compatibility with kmod tools (depmod, etc). Fix the kabi.pl
script to expect the namespace field last. Since split() ignores trailing empty
fields and delimeters, switch to using tr to count how many fields/tabs are in
a line. Also, in load_symvers(), pass LIMIT of -1 to split() so it does not
strip trailing empty fields, as namespace is an optional field.
- commit a3bb253
-------------------------------------------------------------------
Mon Mar 9 06:38:13 CET 2020 - mkubecek@suse.cz
- Update to 5.6-rc5
- new config options:
- BACKLIGHT_LED=m
- commit ff29e08
-------------------------------------------------------------------
Fri Mar 6 08:52:20 CET 2020 - jslaby@suse.cz
- netfilter: xt_hashlimit: unregister proc file before releasing
mutex (git-fixes).
- commit fb4c60d
-------------------------------------------------------------------
Fri Mar 6 07:04:40 CET 2020 - jslaby@suse.cz
- Linux 5.5.8 (bnc#1012628).
- kvm: nVMX: VMWRITE checks unsupported field before read-only
field (bnc#1012628).
- kvm: nVMX: VMWRITE checks VMCS-link pointer before VMCS field
(bnc#1012628).
- mm, thp: fix defrag setting if newline is not used
(bnc#1012628).
- mm/huge_memory.c: use head to check huge zero page
(bnc#1012628).
- mm/gup: allow FOLL_FORCE for get_user_pages_fast()
(bnc#1012628).
- mm/debug.c: always print flags in dump_page() (bnc#1012628).
- locking/lockdep: Fix lockdep_stats indentation problem
(bnc#1012628).
- xfs: clear kernel only flags in XFS_IOC_ATTRMULTI_BY_HANDLE
(bnc#1012628).
- clk: qcom: rpmh: Sort OF match table (bnc#1012628).
- bus: tegra-aconnect: Remove PM_CLK dependency (bnc#1012628).
- netfilter: nf_flowtable: fix documentation (bnc#1012628).
- netfilter: nft_tunnel: no need to call htons() when dumping
ports (bnc#1012628).
- thermal: brcmstb_thermal: Do not use DT coefficients
(bnc#1012628).
- thermal: db8500: Depromote debug print (bnc#1012628).
- ubifs: Fix ino_t format warnings in orphan_delete()
(bnc#1012628).
- rcu: Allow only one expedited GP to run concurrently with
wakeups (bnc#1012628).
- KVM: x86: Remove spurious clearing of async #PF MSR
(bnc#1012628).
- KVM: x86: Remove spurious kvm_mmu_unload() from vcpu destruction
path (bnc#1012628).
- KVM: X86: Fix kvm_bitmap_or_dest_vcpus() to use irq shorthand
(bnc#1012628).
- x86/resctrl: Check monitoring static key in the MBM overflow
handler (bnc#1012628).
- perf maps: Add missing unlock to maps__insert() error case
(bnc#1012628).
- perf ui gtk: Add missing zalloc object (bnc#1012628).
- perf hists browser: Restore ESC as "Zoom out" of DSO/thread/etc
(bnc#1012628).
- pwm: omap-dmtimer: put_device() after of_find_device_by_node()
(bnc#1012628).
- lib/vdso: Update coarse timekeeper unconditionally
(bnc#1012628).
- lib/vdso: Make __arch_update_vdso_data() logic understandable
(bnc#1012628).
- kprobes: Set unoptimized flag after unoptimizing code
(bnc#1012628).
- ima: ima/lsm policy rule loading logic bug fixes (bnc#1012628).
- drivers: net: xgene: Fix the order of the arguments of
'alloc_etherdev_mqs()' (bnc#1012628).
- RDMA/hns: Bugfix for posting a wqe with sge (bnc#1012628).
- RDMA/hns: Simplify the calculation and usage of wqe idx for
post verbs (bnc#1012628).
- f2fs: fix to add swap extent correctly (bnc#1012628).
- sched/fair: Optimize select_idle_cpu (bnc#1012628).
- KVM: Check for a bad hva before dropping into the ghc slow path
(bnc#1012628).
- KVM: SVM: Override default MMIO mask if memory encryption is
enabled (bnc#1012628).
- perf report: Fix no libunwind compiled warning break s390 issue
(bnc#1012628).
- mwifiex: delete unused mwifiex_get_intf_num() (bnc#1012628).
- mwifiex: drop most magic numbers from
mwifiex_process_tdls_action_frame() (bnc#1012628).
- namei: only return -ECHILD from follow_dotdot_rcu()
(bnc#1012628).
- tipc: fix successful connect() but timed out (bnc#1012628).
- net: ena: make ena rxfh support ETH_RSS_HASH_NO_CHANGE
(bnc#1012628).
- net/smc: no peer ID in CLC decline for SMCD (bnc#1012628).
- selftests: Install settings files to fix TIMEOUT failures
(bnc#1012628).
- net: atlantic: fix out of range usage of active_vlans array
(bnc#1012628).
- net: atlantic: possible fault in transition to hibernation
(bnc#1012628).
- net: atlantic: fix potential error handling (bnc#1012628).
- net: atlantic: fix use after free kasan warn (bnc#1012628).
- net: atlantic: better loopback mode handling (bnc#1012628).
- net: atlantic: checksum compat issue (bnc#1012628).
- net: netlink: cap max groups which will be considered in
netlink_bind() (bnc#1012628).
- s390/qeth: fix off-by-one in RX copybreak check (bnc#1012628).
- s390/qeth: vnicc Fix EOPNOTSUPP precedence (bnc#1012628).
- nvme-pci: Hold cq_poll_lock while completing CQEs (bnc#1012628).
- usb: charger: assign specific number for enum value
(bnc#1012628).
- hv_netvsc: Fix unwanted wakeup in netvsc_attach() (bnc#1012628).
- kbuild: fix DT binding schema rule to detect command line
changes (bnc#1012628).
- mac80211: Remove a redundant mutex unlock (bnc#1012628).
- nl80211: fix potential leak in AP start (bnc#1012628).
- drm/i915/gvt: Separate display reset from ALL_ENGINES reset
(bnc#1012628).
- drm/i915: Avoid recursing onto active vma from the shrinker
(bnc#1012628).
- drm/i915/gvt: Fix orphan vgpu dmabuf_objs' lifetime
(bnc#1012628).
- MIPS: cavium_octeon: Fix syncw generation (bnc#1012628).
- i2c: jz4780: silence log flood on txabrt (bnc#1012628).
- i2c: altera: Fix potential integer overflow (bnc#1012628).
- MIPS: VPE: Fix a double free and a memory leak in
'release_vpe()' (bnc#1012628).
- RISC-V: Don't enable all interrupts in trap_init()
(bnc#1012628).
- HID: hiddev: Fix race in in hiddev_disconnect() (bnc#1012628).
- HID: alps: Fix an error handling path in
'alps_input_configured()' (bnc#1012628).
- netfilter: xt_hashlimit: reduce hashlimit_mutex scope for
htable_put() (bnc#1012628).
- netfilter: ipset: Fix forceadd evaluation path (bnc#1012628).
- vhost: Check docket sk_family instead of call getname
(bnc#1012628).
- net/smc: transfer fasync_list in case of fallback (bnc#1012628).
- netfilter: ipset: Fix "INFO: rcu detected stall in hash_xxx"
reports (bnc#1012628).
- io_uring: fix 32-bit compatability with sendmsg/recvmsg
(bnc#1012628).
- cpufreq: Fix policy initialization for internal governor drivers
(bnc#1012628).
- amdgpu/gmc_v9: save/restore sdpif regs during S3 (bnc#1012628).
- Revert "PM / devfreq: Modify the device name as devfreq(X)
for sysfs" (bnc#1012628).
- tracing: Disable trace_printk() on post poned tests
(bnc#1012628).
- blktrace: Protect q->blk_trace with RCU (bnc#1012628).
- macintosh: therm_windtunnel: fix regression when instantiating
devices (bnc#1012628).
- drm/radeon: Inline drm_get_pci_dev (bnc#1012628).
- drm/amdgpu: Drop DRIVER_USE_AGP (bnc#1012628).
- HID: core: increase HID report buffer size to 8KiB
(bnc#1012628).
- HID: core: fix off-by-one memset in hid_report_raw_event()
(bnc#1012628).
- HID: ite: Only bind to keyboard USB interface on Acer SW5-012
keyboard dock (bnc#1012628).
- KVM: VMX: check descriptor table exits on instruction emulation
(bnc#1012628).
- ACPI: watchdog: Fix gas->access_width usage (bnc#1012628).
- ACPICA: Introduce ACPI_ACCESS_BYTE_WIDTH() macro (bnc#1012628).
- audit: always check the netlink payload length in
audit_receive_msg() (bnc#1012628).
- audit: fix error handling in audit_data_to_entry()
(bnc#1012628).
- ext4: potential crash on allocation error in
ext4_alloc_flex_bg_array() (bnc#1012628).
- docs: Fix empty parallelism argument (bnc#1012628).
- scsi: zfcp: fix wrong data and display format of SFP+
temperature (bnc#1012628).
- scsi: sd_sbc: Fix sd_zbc_report_zones() (bnc#1012628).
- nvme/pci: move cqe check after device shutdown (bnc#1012628).
- nvme: prevent warning triggered by nvme_stop_keep_alive
(bnc#1012628).
- nvme/tcp: fix bug on double requeue when send fails
(bnc#1012628).
- net: hns3: fix a copying IPv6 address error in
hclge_fd_get_flow_tuples() (bnc#1012628).
- net: hns3: fix VF bandwidth does not take effect in some case
(bnc#1012628).
- net: hns3: add management table after IMP reset (bnc#1012628).
- mac80211: fix wrong 160/80+80 MHz setting (bnc#1012628).
- cfg80211: add missing policy for NL80211_ATTR_STATUS_CODE
(bnc#1012628).
- cifs: Fix mode output in debugging statements (bnc#1012628).
- io-wq: don't call kXalloc_node() with non-online node
(bnc#1012628).
- ice: Use correct netif error function (bnc#1012628).
- ice: Use ice_pf_to_dev (bnc#1012628).
- ice: update Unit Load Status bitmask to check after reset
(bnc#1012628).
- ice: fix and consolidate logging of NVM/firmware version
information (bnc#1012628).
- ice: Don't allow same value for Rx tail to be written twice
(bnc#1012628).
- ice: Fix switch between FW and SW LLDP (bnc#1012628).
- net: ena: ena-com.c: prevent NULL pointer dereference
(bnc#1012628).
- net: ena: ethtool: use correct value for crc32 hash
(bnc#1012628).
- net: ena: fix corruption of dev_idx_to_host_tbl (bnc#1012628).
- net: ena: fix incorrectly saving queue numbers when setting
RSS indirection table (bnc#1012628).
- net: ena: rss: store hash function as values and not bits
(bnc#1012628).
- net: ena: rss: fix failure to get indirection table
(bnc#1012628).
- net: ena: rss: do not allocate key when not supported
(bnc#1012628).
- net: ena: fix incorrect default RSS key (bnc#1012628).
- net: ena: add missing ethtool TX timestamping indication
(bnc#1012628).
- net: ena: fix uses of round_jiffies() (bnc#1012628).
- net: ena: fix potential crash when rxfh key is NULL
(bnc#1012628).
- i40e: Fix the conditional for i40e_vc_validate_vqs_bitmaps
(bnc#1012628).
- soc/tegra: fuse: Fix build with Tegra194 configuration
(bnc#1012628).
- amdgpu: Prevent build errors regarding soft/hard-float FP ABI
tags (bnc#1012628).
- drm/amd/display: Add initialitions for PLL2 clock source
(bnc#1012628).
- drm/amd/display: Limit minimum DPPCLK to 100MHz (bnc#1012628).
- drm/amd/display: Check engine is not NULL before acquiring
(bnc#1012628).
- RDMA/siw: Remove unwanted WARN_ON in siw_cm_llp_data_ready()
(bnc#1012628).
- drm/amd/display: Do not set optimized_require to false after
plane disable (bnc#1012628).
- ARM: dts: sti: fixup sound frame-inversion for
stihxxx-b2120.dtsi (bnc#1012628).
- ceph: do not execute direct write in parallel if O_APPEND is
specified (bnc#1012628).
- perf/x86/msr: Add Tremont support (bnc#1012628).
- perf/x86/cstate: Add Tremont support (bnc#1012628).
- perf/x86/intel: Add Elkhart Lake support (bnc#1012628).
- perf/smmuv3: Use platform_get_irq_optional() for wired interrupt
(bnc#1012628).
- NFSv4: Fix races between open and dentry revalidation
(bnc#1012628).
- qmi_wwan: unconditionally reject 2 ep interfaces (bnc#1012628).
- qmi_wwan: re-add DW5821e pre-production variant (bnc#1012628).
- s390/zcrypt: fix card and queue total counter wrap
(bnc#1012628).
- io_uring: flush overflowed CQ events in the io_uring_poll()
(bnc#1012628).
- cfg80211: check wiphy driver existence for drvinfo report
(bnc#1012628).
- mac80211: consider more elements in parsing CRC (bnc#1012628).
- dax: pass NOWAIT flag to iomap_apply (bnc#1012628).
- sched/fair: Prevent unlimited runtime on throttled group
(bnc#1012628).
- timers/nohz: Update NOHZ load in remote tick (bnc#1012628).
- sched/core: Don't skip remote tick for idle CPUs (bnc#1012628).
- drm/msm: Set dma maximum segment size for mdss (bnc#1012628).
- ipmi:ssif: Handle a possible NULL pointer reference
(bnc#1012628).
- net: rtnetlink: fix bugs in rtnl_alt_ifname() (bnc#1012628).
- net: macb: Properly handle phylink on at91rm9200 (bnc#1012628).
- net: add strict checks in netdev_name_node_alt_destroy()
(bnc#1012628).
- ionic: fix fw_status read (bnc#1012628).
- ipv6: Fix nlmsg_flags when splitting a multipath route
(bnc#1012628).
- ipv6: Fix route replacement with dev-only route (bnc#1012628).
- bonding: fix lockdep warning in bond_get_stats() (bnc#1012628).
- net: export netdev_next_lower_dev_rcu() (bnc#1012628).
- bonding: add missing netdev_update_lockdep_key() (bnc#1012628).
- bnxt_en: Issue PCIe FLR in kdump kernel to cleanup pending DMAs
(bnc#1012628).
- bnxt_en: Improve device shutdown method (bnc#1012628).
- sctp: move the format error check out of __sctp_sf_do_9_1_abort
(bnc#1012628).
- udp: rehash on disconnect (bnc#1012628).
- Revert "net: dev: introduce support for sch BYPASS for lockless
qdisc" (bnc#1012628).
- qede: Fix race between rdma destroy workqueue and link change
event (bnc#1012628).
- nfc: pn544: Fix occasional HW initialization failure
(bnc#1012628).
- net/tls: Fix to avoid gettig invalid tls record (bnc#1012628).
- net: sched: correct flower port blocking (bnc#1012628).
- net: phy: restore mdio regs in the iproc mdio driver
(bnc#1012628).
- net: mscc: fix in frame extraction (bnc#1012628).
- net: macb: ensure interface is not suspended on at91rm9200
(bnc#1012628).
- net: fib_rules: Correctly set table field when table number
exceeds 8 bits (bnc#1012628).
- net: dsa: b53: Ensure the default VID is untagged (bnc#1012628).
- EDAC: skx_common: downgrade message importance on missing PCI
device (bnc#1012628).
- commit 3727848
-------------------------------------------------------------------
Wed Mar 4 21:18:52 CET 2020 - jeffm@suse.com
- config: re-enable NLS_ISO8859_1 for kvmsmall
The EFI partition wants NLS_ISO8859_1 and will fail to mount without it.
- commit 666974e
-------------------------------------------------------------------
Mon Mar 2 05:17:37 CET 2020 - mkubecek@suse.cz
- Update to 5.6-rc4
- eliminated 3 patches
- new config options:
- KVM_WERROR=n (x86)
- commit 8a04afc
-------------------------------------------------------------------
Sun Mar 1 20:28:06 CET 2020 - jslaby@suse.cz
- Linux 5.5.7 (bnc#1012628).
- iommu/qcom: Fix bogus detach logic (bnc#1012628).
- iommu/vt-d: Add attach_deferred() helper (bnc#1012628).
- iommu/vt-d: Move deferred device attachment into helper function
(bnc#1012628).
- iommu/vt-d: Do deferred attachment in iommu_need_mapping()
(bnc#1012628).
- iommu/vt-d: Remove deferred_attach_domain() (bnc#1012628).
- iommu/vt-d: Simplify check in identity_mapping() (bnc#1012628).
- ALSA: hda: Use scnprintf() for printing texts for sysfs/procfs
(bnc#1012628).
- ALSA: hda/realtek - Apply quirk for MSI GP63, too (bnc#1012628).
- ALSA: hda/realtek - Apply quirk for yet another MSI laptop
(bnc#1012628).
- ASoC: codec2codec: avoid invalid/double-free of pcm runtime
(bnc#1012628).
- ASoC: sun8i-codec: Fix setting DAI data format (bnc#1012628).
- tpm: Revert tpm_tis_spi_mod.ko to tpm_tis_spi.ko (bnc#1012628).
- tpm: Initialize crypto_id of allocated_banks to HASH_ALGO__LAST
(bnc#1012628).
- ecryptfs: fix a memory leak bug in parse_tag_1_packet()
(bnc#1012628).
- ecryptfs: fix a memory leak bug in ecryptfs_init_messaging()
(bnc#1012628).
- Btrfs: fix race between shrinking truncate and fiemap
(bnc#1012628).
- btrfs: don't set path->leave_spinning for truncate
(bnc#1012628).
- btrfs: handle logged extent failure properly (bnc#1012628).
- thunderbolt: Prevent crash if non-active NVMem file is read
(bnc#1012628).
- USB: misc: iowarrior: add support for 2 OEMed devices
(bnc#1012628).
- USB: misc: iowarrior: add support for the 28 and 28L devices
(bnc#1012628).
- USB: misc: iowarrior: add support for the 100 device
(bnc#1012628).
- floppy: check FDC index for errors before assigning it
(bnc#1012628).
- USB: serial: ch341: fix receiver regression (bnc#1012628).
- vt: fix scrollback flushing on background consoles
(bnc#1012628).
- vt: vt_ioctl: fix race in VT_RESIZEX (bnc#1012628).
- staging: android: ashmem: Disallow ashmem memory from being
remapped (bnc#1012628).
- staging: vt6656: fix sign of rx_dbm to bb_pre_ed_rssi
(bnc#1012628).
- xhci: Force Maximum Packet size for Full-speed bulk devices
to valid range (bnc#1012628).
- xhci: fix runtime pm enabling for quirky Intel hosts
(bnc#1012628).
- xhci: apply XHCI_PME_STUCK_QUIRK to Intel Comet Lake platforms
(bnc#1012628).
- xhci: Fix memory leak when caching protocol extended capability
PSI tables - take 2 (bnc#1012628).
- USB: core: add endpoint-blacklist quirk (bnc#1012628).
- USB: quirks: blacklist duplicate ep on Sound Devices USBPre2
(bnc#1012628).
- usb: uas: fix a plug & unplug racing (bnc#1012628).
- USB: Fix novation SourceControl XL after suspend (bnc#1012628).
- USB: hub: Don't record a connect-change event during
reset-resume (bnc#1012628).
- USB: hub: Fix the broken detection of USB3 device in SMSC hub
(bnc#1012628).
- usb: dwc2: Fix SET/CLEAR_FEATURE and GET_STATUS flows
(bnc#1012628).
- usb: dwc3: gadget: Check for IOC/LST bit in TRB->ctrl fields
(bnc#1012628).
- usb: dwc3: debug: fix string position formatting mixup with
ret and len (bnc#1012628).
- scsi: Revert "target/core: Inline transport_lun_remove_cmd()"
(bnc#1012628).
- staging: rtl8188eu: Fix potential security hole (bnc#1012628).
- staging: rtl8188eu: Fix potential overuse of kernel memory
(bnc#1012628).
- staging: rtl8723bs: Fix potential security hole (bnc#1012628).
- staging: rtl8723bs: Fix potential overuse of kernel memory
(bnc#1012628).
- hwmon: (acpi_power_meter) Fix lockdep splat (bnc#1012628).
- drm/panfrost: perfcnt: Reserve/use the AS attached to the
perfcnt MMU context (bnc#1012628).
- powerpc/8xx: Fix clearing of bits 20-23 in ITLB miss
(bnc#1012628).
- powerpc/eeh: Fix deadlock handling dead PHB (bnc#1012628).
- powerpc/tm: Fix clearing MSR[TS] in current when reclaiming
on signal delivery (bnc#1012628).
- powerpc/entry: Fix an #if which should be an #ifdef in
entry_32.S (bnc#1012628).
- powerpc/hugetlb: Fix 512k hugepages on 8xx with 16k page size
(bnc#1012628).
- powerpc/hugetlb: Fix 8M hugepages on 8xx (bnc#1012628).
- arm64: memory: Add missing brackets to untagged_addr() macro
(bnc#1012628).
- jbd2: fix ocfs2 corrupt when clearing block group bits
(bnc#1012628).
- x86/ima: use correct identifier for SetupMode variable
(bnc#1012628).
- x86/mce/amd: Publish the bank pointer only after setup has
succeeded (bnc#1012628).
- x86/mce/amd: Fix kobject lifetime (bnc#1012628).
- x86/cpu/amd: Enable the fixed Instructions Retired counter
IRPERF (bnc#1012628).
- MIPS: ingenic: DTS: Fix watchdog nodes (bnc#1012628).
- serial: 8250: Check UPF_IRQ_SHARED in advance (bnc#1012628).
- tty/serial: atmel: manage shutdown in case of RS485 or ISO7816
mode (bnc#1012628).
- tty: serial: imx: setup the correct sg entry for tx dma
(bnc#1012628).
- tty: serial: qcom_geni_serial: Fix RX cancel command failure
(bnc#1012628).
- serdev: ttyport: restore client ops on deregistration
(bnc#1012628).
- MAINTAINERS: Update drm/i915 bug filing URL (bnc#1012628).
- fsi: aspeed: add unspecified HAS_IOMEM dependency (bnc#1012628).
- ACPI: PM: s2idle: Check fixed wakeup events in
acpi_s2idle_wake() (bnc#1012628).
- Revert "ipc,sem: remove uneeded sem_undo_list lock usage in
exit_sem()" (bnc#1012628).
- mm/memcontrol.c: lost css_put in memcg_expand_shrinker_maps()
(bnc#1012628).
- nvme-multipath: Fix memory leak with ana_log_buf (bnc#1012628).
- genirq/irqdomain: Make sure all irq domain flags are distinct
(bnc#1012628).
- mm/vmscan.c: don't round up scan size for online memory cgroup
(bnc#1012628).
- mm/sparsemem: pfn_to_page is not valid yet on SPARSEMEM
(bnc#1012628).
- lib/stackdepot.c: fix global out-of-bounds in stack_slabs
(bnc#1012628).
- mm: Avoid creating virtual address aliases in
brk()/mmap()/mremap() (bnc#1012628).
- drm/amdgpu/soc15: fix xclk for raven (bnc#1012628).
- drm/amdgpu/gfx9: disable gfxoff when reading rlc clock
(bnc#1012628).
- drm/amdgpu/gfx10: disable gfxoff when reading rlc clock
(bnc#1012628).
- drm/nouveau/kms/gv100-: Re-set LUT after clearing for modesets
(bnc#1012628).
- drm/i915: Wean off drm_pci_alloc/drm_pci_free (bnc#1012628).
- drm/i915: Update drm/i915 bug filing URL (bnc#1012628).
- sched/psi: Fix OOB write when writing 0 bytes to PSI files
(bnc#1012628).
- KVM: x86: don't notify userspace IOAPIC on edge-triggered
interrupt EOI (bnc#1012628).
- ext4: fix a data race in EXT4_I(inode)->i_disksize
(bnc#1012628).
- ext4: add cond_resched() to __ext4_find_entry() (bnc#1012628).
- ext4: fix potential race between online resizing and write
operations (bnc#1012628).
- ext4: fix potential race between s_group_info online resizing
and access (bnc#1012628).
- ext4: fix potential race between s_flex_groups online resizing
and access (bnc#1012628).
- ext4: fix mount failure with quota configured as module
(bnc#1012628).
- ext4: rename s_journal_flag_rwsem to s_writepages_rwsem
(bnc#1012628).
- ext4: fix race between writepages and enabling EXT4_EXTENTS_FL
(bnc#1012628).
- drm/i915/execlists: Always force a context reload when rewinding
RING_TAIL (bnc#1012628).
- KVM: nVMX: clear PIN_BASED_POSTED_INTR from nested pinbased_ctls
only when apicv is globally disabled (bnc#1012628).
- KVM: nVMX: handle nested posted interrupts when apicv is
disabled for L1 (bnc#1012628).
- KVM: apic: avoid calculating pending eoi from an uninitialized
val (bnc#1012628).
- crypto: chacha20poly1305 - prevent integer overflow on large
input (bnc#1012628).
- btrfs: destroy qgroup extent records on transaction abort
(bnc#1012628).
- btrfs: fix bytes_may_use underflow in prealloc error condtition
(bnc#1012628).
- btrfs: reset fs_root to NULL on error in open_ctree
(bnc#1012628).
- btrfs: do not check delayed items are empty for single
transaction cleanup (bnc#1012628).
- Btrfs: fix btrfs_wait_ordered_range() so that it waits for
all ordered extents (bnc#1012628).
- Btrfs: fix deadlock during fast fsync when logging prealloc
extents beyond eof (bnc#1012628).
- Revert "dmaengine: imx-sdma: Fix memory leak" (bnc#1012628).
- drm/i915/selftests: Add a mock i915_vma to the mock_ring
(bnc#1012628).
- drm/i915/gvt: more locking for ppgtt mm LRU list (bnc#1012628).
- drm/bridge: tc358767: fix poll timeouts (bnc#1012628).
- drm/i915/gem: Require per-engine reset support for
non-persistent contexts (bnc#1012628).
- drm/i915/gt: Protect defer_request() from new waiters
(bnc#1012628).
- drm/i915/ehl: Update port clock voltage level requirements
(bnc#1012628).
- drm/msm/dpu: fix BGR565 vs RGB565 confusion (bnc#1012628).
- scsi: Revert "RDMA/isert: Fix a recently introduced regression
related to logout" (bnc#1012628).
- scsi: Revert "target: iscsi: Wait for all commands to finish
before freeing a session" (bnc#1012628).
- usb: gadget: composite: Fix bMaxPower for SuperSpeedPlus
(bnc#1012628).
- usb: dwc2: Fix in ISOC request length checking (bnc#1012628).
- staging: rtl8723bs: fix copy of overlapping memory
(bnc#1012628).
- staging: greybus: use after free in
gb_audio_manager_remove_all() (bnc#1012628).
- ASoC: atmel: fix atmel_ssc_set_audio link failure (bnc#1012628).
- ASoC: fsl_sai: Fix exiting path on probing failure
(bnc#1012628).
- ecryptfs: replace BUG_ON with error handling code (bnc#1012628).
- iommu/vt-d: Fix compile warning from intel-svm.h (bnc#1012628).
- crypto: rename sm3-256 to sm3 in hash_algo_name (bnc#1012628).
- genirq/proc: Reject invalid affinity masks (again)
(bnc#1012628).
- bpf, offload: Replace bitwise AND by logical AND in
bpf_prog_offload_info_fill (bnc#1012628).
- scripts/get_maintainer.pl: deprioritize old Fixes: addresses
(bnc#1012628).
- io_uring: prevent sq_thread from spinning when it should stop
(bnc#1012628).
- io_uring: fix __io_iopoll_check deadlock in io_sq_thread
(bnc#1012628).
- dma-direct: relax addressability checks in dma_direct_supported
(bnc#1012628).
- ALSA: rawmidi: Avoid bit fields for state flags (bnc#1012628).
- ALSA: seq: Avoid concurrent access to queue flags (bnc#1012628).
- ALSA: seq: Fix concurrent access to queue current tick/time
(bnc#1012628).
- netfilter: xt_hashlimit: limit the max size of hashtable
(bnc#1012628).
- rxrpc: Fix call RCU cleanup using non-bh-safe locks
(bnc#1012628).
- ata: ahci: Add shutdown to freeze hardware resources of ahci
(bnc#1012628).
- xen: Enable interrupts when calling _cond_resched()
(bnc#1012628).
- net/mlx5e: Reset RQ doorbell counter before moving RQ state
from RST to RDY (bnc#1012628).
- net/mlx5: Fix sleep while atomic in mlx5_eswitch_get_vepa
(bnc#1012628).
- net/mlx5e: Don't clear the whole vf config when switching modes
(bnc#1012628).
- net/mlx5e: Fix crash in recovery flow without devlink reporter
(bnc#1012628).
- s390/kaslr: Fix casts in get_random (bnc#1012628).
- s390/mm: Explicitly compare PAGE_DEFAULT_KEY against zero in
storage_key_init_range (bnc#1012628).
- bpf: Selftests build error in sockmap_basic.c (bnc#1012628).
- ASoC: SOF: Intel: hda: Add iDisp4 DAI (bnc#1012628).
- commit 1004bce
-------------------------------------------------------------------
Thu Feb 27 08:51:54 CET 2020 - jslaby@suse.cz
- Revert "sign also s390x kernel images (bsc#1163524)"
This reverts commit b38b61155f0a2c3ebca06d4bb0c2e11a19a87f1f.
It breaks s390x build as we need pesign-obs-integration package updated
first.
Let's enable this later when everything is ready.
- commit eca1eba
-------------------------------------------------------------------
Wed Feb 26 15:15:41 CET 2020 - msuchanek@suse.de
- rpm/package-descriptions: garbege collection
remove old ARM and Xen flavors.
- commit bda0360
-------------------------------------------------------------------
Wed Feb 26 14:05:58 CET 2020 - mhocko@suse.com
- Created new preempt kernel flavor (jsc#SLE-11309)
Configs are cloned from the respective $arch/default configs. All
changed configs appart from CONFIG_PREEMPT->y are a result of
dependencies, namely many lock/unlock primitives are no longer
inlined in the preempt kernel. TREE_RCU has been also changed to
PREEMPT_RCU which is the default implementation for PREEMPT kernel.
- commit f994874
-------------------------------------------------------------------
Tue Feb 25 11:49:29 CET 2020 - meissner@suse.de
- sign also s390x kernel images (bsc#1163524)
- commit fd52e6c
-------------------------------------------------------------------
Tue Feb 25 11:37:02 CET 2020 - jroedel@suse.de
- KVM: nVMX: Check IO instruction VM-exit conditions
(CVE-2020-2732 bsc#1163971).
- KVM: nVMX: Refactor IO bitmap checks into helper function
(CVE-2020-2732 bsc#1163971).
- KVM: nVMX: Don't emulate instructions in guest mode
(CVE-2020-2732 bsc#1163971).
- commit 9a155f2
-------------------------------------------------------------------
Tue Feb 25 11:27:18 CET 2020 - jroedel@suse.de
- KVM: nVMX: Check IO instruction VM-exit conditions
(CVE-2020-2732 bsc#1163971).
- KVM: nVMX: Refactor IO bitmap checks into helper function
(CVE-2020-2732 bsc#1163971).
- KVM: nVMX: Don't emulate instructions in guest mode
(CVE-2020-2732 bsc#1163971).
- commit c225901
-------------------------------------------------------------------
Mon Feb 24 13:39:50 CET 2020 - msuchanek@suse.de
- Enable CONFIG_BLK_DEV_SR_VENDOR (boo#1164632).
- commit 517bced
-------------------------------------------------------------------
Mon Feb 24 10:02:29 CET 2020 - jslaby@suse.cz
- arm64: lse: Fix LSE atomics with LLVM (git-fixes).
- Revert "drm/fbdev: Fallback to non tiled mode if all tiles
not present" (git-fixes).
- ice: Remove possible null dereference (git-fixes).
- commit 4a830b1
-------------------------------------------------------------------
Mon Feb 24 09:07:26 CET 2020 - jslaby@suse.cz
- Linux 5.5.6 (bnc#1012628).
- core: Don't skip generic XDP program execution for cloned SKBs
(bnc#1012628).
- enic: prevent waking up stopped tx queues over watchdog reset
(bnc#1012628).
- net/smc: fix leak of kernel memory to user space (bnc#1012628).
- net: dsa: tag_qca: Make sure there is headroom for tag
(bnc#1012628).
- net/sched: matchall: add missing validation of
TCA_MATCHALL_FLAGS (bnc#1012628).
- net/sched: flower: add missing validation of TCA_FLOWER_FLAGS
(bnc#1012628).
- drm/gma500: Fixup fbdev stolen size usage evaluation
(bnc#1012628).
- drm/dp_mst: fix multiple frees of tx->bytes (bnc#1012628).
- ath10k: Fix qmi init error handling (bnc#1012628).
- wil6210: fix break that is never reached because of zero'ing
of a retry counter (bnc#1012628).
- drm/virtio: fix byteorder handling in
virtio_gpu_cmd_transfer_{from, to}_host_3d functions
(bnc#1012628).
- drm/qxl: Complete exception handling in qxl_device_init()
(bnc#1012628).
- rcu/nocb: Fix dump_tree hierarchy print always active
(bnc#1012628).
- rcu: Fix missed wakeup of exp_wq waiters (bnc#1012628).
- rcu: Fix data-race due to atomic_t copy-by-value (bnc#1012628).
- dmaengine: ti: edma: add missed operations (bnc#1012628).
- f2fs: preallocate DIO blocks when forcing buffered_io
(bnc#1012628).
- f2fs: call f2fs_balance_fs outside of locked page (bnc#1012628).
- media: meson: add missing allocation failure check on new_buf
(bnc#1012628).
- clk: meson: pll: Fix by 0 division in __pll_params_to_rate()
(bnc#1012628).
- cpu/hotplug, stop_machine: Fix stop_machine vs hotplug order
(bnc#1012628).
- drm: rcar-du: Recognize "renesas,vsps" in addition to "vsps"
(bnc#1012628).
- dmaengine: ti: edma: Fix error return code in edma_probe()
(bnc#1012628).
- brcmfmac: Fix memory leak in brcmf_p2p_create_p2pdev()
(bnc#1012628).
- brcmfmac: Fix use after free in brcmf_sdio_readframes()
(bnc#1012628).
- PCI: Fix pci_add_dma_alias() bitmask size (bnc#1012628).
- drm/amd/display: Map ODM memory correctly when doing ODM combine
(bnc#1012628).
- leds: pca963x: Fix open-drain initialization (bnc#1012628).
- ext4: fix ext4_dax_read/write inode locking sequence for
IOCB_NOWAIT (bnc#1012628).
- ALSA: ctl: allow TLV read operation for callback type of
element in locked case (bnc#1012628).
- gianfar: Fix TX timestamping with a stacked DSA driver
(bnc#1012628).
- pinctrl: sh-pfc: sh7264: Fix CAN function GPIOs (bnc#1012628).
- printk: fix exclusive_console replaying (bnc#1012628).
- drm/mipi_dbi: Fix off-by-one bugs in mipi_dbi_blank()
(bnc#1012628).
- drm/msm/adreno: fix zap vs no-zap handling (bnc#1012628).
- pxa168fb: Fix the function used to release some memory in an
error handling path (bnc#1012628).
- media: ov5640: Fix check for PLL1 exceeding max allowed rate
(bnc#1012628).
- media: i2c: mt9v032: fix enum mbus codes and frame sizes
(bnc#1012628).
- media: sun4i-csi: Deal with DRAM offset (bnc#1012628).
- media: sun4i-csi: Fix data sampling polarity handling
(bnc#1012628).
- media: sun4i-csi: Fix [HV]sync polarity handling (bnc#1012628).
- clk: at91: sam9x60: fix programmable clock prescaler
(bnc#1012628).
- powerpc/powernv/iov: Ensure the pdn for VFs always contains
a valid PE number (bnc#1012628).
- clk: meson: meson8b: make the CCF use the glitch-free mali mux
(bnc#1012628).
- gpio: gpio-grgpio: fix possible sleep-in-atomic-context bugs
in grgpio_irq_map/unmap() (bnc#1012628).
- iommu/vt-d: Fix off-by-one in PASID allocation (bnc#1012628).
- x86/fpu: Deactivate FPU state after failure during state load
(bnc#1012628).
- dm raid: table line rebuild status fixes (bnc#1012628).
- char/random: silence a lockdep splat with printk()
(bnc#1012628).
- media: sti: bdisp: fix a possible sleep-in-atomic-context bug
in bdisp_device_run() (bnc#1012628).
- kernel/module: Fix memleak in module_add_modinfo_attrs()
(bnc#1012628).
- IB/core: Let IB core distribute cache update events
(bnc#1012628).
- pinctrl: baytrail: Do not clear IRQ flags on direct-irq enabled
pins (bnc#1012628).
- kprobes: Fix optimize_kprobe()/unoptimize_kprobe() cancellation
logic (bnc#1012628).
- efi/x86: Map the entire EFI vendor string before copying it
(bnc#1012628).
- sparc: Add .exit.data section (bnc#1012628).
- net: ethernet: ixp4xx: Standard module init (bnc#1012628).
- raid6/test: fix a compilation error (bnc#1012628).
- iio: imu: st_lsm6dsx: check return value from
st_lsm6dsx_sensor_set_enable (bnc#1012628).
- uio: fix a sleep-in-atomic-context bug in
uio_dmem_genirq_irqcontrol() (bnc#1012628).
- drm/amdgpu/sriov: workaround on rev_id for Navi12 under sriov
(bnc#1012628).
- spi: fsl-lpspi: fix only one cs-gpio working (bnc#1012628).
- drm/nouveau/nouveau: fix incorrect sizeof on args.src an
args.dst (bnc#1012628).
- usb: gadget: udc: fix possible sleep-in-atomic-context bugs
in gr_probe() (bnc#1012628).
- usb: dwc2: Fix IN FIFO allocation (bnc#1012628).
- clocksource/drivers/bcm2835_timer: Fix memory leak of timer
(bnc#1012628).
- drm/amd/display: Clear state after exiting fixed active VRR
state (bnc#1012628).
- kselftest: Minimise dependency of get_size on C library
interfaces (bnc#1012628).
- jbd2: clear JBD2_ABORT flag before journal_reset to update
log tail info when load journal (bnc#1012628).
- ext4: fix deadlock allocating bio_post_read_ctx from mempool
(bnc#1012628).
- clk: ti: dra7: fix parent for gmac_clkctrl (bnc#1012628).
- x86/sysfb: Fix check for bad VRAM size (bnc#1012628).
- pwm: omap-dmtimer: Simplify error handling (bnc#1012628).
- udf: Allow writing to 'Rewritable' partitions (bnc#1012628).
- dmaengine: fsl-qdma: fix duplicated argument to &&
(bnc#1012628).
- wan/hdlc_x25: fix skb handling (bnc#1012628).
- irqchip/gic-v3-its: Fix get_vlpi_map() breakage with doorbells
(bnc#1012628).
- s390/pci: Fix possible deadlock in recover_store()
(bnc#1012628).
- backlight: qcom-wled: Fix unsigned comparison to zero
(bnc#1012628).
- powerpc/iov: Move VF pdev fixup into pcibios_fixup_iov()
(bnc#1012628).
- tracing: Fix tracing_stat return values in error handling paths
(bnc#1012628).
- tracing: Fix very unlikely race of registering two stat tracers
(bnc#1012628).
- ARM: 8952/1: Disable kmemleak on XIP kernels (bnc#1012628).
- ext4, jbd2: ensure panic when aborting with zero errno
(bnc#1012628).
- ath10k: Correct the DMA direction for management tx buffers
(bnc#1012628).
- rtw88: fix rate mask for 1SS chip (bnc#1012628).
- brcmfmac: sdio: Fix OOB interrupt initialization on brcm43362
(bnc#1012628).
- selftests: settings: tests can be in subsubdirs (bnc#1012628).
- rtc: i2c/spi: Avoid inclusion of REGMAP support when not needed
(bnc#1012628).
- drm/amd/display: Retrain dongles when SINK_COUNT becomes
non-zero (bnc#1012628).
- tracing: Simplify assignment parsing for hist triggers
(bnc#1012628).
- nbd: add a flush_workqueue in nbd_start_device (bnc#1012628).
- KVM: s390: ENOTSUPP -> EOPNOTSUPP fixups (bnc#1012628).
- Btrfs: keep pages dirty when using btrfs_writepage_fixup_worker
(bnc#1012628).
- drivers/block/zram/zram_drv.c: fix error return codes not
being returned in writeback_store (bnc#1012628).
- block, bfq: do not plug I/O for bfq_queues with no proc refs
(bnc#1012628).
- kconfig: fix broken dependency in randconfig-generated .config
(bnc#1012628).
- clk: qcom: Don't overwrite 'cfg' in clk_rcg2_dfs_populate_freq()
(bnc#1012628).
- clk: qcom: rcg2: Don't crash if our parent can't be found;
return an error (bnc#1012628).
- drm/amdkfd: Fix a bug in SDMA RLC queue counting under HWS mode
(bnc#1012628).
- bpf, sockhash: Synchronize_rcu before free'ing map
(bnc#1012628).
- drm/amd/display: Renoir chroma viewport WA (bnc#1012628).
- drm/amdgpu: remove 4 set but not used variable in
amdgpu_atombios_get_connector_info_from_object_table
(bnc#1012628).
- drm/amdgpu/dm: Do not throw an error for a display with no audio
(bnc#1012628).
- ath10k: correct the tlv len of
ath10k_wmi_tlv_op_gen_config_pno_start (bnc#1012628).
- drm/amdgpu: Ensure ret is always initialized when using
SOC15_WAIT_ON_RREG (bnc#1012628).
- drm/panel: simple: Add Logic PD Type 28 display support
(bnc#1012628).
- arm64: dts: rockchip: Fix NanoPC-T4 cooling maps (bnc#1012628).
- modules: lockdep: Suppress suspicious RCU usage warning
(bnc#1012628).
- ASoC: intel: sof_rt5682: Add quirk for number of HDMI DAI's
(bnc#1012628).
- ASoC: intel: sof_rt5682: Add support for tgl-max98357a-rt5682
(bnc#1012628).
- ASoC: SOF: Intel: hda: solve MSI issues by merging ipc and
stream irq handlers (bnc#1012628).
- regulator: rk808: Lower log level on optional GPIOs being not
available (bnc#1012628).
- net/wan/fsl_ucc_hdlc: reject muram offsets above 64K
(bnc#1012628).
- Revert "drm/amdgpu: enable VCN DPG on Raven and Raven2"
(bnc#1012628).
- NFC: port100: Convert cpu_to_le16(le16_to_cpu(E1) + E2) to
use le16_add_cpu() (bnc#1012628).
- arm64: dts: allwinner: H6: Add PMU mode (bnc#1012628).
- arm64: dts: allwinner: H5: Add PMU node (bnc#1012628).
- arm: dts: allwinner: H3: Add PMU node (bnc#1012628).
- opp: Free static OPPs on errors while adding them (bnc#1012628).
- selinux: ensure we cleanup the internal AVC counters on error
in avc_insert() (bnc#1012628).
- enetc: remove variable 'tc_max_sized_frame' set but not used
(bnc#1012628).
- arm64: dts: qcom: msm8996: Disable USB2 PHY suspend by core
(bnc#1012628).
- padata: validate cpumask without removed CPU during offline
(bnc#1012628).
- clk: imx: Add correct failure handling for clk based helpers
(bnc#1012628).
- ARM: exynos_defconfig: Bring back explicitly wanted options
(bnc#1012628).
- ARM: dts: imx6: rdu2: Disable WP for USDHC2 and USDHC3
(bnc#1012628).
- ARM: dts: imx6: rdu2: Limit USBH1 to Full Speed (bnc#1012628).
- IMA: Check IMA policy flag (bnc#1012628).
- bus: ti-sysc: Implement quirk handling for CLKDM_NOAUTO
(bnc#1012628).
- PCI: iproc: Apply quirk_paxc_bridge() for module as well as
built-in (bnc#1012628).
- media: cx23885: Add support for AVerMedia CE310B (bnc#1012628).
- PCI: Add generic quirk for increasing D3hot delay (bnc#1012628).
- PCI: Increase D3 delay for AMD Ryzen5/7 XHCI controllers
(bnc#1012628).
- Revert "nfp: abm: fix memory leak in nfp_abm_u32_knode_replace"
(bnc#1012628).
- gpu/drm: ingenic: Avoid null pointer deference in plane atomic
update (bnc#1012628).
- selftests/net: make so_txtime more robust to timer variance
(bnc#1012628).
- media: v4l2-device.h: Explicitly compare grp{id,mask} to zero
in v4l2_device macros (bnc#1012628).
- reiserfs: Fix spurious unlock in reiserfs_fill_super() error
handling (bnc#1012628).
- samples/bpf: Set -fno-stack-protector when building BPF programs
(bnc#1012628).
- r8169: check that Realtek PHY driver module is loaded
(bnc#1012628).
- fore200e: Fix incorrect checks of NULL pointer dereference
(bnc#1012628).
- x86/mce/therm_throt: Mark throttle_active_work() as
__maybe_unused (bnc#1012628).
- netfilter: nft_tunnel: add the missing ERSPAN_VERSION nla_policy
(bnc#1012628).
- ALSA: usx2y: Adjust indentation in snd_usX2Y_hwdep_dsp_status
(bnc#1012628).
- nfs: fix timstamp debug prints (bnc#1012628).
- PCI: Add nr_devfns parameter to pci_add_dma_alias()
(bnc#1012628).
- PCI: Add DMA alias quirk for PLX PEX NTB (bnc#1012628).
- b43legacy: Fix -Wcast-function-type (bnc#1012628).
- ipw2x00: Fix -Wcast-function-type (bnc#1012628).
- iwlegacy: Fix -Wcast-function-type (bnc#1012628).
- rtlwifi: rtl_pci: Fix -Wcast-function-type (bnc#1012628).
- orinoco: avoid assertion in case of NULL pointer (bnc#1012628).
- drm/amd/display: Fix update_bw_bounding_box Calcs (bnc#1012628).
- drm/amd/display: Lower DPP DTO only when safe (bnc#1012628).
- drm/amdgpu: fix double gpu_recovery for NV of SRIOV
(bnc#1012628).
- drm/amdgpu: fix KIQ ring test fail in TDR of SRIOV
(bnc#1012628).
- clk: qcom: smd: Add missing bimc clock (bnc#1012628).
- ACPICA: Disassembler: create buffer fields in
ACPI_PARSE_LOAD_PASS1 (bnc#1012628).
- nfsd: Clone should commit src file metadata too (bnc#1012628).
- scsi: ufs: Complete pending requests in host reset and restore
path (bnc#1012628).
- scsi: aic7xxx: Adjust indentation in ahc_find_syncrate
(bnc#1012628).
- crypto: inside-secure - add unspecified HAS_IOMEM dependency
(bnc#1012628).
- crypto: amlogic - add unspecified HAS_IOMEM dependency
(bnc#1012628).
- drm/mediatek: handle events when enabling/disabling crtc
(bnc#1012628).
- clk: renesas: rcar-gen3: Allow changing the RPC[D2] clocks
(bnc#1012628).
- ARM: dts: r8a7779: Add device node for ARM global timer
(bnc#1012628).
- remoteproc: q6v5-mss: Remove mem clk from the active pool
(bnc#1012628).
- selinux: ensure we cleanup the internal AVC counters on error
in avc_update() (bnc#1012628).
- scsi: lpfc: Fix: Rework setting of fdmi symbolic node name
registration (bnc#1012628).
- arm64: dts: qcom: db845c: Enable ath10k 8bit host-cap quirk
(bnc#1012628).
- PCI/ATS: Restore EXPORT_SYMBOL_GPL() for
pci_{enable,disable}_ats() (bnc#1012628).
- iommu/amd: Check feature support bit before accessing MSI
capability registers (bnc#1012628).
- iommu/amd: Only support x2APIC with IVHD type 11h/40h
(bnc#1012628).
- iommu/iova: Silence warnings under memory pressure
(bnc#1012628).
- clk: qcom: Add missing msm8998 gcc_bimc_gfx_clk (bnc#1012628).
- clk: actually call the clock init before any other callback
of the clock (bnc#1012628).
- dmaengine: Store module owner in dma_device struct
(bnc#1012628).
- clk: bm1800: Remove set but not used variable 'fref'
(bnc#1012628).
- dmaengine: imx-sdma: Fix memory leak (bnc#1012628).
- bpf: Print error message for bpftool cgroup show (bnc#1012628).
- net: phy: realtek: add logging for the RGMII TX delay
configuration (bnc#1012628).
- crypto: chtls - Fixed memory leak (bnc#1012628).
- x86/vdso: Provide missing include file (bnc#1012628).
- PM / devfreq: exynos-ppmu: Fix excessive stack usage
(bnc#1012628).
- PM / devfreq: Change time stats to 64-bit (bnc#1012628).
- PM / devfreq: rk3399_dmc: Add COMPILE_TEST and HAVE_ARM_SMCCC
dependency (bnc#1012628).
- drm/fbdev: Fallback to non tiled mode if all tiles not present
(bnc#1012628).
- pinctrl: sh-pfc: sh7269: Fix CAN function GPIOs (bnc#1012628).
- reset: uniphier: Add SCSSI reset control for each channel
(bnc#1012628).
- ASoC: soc-topology: fix endianness issues (bnc#1012628).
- fbdev: fix numbering of fbcon options (bnc#1012628).
- RDMA/rxe: Fix error type of mmap_offset (bnc#1012628).
- ice: add extra check for null Rx descriptor (bnc#1012628).
- clk: sunxi-ng: add mux and pll notifiers for A64 CPU clock
(bnc#1012628).
- ALSA: sh: Fix unused variable warnings (bnc#1012628).
- clk: Use parent node pointer during registration if necessary
(bnc#1012628).
- clk: uniphier: Add SCSSI clock gate for each channel
(bnc#1012628).
- ALSA: hda/realtek - Apply mic mute LED quirk for Dell E7xx
laptops, too (bnc#1012628).
- ALSA: sh: Fix compile warning wrt const (bnc#1012628).
- net: phy: fixed_phy: fix use-after-free when checking link GPIO
(bnc#1012628).
- tools lib api fs: Fix gcc9 stringop-truncation compilation error
(bnc#1012628).
- vfio/spapr/nvlink2: Skip unpinning pages on error exit
(bnc#1012628).
- ASoC: Intel: sof_rt5682: Ignore the speaker amp when there
isn't one (bnc#1012628).
- ACPI: button: Add DMI quirk for Razer Blade Stealth 13 late
2019 lid switch (bnc#1012628).
- iommu/vt-d: Match CPU and IOMMU paging mode (bnc#1012628).
- iommu/vt-d: Avoid sending invalid page response (bnc#1012628).
- drm/amdkfd: Fix permissions of hang_hws (bnc#1012628).
- mlx5: work around high stack usage with gcc (bnc#1012628).
- RDMA/hns: Avoid printing address of mtt page (bnc#1012628).
- drm: remove the newline for CRC source name (bnc#1012628).
- usb: dwc3: use proper initializers for property entries
(bnc#1012628).
- ARM: dts: stm32: Add power-supply for DSI panel on
stm32f469-disco (bnc#1012628).
- usbip: Fix unsafe unaligned pointer usage (bnc#1012628).
- udf: Fix free space reporting for metadata and virtual
partitions (bnc#1012628).
- selftests: Uninitialized variable in
test_cgcore_proc_migration() (bnc#1012628).
- kunit: remove timeout dependence on
sysctl_hung_task_timeout_seconds (bnc#1012628).
- drm/mediatek: Add gamma property according to hardware
capability (bnc#1012628).
- staging: rtl8188: avoid excessive stack usage (bnc#1012628).
- IB/hfi1: Add software counter for ctxt0 seq drop (bnc#1012628).
- IB/hfi1: Add RcvShortLengthErrCnt to hfi1stats (bnc#1012628).
- soc/tegra: fuse: Correct straps' address for older Tegra124
device trees (bnc#1012628).
- efi/x86: Don't panic or BUG() on non-critical error conditions
(bnc#1012628).
- rcu: Use WRITE_ONCE() for assignments to ->pprev for hlist_nulls
(bnc#1012628).
- Input: edt-ft5x06 - work around first register access error
(bnc#1012628).
- bnxt: Detach page from page pool before sending up the stack
(bnc#1012628).
- x86/nmi: Remove irq_work from the long duration NMI handler
(bnc#1012628).
- wan: ixp4xx_hss: fix compile-testing on 64-bit (bnc#1012628).
- clocksource: davinci: only enable clockevents once tim34 is
initialized (bnc#1012628).
- arm64: dts: rockchip: fix dwmmc clock name for px30
(bnc#1012628).
- arm64: dts: rockchip: fix dwmmc clock name for rk3308
(bnc#1012628).
- arm64: dts: rockchip: add reg property to brcmf sub-nodes
(bnc#1012628).
- ARM: dts: rockchip: add reg property to brcmf sub node for
rk3188-bqedison2qc (bnc#1012628).
- ALSA: usb-audio: Add boot quirk for MOTU M Series (bnc#1012628).
- ASoC: txx9: Remove unused rtd variable (bnc#1012628).
- ASoC: atmel: fix build error with CONFIG_SND_ATMEL_SOC_DMA=m
(bnc#1012628).
- raid6/test: fix a compilation warning (bnc#1012628).
- tty: synclinkmp: Adjust indentation in several functions
(bnc#1012628).
- tty: synclink_gt: Adjust indentation in several functions
(bnc#1012628).
- bus: fsl-mc: properly empty-initialize structure (bnc#1012628).
- misc: xilinx_sdfec: fix xsdfec_poll()'s return type
(bnc#1012628).
- visorbus: fix uninitialized variable access (bnc#1012628).
- driver core: platform: Prevent resouce overflow from causing
infinite loops (bnc#1012628).
- driver core: Print device when resources present in
really_probe() (bnc#1012628).
- ASoC: SOF: Intel: hda-dai: fix compilation warning in
pcm_prepare (bnc#1012628).
- bpf: Return -EBADRQC for invalid map type in __bpf_tx_xdp_map
(bnc#1012628).
- vme: bridges: reduce stack usage (bnc#1012628).
- MIPS: ralink: dts: gardena_smart_gateway_mt7688: Limit UART1
(bnc#1012628).
- drm/nouveau/secboot/gm20b: initialize pointer in
gm20b_secboot_new() (bnc#1012628).
- drm/nouveau/gr/gk20a,gm200-: add terminators to method lists
read from fw (bnc#1012628).
- drm/nouveau: Fix copy-paste error in
nouveau_fence_wait_uevent_handler (bnc#1012628).
- drm/nouveau/drm/ttm: Remove set but not used variable 'mem'
(bnc#1012628).
- drm/nouveau/fault/gv100-: fix memory leak on module unload
(bnc#1012628).
- dm thin: don't allow changing data device during thin-pool
reload (bnc#1012628).
- gpiolib: Set lockdep class for hierarchical irq domains
(bnc#1012628).
- drm/vmwgfx: prevent memory leak in vmw_cmdbuf_res_add
(bnc#1012628).
- perf/imx_ddr: Fix cpu hotplug state cleanup (bnc#1012628).
- usb: musb: omap2430: Get rid of musb .set_vbus for omap2430 glue
(bnc#1012628).
- kbuild: remove *.tmp file when filechk fails (bnc#1012628).
- iommu/arm-smmu-v3: Use WRITE_ONCE() when changing validity of
an STE (bnc#1012628).
- ALSA: usb-audio: unlock on error in probe (bnc#1012628).
- f2fs: set I_LINKABLE early to avoid wrong access by vfs
(bnc#1012628).
- f2fs: free sysfs kobject (bnc#1012628).
- scsi: ufs: pass device information to apply_dev_quirks
(bnc#1012628).
- scsi: ufs-mediatek: add apply_dev_quirks variant operation
(bnc#1012628).
- scsi: iscsi: Don't destroy session if there are outstanding
connections (bnc#1012628).
- crypto: hisilicon - Update debugfs usage of SEC V2
(bnc#1012628).
- crypto: hisilicon - Bugfixed tfm leak (bnc#1012628).
- crypto: essiv - fix AEAD capitalization and preposition use
in help text (bnc#1012628).
- ALSA: usb-audio: add implicit fb quirk for MOTU M Series
(bnc#1012628).
- PM / devfreq: Add debugfs support with devfreq_summary file
(bnc#1012628).
- pinctrl: tigerlake: Tiger Lake uses _HID enumeration
(bnc#1012628).
- RDMA/mlx5: Don't fake udata for kernel path (bnc#1012628).
- arm64: lse: fix LSE atomics with LLVM's integrated assembler
(bnc#1012628).
- arm64: fix alternatives with LLVM's integrated assembler
(bnc#1012628).
- drm/amd/display: fixup DML dependencies (bnc#1012628).
- staging: wfx: fix possible overflow on jiffies comparaison
(bnc#1012628).
- EDAC/sifive: Fix return value check in ecc_register()
(bnc#1012628).
- KVM: PPC: Remove set but not used variable 'ra', 'rs', 'rt'
(bnc#1012628).
- arm64: dts: ti: k3-j721e-main: Add missing power-domains for
smmu (bnc#1012628).
- sched/core: Fix size of rq::uclamp initialization (bnc#1012628).
- sched/topology: Assert non-NUMA topology masks don't (partially)
overlap (bnc#1012628).
- perf/x86/amd: Constrain Large Increment per Cycle events
(bnc#1012628).
- watchdog/softlockup: Enforce that timestamp is valid on boot
(bnc#1012628).
- enetc: Don't print from enetc_sched_speed_set when link goes
down (bnc#1012628).
- x86/apic/uv: Avoid unused variable warning (bnc#1012628).
- debugobjects: Fix various data races (bnc#1012628).
- ASoC: wm_adsp: Correct cache handling of new kernel control API
(bnc#1012628).
- ASoC: SOF: Intel: hda: Fix SKL dai count (bnc#1012628).
- regulator: vctrl-regulator: Avoid deadlock getting and setting
the voltage (bnc#1012628).
- f2fs: fix memleak of kobject (bnc#1012628).
- x86/mm: Fix NX bit clearing issue in kernel_map_pages_in_pgd
(bnc#1012628).
- efi/arm: Defer probe of PCIe backed efifb on DT systems
(bnc#1012628).
- x86/boot/compressed: Relax sed symbol type regex for LLVM ld.lld
(bnc#1012628).
- pwm: omap-dmtimer: Remove PWM chip in .remove before making
it unfunctional (bnc#1012628).
- cmd64x: potential buffer overflow in cmd64x_program_timings()
(bnc#1012628).
- ide: serverworks: potential overflow in svwks_set_pio_mode()
(bnc#1012628).
- pwm: Remove set but not set variable 'pwm' (bnc#1012628).
- btrfs: fix possible NULL-pointer dereference in integrity checks
(bnc#1012628).
- btrfs: safely advance counter when looking up bio csums
(bnc#1012628).
- btrfs: device stats, log when stats are zeroed (bnc#1012628).
- module: avoid setting info->name early in case we can fall
back to info->mod->name (bnc#1012628).
- remoteproc: Initialize rproc_class before use (bnc#1012628).
- regulator: core: Fix exported symbols to the exported GPL
version (bnc#1012628).
- irqchip/mbigen: Set driver .suppress_bind_attrs to avoid remove
problems (bnc#1012628).
- ALSA: hda/hdmi - add retry logic to parse_intel_hdmi()
(bnc#1012628).
- spi: spi-fsl-qspi: Ensure width is respected in spi-mem
operations (bnc#1012628).
- kbuild: use -S instead of -E for precise cc-option test in
Kconfig (bnc#1012628).
- objtool: Fix ARCH=x86_64 build error (bnc#1012628).
- x86/decoder: Add TEST opcode to Group3-2 (bnc#1012628).
- s390: adjust -mpacked-stack support check for clang 10
(bnc#1012628).
- s390/ftrace: generate traced function stack frame (bnc#1012628).
- s390: fix __EMIT_BUG() macro (bnc#1012628).
- driver core: platform: fix u32 greater or equal to zero
comparison (bnc#1012628).
- bpf, btf: Always output invariant hit in pahole DWARF to BTF
transform (bnc#1012628).
- ALSA: hda - Add docking station support for Lenovo Thinkpad
T420s (bnc#1012628).
- sunrpc: Fix potential leaks in sunrpc_cache_unhash()
(bnc#1012628).
- drm/nouveau/mmu: fix comptag memory leak (bnc#1012628).
- net/mlx5e: Fix printk format warning (bnc#1012628).
- powerpc/sriov: Remove VF eeh_dev state when disabling SR-IOV
(bnc#1012628).
- media: uvcvideo: Add a quirk to force GEO GC6500 Camera
bits-per-pixel value (bnc#1012628).
- btrfs: separate definition of assertion failure handlers
(bnc#1012628).
- btrfs: Fix split-brain handling when changing FSID to metadata
uuid (bnc#1012628).
- bcache: cached_dev_free needs to put the sb page (bnc#1012628).
- bcache: rework error unwinding in register_bcache (bnc#1012628).
- bcache: fix use-after-free in register_bcache() (bnc#1012628).
- iommu/vt-d: Mark firmware tainted if RMRR fails sanity check
(bnc#1012628).
- iommu/vt-d: Remove unnecessary WARN_ON_ONCE() (bnc#1012628).
- alarmtimer: Make alarmtimer platform device child of RTC device
(bnc#1012628).
- selftests: bpf: Reset global state between reuseport test runs
(bnc#1012628).
- jbd2: switch to use jbd2_journal_abort() when failed to submit
the commit record (bnc#1012628).
- jbd2: make sure ESHUTDOWN to be recorded in the journal
superblock (bnc#1012628).
- powerpc/pseries/lparcfg: Fix display of Maximum Memory
(bnc#1012628).
- selftests/eeh: Bump EEH wait time to 60s (bnc#1012628).
- ARM: 8941/1: decompressor: enable CP15 barrier instructions
in v7 cache setup code (bnc#1012628).
- ARM: 8951/1: Fix Kexec compilation issue (bnc#1012628).
- ALSA: usb-audio: add quirks for Line6 Helix devices fw>=2.82
(bnc#1012628).
- hostap: Adjust indentation in prism2_hostapd_add_sta
(bnc#1012628).
- iwlegacy: ensure loop counter addr does not wrap and cause an
infinite loop (bnc#1012628).
- cifs: fix unitialized variable poential problem with network
I/O cache lock patch (bnc#1012628).
- cifs: Fix mount options set in automount (bnc#1012628).
- cifs: fix NULL dereference in match_prepath (bnc#1012628).
- video: hyperv: hyperv_fb: Use physical memory for fb on HyperV
Gen 1 VMs (bnc#1012628).
- bpf: map_seq_next should always increase position index
(bnc#1012628).
- powerpc/mm: Don't log user reads to 0xffffffff (bnc#1012628).
- ceph: check availability of mds cluster on mount after wait
timeout (bnc#1012628).
- rbd: work around -Wuninitialized warning (bnc#1012628).
- ASoC: Intel: consistent HDMI codec probing code (bnc#1012628).
- drm/amd/display: do not allocate display_mode_lib unnecessarily
(bnc#1012628).
- irqchip/gic-v3: Only provision redistributors that are enabled
in ACPI (bnc#1012628).
- KVM: PPC: Book3S HV: Release lock on page-out failure path
(bnc#1012628).
- drm/nouveau/disp/nv50-: prevent oops when no channel method
map provided (bnc#1012628).
- char: hpet: Fix out-of-bounds read bug (bnc#1012628).
- ftrace: fpid_next() should increase position index
(bnc#1012628).
- trigger_next should increase position index (bnc#1012628).
- radeon: insert 10ms sleep in dce5_crtc_load_lut (bnc#1012628).
- powerpc: Do not consider weak unresolved symbol relocations
as bad (bnc#1012628).
- btrfs: do not do delalloc reservation under page lock
(bnc#1012628).
- tracing: Fix now invalid var_ref_vals assumption in trace action
(bnc#1012628).
- ocfs2: make local header paths relative to C files
(bnc#1012628).
- ocfs2: fix a NULL pointer dereference when call
ocfs2_update_inode_fsync_trans() (bnc#1012628).
- lib/scatterlist.c: adjust indentation in __sg_alloc_table
(bnc#1012628).
- reiserfs: prevent NULL pointer dereference in
reiserfs_insert_item() (bnc#1012628).
- bcache: fix memory corruption in bch_cache_accounting_clear()
(bnc#1012628).
- bcache: explicity type cast in bset_bkey_last() (bnc#1012628).
- irqchip/gic-v3-its: Reference to its_invall_cmd descriptor
when building INVALL (bnc#1012628).
- nvmet: Pass lockdep expression to RCU lists (bnc#1012628).
- nvmet: fix dsm failure when payload does not match sgl
descriptor (bnc#1012628).
- nvme-pci: remove nvmeq->tags (bnc#1012628).
- iwlwifi: mvm: Fix thermal zone registration (bnc#1012628).
- iwlwifi: mvm: Check the sta is not NULL in iwl_mvm_cfg_he_sta()
(bnc#1012628).
- asm-generic/tlb: add missing CONFIG symbol (bnc#1012628).
- tc-testing: add missing 'nsPlugin' to basic.json (bnc#1012628).
- microblaze: Prevent the overflow of the start (bnc#1012628).
- brd: check and limit max_part par (bnc#1012628).
- drm/amdgpu/smu10: fix smu10_get_clock_by_type_with_latency
(bnc#1012628).
- drm/amdgpu/smu10: fix smu10_get_clock_by_type_with_voltage
(bnc#1012628).
- NFS: Fix memory leaks (bnc#1012628).
- help_next should increase position index (bnc#1012628).
- i40e: Relax i40e_xsk_wakeup's return value when PF is busy
(bnc#1012628).
- kbuild: make multiple directory targets work (bnc#1012628).
- cifs: log warning message (once) if out of disk space
(bnc#1012628).
- virtio_balloon: prevent pfn array overflow (bnc#1012628).
- fuse: don't overflow LLONG_MAX with end offset (bnc#1012628).
- mlxsw: core: Add validation of hardware device types for MGPIR
register (bnc#1012628).
- mlxsw: spectrum_dpipe: Add missing error path (bnc#1012628).
- s390/pci: Recover handle in clp_set_pci_fn() (bnc#1012628).
- drm/amdgpu/display: handle multiple numbers of fclks in
dcn_calcs.c (v2) (bnc#1012628).
- bcache: properly initialize 'path' and 'err' in
register_bcache() (bnc#1012628).
- rtc: Kconfig: select REGMAP_I2C when necessary (bnc#1012628).
- Update config files.
- commit 6e54038
-------------------------------------------------------------------
Mon Feb 24 06:40:35 CET 2020 - mkubecek@suse.cz
- Update to 5.6-rc3
- eliminated 3 patches
- commit 8f8ffe7
-------------------------------------------------------------------
Fri Feb 21 14:21:09 CET 2020 - mkubecek@suse.cz
- config: fix config options added with 5.5-rc1
Due to a mistake on my side, some config options introduced in 5.5-rc1 got
different values than the commit message claimed (and, more important, than
intended). Thanks to Jean Delvare for catching this.
- restored config option values:
- BACKLIGHT_QCOM_WLED=m
- BYTCRC_PMIC_OPREGION=y
- CAIF_DRIVERS=y
- CHTCRC_PMIC_OPREGION=y
- CRYPTO_BLAKE2S=m
- CRYPTO_CURVE25519=m
- CRYPTO_DEV_AMLOGIC_GXL=m
- DP83869_PHY=m
- DRM_AMD_DC_HDCP=y
- NET_DSA_MSCC_FELIX=m
- NET_DSA_TAG_OCELOT=m
- NFC_PN532_UART=m
- PINCTRL_EQUILIBRIUM=m
- PINCTRL_TIGERLAKE=m
- PTP_1588_CLOCK_IDTCM=m
- SENSORS_BEL_PFE=m
- SENSORS_LTC2947_I2C=m
- SENSORS_LTC2947_SPI=m
- SENSORS_TMP513=m
- SF_PDMA=m
- SYSTEM76_ACPI=m
- TCG_TIS_SPI_CR50=y
- TYPEC_HD3SS3220=m
- VIDEO_HI556=m
- VIDEO_IMX290=m
- W1_SLAVE_DS2430=m
- WFX=m
- new config options visible after the changes above:
- CAIF_TTY=m
- CAIF_SPI_SLAVE=m
- CAIF_SPI_SYNC=n
- CAIF_HSI=m
- CAIF_VIRTIO=m
- CRYPTO_DEV_AMLOGIC_GXL_DEBUG=n
- CRYPTO_LIB_BLAKE2S=m
- CRYPTO_LIB_CURVE25519=m
- config: fix config options added with 5.5-rc1
Due to a mistake on my side, some config options introduced in 5.5-rc1 got
different values than the commit message claimed (and, more important, than
intended). Thanks to Jean Delvare for catching this.
- restored config option values:
- BACKLIGHT_QCOM_WLED=m
- BYTCRC_PMIC_OPREGION=y
- CAIF_DRIVERS=y
- CHTCRC_PMIC_OPREGION=y
- CRYPTO_BLAKE2S=m
- CRYPTO_CURVE25519=m
- CRYPTO_DEV_AMLOGIC_GXL=m
- DP83869_PHY=m
- DRM_AMD_DC_HDCP=y
- NET_DSA_MSCC_FELIX=m
- NET_DSA_TAG_OCELOT=m
- NFC_PN532_UART=m
- PINCTRL_EQUILIBRIUM=m
- PINCTRL_TIGERLAKE=m
- PTP_1588_CLOCK_IDTCM=m
- SENSORS_BEL_PFE=m
- SENSORS_LTC2947_I2C=m
- SENSORS_LTC2947_SPI=m
- SENSORS_TMP513=m
- SF_PDMA=m
- SYSTEM76_ACPI=m
- TCG_TIS_SPI_CR50=y
- TYPEC_HD3SS3220=m
- VIDEO_HI556=m
- VIDEO_IMX290=m
- W1_SLAVE_DS2430=m
- WFX=m
- new config options visible after the changes above:
- CAIF_TTY=m
- CAIF_SPI_SLAVE=m
- CAIF_SPI_SYNC=n
- CAIF_HSI=m
- CAIF_VIRTIO=m
- CRYPTO_DEV_AMLOGIC_GXL_DEBUG=n
- commit bfcbf21
-------------------------------------------------------------------
Fri Feb 21 10:10:49 CET 2020 - jslaby@suse.cz
- Update config files (bnc#1163396).
Disable CONFIG_RESET_ATTACK_MITIGATION as we don't have the userspace
part and it causes problems during reboot. The config description
states:
This should only be enabled when userland is configured to clear the
MemoryOverwriteRequest flag on clean shutdown after secrets have been
evicted, since otherwise it will trigger even on clean reboots.
- commit 32035c7
-------------------------------------------------------------------
Thu Feb 20 07:00:15 CET 2020 - jslaby@suse.cz
- Linux 5.5.5 (bnc#1012628).
- io_uring: fix deferred req iovec leak (bnc#1012628).
- io_uring: retry raw bdev writes if we hit -EOPNOTSUPP
(bnc#1012628).
- Input: synaptics - switch T470s to RMI4 by default
(bnc#1012628).
- Input: synaptics - enable SMBus on ThinkPad L470 (bnc#1012628).
- Input: synaptics - remove the LEN0049 dmi id from topbuttonpad
list (bnc#1012628).
- ALSA: usb-audio: Add clock validity quirk for Denon
MC7000/MCX8000 (bnc#1012628).
- ALSA: usb-audio: Fix UAC2/3 effect unit parsing (bnc#1012628).
- ALSA: pcm: Fix double hw_free calls (bnc#1012628).
- ALSA: hda/realtek - Add more codec supported Headset Button
(bnc#1012628).
- ALSA: hda/realtek - Fix silent output on MSI-GL73 (bnc#1012628).
- ALSA: usb-audio: Apply sample rate quirk for Audioengine D1
(bnc#1012628).
- ACPI: EC: Fix flushing of pending work (bnc#1012628).
- ACPI: PM: s2idle: Avoid possible race related to the EC GPE
(bnc#1012628).
- ACPICA: Introduce acpi_any_gpe_status_set() (bnc#1012628).
- ACPI: PM: s2idle: Prevent spurious SCIs from waking up the
system (bnc#1012628).
- ext4: don't assume that mmp_nodename/bdevname have NUL
(bnc#1012628).
- ext4: fix support for inode sizes > 1024 bytes (bnc#1012628).
- ext4: fix checksum errors with indexed dirs (bnc#1012628).
- ext4: add cond_resched() to ext4_protect_reserved_inode
(bnc#1012628).
- ext4: improve explanation of a mount failure caused by a
misconfigured kernel (bnc#1012628).
- Btrfs: fix race between using extent maps and merging them
(bnc#1012628).
- btrfs: ref-verify: fix memory leaks (bnc#1012628).
- btrfs: print message when tree-log replay starts (bnc#1012628).
- btrfs: log message when rw remount is attempted with unclean
tree-log (bnc#1012628).
- ARM: npcm: Bring back GPIOLIB support (bnc#1012628).
- gpio: xilinx: Fix bug where the wrong GPIO register is written
to (bnc#1012628).
- arm64: ssbs: Fix context-switch when SSBS is present on all CPUs
(bnc#1012628).
- xprtrdma: Fix DMA scatter-gather list mapping imbalance
(bnc#1012628).
- cifs: make sure we do not overflow the max EA buffer size
(bnc#1012628).
- jbd2: move the clearing of b_modified flag to the
journal_unmap_buffer() (bnc#1012628).
- jbd2: do not clear the BH_Mapped flag when forgetting a metadata
buffer (bnc#1012628).
- EDAC/sysfs: Remove csrow objects on errors (bnc#1012628).
- EDAC/mc: Fix use-after-free and memleaks during device removal
(bnc#1012628).
- KVM: nVMX: Use correct root level for nested EPT shadow page
tables (bnc#1012628).
- KVM: x86/mmu: Fix struct guest_walker arrays for 5-level paging
(bnc#1012628).
- perf/x86/amd: Add missing L2 misses event spec to AMD Family
17h's event map (bnc#1012628).
- s390/pkey: fix missing length of protected key on return
(bnc#1012628).
- s390/uv: Fix handling of length extensions (bnc#1012628).
- drm/vgem: Close use-after-free race in vgem_gem_create
(bnc#1012628).
- drm/mst: Fix possible NULL pointer dereference in
drm_dp_mst_process_up_req() (bnc#1012628).
- drm/panfrost: Make sure the shrinker does not reclaim referenced
BOs (bnc#1012628).
- drm/amdgpu: update smu_v11_0_pptable.h (bnc#1012628).
- drm/amdgpu:/navi10: use the ODCAP enum to index the caps array
(bnc#1012628).
- bus: moxtet: fix potential stack buffer overflow (bnc#1012628).
- nvme: fix the parameter order for nvme_get_log in
nvme_get_fw_slot_info (bnc#1012628).
- drivers: ipmi: fix off-by-one bounds check that leads to a
out-of-bounds write (bnc#1012628).
- IB/mlx5: Return failure when rts2rts_qp_counters_set_id is
not supported (bnc#1012628).
- IB/hfi1: Acquire lock to release TID entries when user file
is closed (bnc#1012628).
- IB/hfi1: Close window for pq and request coliding (bnc#1012628).
- IB/rdmavt: Reset all QPs when the device is shut down
(bnc#1012628).
- IB/umad: Fix kernel crash while unloading ib_umad (bnc#1012628).
- RDMA/core: Fix invalid memory access in spec_filter_size
(bnc#1012628).
- RDMA/iw_cxgb4: initiate CLOSE when entering TERM (bnc#1012628).
- RDMA/hfi1: Fix memory leak in _dev_comp_vect_mappings_create
(bnc#1012628).
- RDMA/rxe: Fix soft lockup problem due to using tasklets in
softirq (bnc#1012628).
- RDMA/core: Fix protection fault in get_pkey_idx_qp_list
(bnc#1012628).
- s390/time: Fix clk type in get_tod_clock (bnc#1012628).
- Input: ili210x - fix return value of is_visible function
(bnc#1012628).
- sched/uclamp: Reject negative values in cpu_uclamp_write()
(bnc#1012628).
- mac80211: use more bits for ack_frame_id (bnc#1012628).
- spmi: pmic-arb: Set lockdep class for hierarchical irq domains
(bnc#1012628).
- perf/x86/intel: Fix inaccurate period in context switch for
auto-reload (bnc#1012628).
- hwmon: (pmbus/ltc2978) Fix PMBus polling of MFR_COMMON
definitions (bnc#1012628).
- mac80211: fix quiet mode activation in action frames
(bnc#1012628).
- cifs: fix mount option display for sec=krb5i (bnc#1012628).
- ceph: noacl mount option is effectively ignored (bnc#1012628).
- arm64: dts: fast models: Fix FVP PCI interrupt-map property
(bnc#1012628).
- KVM: x86: Mask off reserved bit from #DB exception payload
(bnc#1012628).
- KVM: nVMX: Handle pending #DB when injecting INIT VM-exit
(bnc#1012628).
- perf stat: Don't report a null stalled cycles per insn metric
(bnc#1012628).
- NFSv4.1 make cachethis=no for writes (bnc#1012628).
- NFSv4: Ensure the delegation cred is pinned when we call
delegreturn (bnc#1012628).
- Revert "drm/sun4i: drv: Allow framebuffer modifiers in mode
config" (bnc#1012628).
- drm/i915/pmu: Correct the rc6 offset upon enabling
(bnc#1012628).
- ext4: choose hardlimit when softlimit is larger than hardlimit
in ext4_statfs_project() (bnc#1012628).
- io-wq: add support for inheriting ->fs (bnc#1012628).
- NFSv4: Add accounting for the number of active delegations held
(bnc#1012628).
- gpio: add gpiod_toggle_active_low() (bnc#1012628).
- mmc: core: Rework wp-gpio handling (bnc#1012628).
- commit 5157fff
-------------------------------------------------------------------
Wed Feb 19 08:03:41 CET 2020 - jslaby@suse.cz
- Update config files (bnc#1161832).
Disable CONFIG_MODULE_SIG on i386. We don't run pesign on i386 builds,
hence the modules are not signed at all. This results in module
verification failures and warnings.
CONFIG_SECURITY_LOCKDOWN_LSM depends on (selects) CONFIG_MODULE_SIG, so
we have to disable it too. But it makes no sense to lockdown without
module signature anyway.
- commit 599e3c2
-------------------------------------------------------------------
Tue Feb 18 12:14:59 CET 2020 - msuchanek@suse.de
- Delete patches.rpmify/powerpc-boot-Fix-missing-crc32poly.h-when-building-w.patch.
- commit 3083c73
-------------------------------------------------------------------
Mon Feb 17 08:56:00 CET 2020 - jslaby@suse.cz
- vt: selection, close sel_buffer race (bnc#1162928
CVE-2020-8648).
- vt: selection, handle pending signals in paste_selection
(bnc#1162928 CVE-2020-8648).
- vt: selection, close sel_buffer race (bnc#1162928
CVE-2020-8648).
- vt: selection, handle pending signals in paste_selection
(bnc#1162928 CVE-2020-8648).
- commit 813d10d
-------------------------------------------------------------------
Sun Feb 16 23:36:54 CET 2020 - mkubecek@suse.cz
- Update to 5.6-rc2
- refresh configs (drop LIBXBC)
- commit 327abc9
-------------------------------------------------------------------
Sun Feb 16 23:21:32 CET 2020 - mkubecek@suse.cz
- ethtool: fix application of verbose no_mask bitset.
- commit 7b26eb4
-------------------------------------------------------------------
Sat Feb 15 09:16:55 CET 2020 - jslaby@suse.cz
- bcache: fix incorrect data type usage in btree_flush_write()
(git-fixes).
- commit 119f9ca
-------------------------------------------------------------------
Sat Feb 15 07:51:04 CET 2020 - jslaby@suse.cz
- Linux 5.5.4 (bnc#1012628).
- selinux: fall back to ref-walk if audit is required
(bnc#1012628).
- libertas: make lbs_ibss_join_existing() return error code on
rates overflow (bnc#1012628).
- libertas: don't exit from lbs_ibss_join_existing() with RCU
read lock held (bnc#1012628).
- mwifiex: Fix possible buffer overflows in
mwifiex_cmd_append_vsie_tlv() (bnc#1012628).
- mwifiex: Fix possible buffer overflows in
mwifiex_ret_wmm_get_status() (bnc#1012628).
- dmaengine: axi-dmac: add a check for devm_regmap_init_mmio
(bnc#1012628).
- clk: meson: g12a: fix missing uart2 in regmap table
(bnc#1012628).
- mfd: max77650: Select REGMAP_IRQ in Kconfig (bnc#1012628).
- regmap: fix writes to non incrementing registers (bnc#1012628).
- pinctrl: qcom: Don't lock around irq_set_irq_wake()
(bnc#1012628).
- pinctrl: sh-pfc: r8a7778: Fix duplicate SDSELF_B and SD1_CLK_B
(bnc#1012628).
- pinctrl: sh-pfc: r8a77965: Fix DU_DOTCLKIN3 drive/bias control
(bnc#1012628).
- pinctrl: baytrail: Allocate IRQ chip dynamic (bnc#1012628).
- selinux: fix regression introduced by move_mount(2) syscall
(bnc#1012628).
- selinux: revert "stop passing MAY_NOT_BLOCK to the AVC upon
follow_link" (bnc#1012628).
- x86/alternatives: add missing insn.h include (bnc#1012628).
- bcache: avoid unnecessary btree nodes flushing in
btree_flush_write() (bnc#1012628).
- ASoC: soc-generic-dmaengine-pcm: Fix error handling
(bnc#1012628).
- dt-bindings: iio: adc: ad7606: Fix wrong maxItems value
(bnc#1012628).
- i2c: cros-ec-tunnel: Fix ACPI identifier (bnc#1012628).
- i2c: cros-ec-tunnel: Fix slave device enumeration (bnc#1012628).
- media: i2c: adv748x: Fix unsafe macros (bnc#1012628).
- drivers: watchdog: stm32_iwdg: set WDOG_HW_RUNNING at probe
(bnc#1012628).
- crypto: caam/qi2 - fix typo in algorithm's driver name
(bnc#1012628).
- crypto: atmel-sha - fix error handling when setting hmac key
(bnc#1012628).
- crypto: artpec6 - return correct error code for failed setkey()
(bnc#1012628).
- crypto: testmgr - don't try to decrypt uninitialized buffers
(bnc#1012628).
- mtd: sharpslpart: Fix unsigned comparison to zero (bnc#1012628).
- mtd: onenand_base: Adjust indentation in onenand_read_ops_nolock
(bnc#1012628).
- arm64: kvm: Fix IDMAP overlap with HYP VA (bnc#1012628).
- arm64: nofpsmid: Handle TIF_FOREIGN_FPSTATE flag cleanly
(bnc#1012628).
- KVM: arm64: Treat emulated TVAL TimerValue as a signed 32-bit
integer (bnc#1012628).
- KVM: arm64: pmu: Fix chained SW_INCR counters (bnc#1012628).
- KVM: arm64: pmu: Don't increment SW_INCR if PMCR.E is unset
(bnc#1012628).
- KVM: arm: Make inject_abt32() inject an external abort instead
(bnc#1012628).
- KVM: arm: Fix DFSR setting for non-LPAE aarch32 guests
(bnc#1012628).
- KVM: arm/arm64: Fix young bit from mmu notifier (bnc#1012628).
- crypto: arm/chacha - fix build failured when kernel mode NEON
is disabled (bnc#1012628).
- arm64: ptrace: nofpsimd: Fail FP/SIMD regset operations
(bnc#1012628).
- arm64: cpufeature: Set the FP/SIMD compat HWCAP bits properly
(bnc#1012628).
- arm64: cpufeature: Fix the type of no FP/SIMD capability
(bnc#1012628).
- arm64: kernel: Correct annotation of end of el0_sync
(bnc#1012628).
- sched/uclamp: Fix a bug in propagating uclamp value in new
cgroups (bnc#1012628).
- ARM: 8949/1: mm: mark free_memmap as __init (bnc#1012628).
- KVM: arm/arm64: vgic-its: Fix restoration of unmapped
collections (bnc#1012628).
- ARM: at91: pm: use of_device_id array to find the proper shdwc
node (bnc#1012628).
- ARM: at91: pm: use SAM9X60 PMC's compatible (bnc#1012628).
- iommu/arm-smmu-v3: Populate VMID field for CMDQ_OP_TLBI_NH_VA
(bnc#1012628).
- powerpc/pseries: Allow not having ibm,
hypertas-functions::hcall-multi-tce for DDW (bnc#1012628).
- powerpc/pseries/vio: Fix iommu_table use-after-free refcount
warning (bnc#1012628).
- powerpc/papr_scm: Fix leaking 'bus_desc.provider_name' in some
paths (bnc#1012628).
- powerpc/ptdump: Only enable PPC_CHECK_WX with STRICT_KERNEL_RWX
(bnc#1012628).
- powerpc/ptdump: Fix W+X verification call in mark_rodata_ro()
(bnc#1012628).
- Revert "powerpc/pseries/iommu: Don't use dma_iommu_ops on
secure guests" (bnc#1012628).
- soc: qcom: rpmhpd: Set 'active_only' for active only power
domains (bnc#1012628).
- tools/power/acpi: fix compilation error (bnc#1012628).
- ARM: dts: at91: sama5d3: define clock rate range for tcb1
(bnc#1012628).
- ARM: dts: at91: sama5d3: fix maximum peripheral clock rates
(bnc#1012628).
- ARM: dts: meson8b: use the actual frequency for the GPU's
364MHz OPP (bnc#1012628).
- ARM: dts: meson8: use the actual frequency for the GPU's
182.1MHz OPP (bnc#1012628).
- arm64: dts: marvell: clearfog-gt-8k: fix switch cpu port node
(bnc#1012628).
- arm64: dts: renesas: r8a77990: ebisu: Remove
clkout-lr-synchronous from sound (bnc#1012628).
- ARM: dts: am43xx: add support for clkout1 clock (bnc#1012628).
- ARM: dts: at91: Reenable UART TX pull-ups (bnc#1012628).
- arm64: dts: qcom: msm8998-mtp: Add alias for blsp1_uart3
(bnc#1012628).
- arm64: dts: uDPU: fix broken ethernet (bnc#1012628).
- arm64: dts: qcom: msm8998: Fix tcsr syscon size (bnc#1012628).
- platform/x86: intel_mid_powerbtn: Take a copy of ddata
(bnc#1012628).
- ARC: [plat-axs10x]: Add missing multicast filter number to
GMAC node (bnc#1012628).
- MIPS: Loongson: Fix potential NULL dereference in
loongson3_platform_init() (bnc#1012628).
- watchdog: qcom: Use platform_get_irq_optional() for bark irq
(bnc#1012628).
- rtc: cmos: Stop using shared IRQ (bnc#1012628).
- rtc: hym8563: Return -EINVAL if the time is known to be invalid
(bnc#1012628).
- rtc: mt6397: drop free_irq of devm_ allocated irq (bnc#1012628).
- netdevsim: use __GFP_NOWARN to avoid memalloc warning
(bnc#1012628).
- netdevsim: fix panic in nsim_dev_take_snapshot_write()
(bnc#1012628).
- netdevsim: disable devlink reload when resources are being used
(bnc#1012628).
- netdevsim: fix using uninitialized resources (bnc#1012628).
- mt76: mt7615: fix max_nss in mt7615_eeprom_parse_hw_cap
(bnc#1012628).
- bpf, sockmap: Check update requirements after locking
(bnc#1012628).
- bpf: Improve bucket_log calculation logic (bnc#1012628).
- selftests/bpf: Test freeing sockmap/sockhash with a socket in it
(bnc#1012628).
- bpf, sockhash: Synchronize_rcu before free'ing map
(bnc#1012628).
- bpf, sockmap: Don't sleep while holding RCU lock on tear-down
(bnc#1012628).
- bpftool: Don't crash on missing xlated program instructions
(bnc#1012628).
- x86/boot: Handle malformed SRAT tables during early ACPI parsing
(bnc#1012628).
- NFSv4.0: nfs4_do_fsinfo() should not do implicit lease renewals
(bnc#1012628).
- NFSv4: try lease recovery on NFS4ERR_EXPIRED (bnc#1012628).
- NFSv4: pnfs_roc() must use cred_fscmp() to compare creds
(bnc#1012628).
- NFS: Fix fix of show_nfs_errors (bnc#1012628).
- NFS/pnfs: Fix pnfs_generic_prepare_to_resend_writes()
(bnc#1012628).
- NFS: Revalidate the file size on a fatal write error
(bnc#1012628).
- nfs: NFS_SWAP should depend on SWAP (bnc#1012628).
- NFSv4.x recover from pre-mature loss of openstateid
(bnc#1012628).
- netfilter: flowtable: Fix missing flush hardware on table free
(bnc#1012628).
- netfilter: flowtable: Fix hardware flush order on
nf_flow_table_cleanup (bnc#1012628).
- netfilter: flowtable: restrict flow dissector match on meta
ingress device (bnc#1012628).
- netfilter: flowtable: fetch stats only if flow is still alive
(bnc#1012628).
- iwlwifi: mvm: fix TDLS discovery with the new firmware API
(bnc#1012628).
- iwlwifi: mvm: avoid use after free for pmsr request
(bnc#1012628).
- PCI/AER: Initialize aer_fifo (bnc#1012628).
- PCI: Don't disable bridge BARs when assigning bus resources
(bnc#1012628).
- PCI: tegra: Fix afi_pex2_ctrl reg offset for Tegra30
(bnc#1012628).
- PCI/switchtec: Fix vep_vector_number ioread width (bnc#1012628).
- PCI/switchtec: Use dma_set_mask_and_coherent() (bnc#1012628).
- ath10k: pci: Only dump ATH10K_MEM_REGION_TYPE_IOREG when safe
(bnc#1012628).
- PCI/IOV: Fix memory leak in pci_iov_add_virtfn() (bnc#1012628).
- scsi: ufs: Fix ufshcd_probe_hba() reture value in case
ufshcd_scsi_add_wlus() fails (bnc#1012628).
- RDMA/umem: Fix ib_umem_find_best_pgsz() (bnc#1012628).
- RDMA/cma: Fix unbalanced cm_id reference count during address
resolve (bnc#1012628).
- RDMA/core: Ensure that rdma_user_mmap_entry_remove() is a fence
(bnc#1012628).
- RDMA/mlx5: Fix handling of IOVA != user_va in ODP paths
(bnc#1012628).
- RDMA/uverbs: Verify MR access flags (bnc#1012628).
- RDMA/core: Fix locking in ib_uverbs_event_read (bnc#1012628).
- RDMA/i40iw: fix a potential NULL pointer dereference
(bnc#1012628).
- RDMA/netlink: Do not always generate an ACK for some netlink
operations (bnc#1012628).
- IB/mlx4: Fix leak in id_map_find_del (bnc#1012628).
- IB/mlx5: Return the administrative GUID if exists (bnc#1012628).
- IB/srp: Never use immediate data if it is disabled by a user
(bnc#1012628).
- IB/mlx4: Fix memory leak in add_gid error flow (bnc#1012628).
- commit 271ee1b
-------------------------------------------------------------------
Thu Feb 13 15:01:42 CET 2020 - tiwai@suse.de
- Update config files: enable CONFIG_FW_CFG_SYSFS for arm64 (bsc#1163521)
- commit d888576
-------------------------------------------------------------------
Wed Feb 12 09:23:36 CET 2020 - jdelvare@suse.de
- Update config files: CONFIG_NVME_HWMON=y
When the config files were updated for kernel v5.5, the commit
message claimed CONFIG_NVME_HWMON was to be enabled, however the
configuration files themselves had the option disabled. We definitely
want hardware monitoring enabled on NVME devices, so fix the
configuration files to match the original intent.
- commit d654690
-------------------------------------------------------------------
Wed Feb 12 07:13:36 CET 2020 - jslaby@suse.cz
- Linux 5.5.3 (bnc#1012628).
- sparc32: fix struct ipc64_perm type definition (bnc#1012628).
- bnxt_en: Move devlink_register before registering netdev
(bnc#1012628).
- gtp: use __GFP_NOWARN to avoid memalloc warning (bnc#1012628).
- l2tp: Allow duplicate session creation with UDP (bnc#1012628).
- net: hsr: fix possible NULL deref in hsr_handle_frame()
(bnc#1012628).
- net_sched: fix an OOB access in cls_tcindex (bnc#1012628).
- net/core: Do not clear VF index for node/port GUIDs query
(bnc#1012628).
- net: mvneta: fix XDP support if sw bm is used as fallback
(bnc#1012628).
- bnxt_en: Fix TC queue mapping (bnc#1012628).
- net: stmmac: Delete txtimer in suspend() (bnc#1012628).
- tcp: clear tp->total_retrans in tcp_disconnect() (bnc#1012628).
- tcp: clear tp->delivered in tcp_disconnect() (bnc#1012628).
- tcp: clear tp->data_segs{in|out} in tcp_disconnect()
(bnc#1012628).
- tcp: clear tp->segs_{in|out} in tcp_disconnect() (bnc#1012628).
- cls_rsvp: fix rsvp_policy (bnc#1012628).
- rxrpc: Fix use-after-free in rxrpc_put_local() (bnc#1012628).
- rxrpc: Fix insufficient receive notification generation
(bnc#1012628).
- rxrpc: Fix missing active use pinning of rxrpc_local object
(bnc#1012628).
- rxrpc: Fix NULL pointer deref due to call->conn being cleared
on disconnect (bnc#1012628).
- bnxt_en: Refactor logic to re-enable SRIOV after firmware
reset detected (bnc#1012628).
- net: phy: at803x: disable vddio regulator (bnc#1012628).
- bnxt_en: Fix RDMA driver failure with SRIOV after firmware reset
(bnc#1012628).
- ionic: fix rxq comp packet type mask (bnc#1012628).
- MAINTAINERS: correct entries for ISDN/mISDN section
(bnc#1012628).
- netdevsim: fix stack-out-of-bounds in nsim_dev_debugfs_init()
(bnc#1012628).
- bnxt_en: Fix logic that disables Bus Master during firmware
reset (bnc#1012628).
- media: uvcvideo: Avoid cyclic entity chains due to malformed
USB descriptors (bnc#1012628).
- mfd: dln2: More sanity checking for endpoints (bnc#1012628).
- netfilter: ipset: fix suspicious RCU usage in find_set_and_id
(bnc#1012628).
- ALSA: pcm: Fix memory leak at closing a stream without hw_free
(bnc#1012628).
- ipc/msg.c: consolidate all xxxctl_down() functions
(bnc#1012628).
- tracing/kprobes: Have uname use __get_str() in print_fmt
(bnc#1012628).
- tracing: Fix sched switch start/stop refcount racy updates
(bnc#1012628).
- rcu: Use *_ONCE() to protect lockless ->expmask accesses
(bnc#1012628).
- rcu: Avoid data-race in rcu_gp_fqs_check_wake() (bnc#1012628).
- srcu: Apply *_ONCE() to ->srcu_last_gp_end (bnc#1012628).
- rcu: Use READ_ONCE() for ->expmask in rcu_read_unlock_special()
(bnc#1012628).
- nvmet: Fix error print message at nvmet_install_queue function
(bnc#1012628).
- nvmet: Fix controller use after free (bnc#1012628).
- Bluetooth: btusb: fix memory leak on fw (bnc#1012628).
- Bluetooth: btusb: Disable runtime suspend on Realtek devices
(bnc#1012628).
- brcmfmac: Fix memory leak in brcmf_usbdev_qinit (bnc#1012628).
- usb: dwc3: gadget: Check END_TRANSFER completion (bnc#1012628).
- usb: dwc3: gadget: Delay starting transfer (bnc#1012628).
- usb: typec: tcpci: mask event interrupts when remove driver
(bnc#1012628).
- objtool: Silence build output (bnc#1012628).
- usb: gadget: f_fs: set req->num_sgs as 0 for non-sg transfer
(bnc#1012628).
- usb: gadget: legacy: set max_speed to super-speed (bnc#1012628).
- usb: gadget: f_ncm: Use atomic_t to track in-flight request
(bnc#1012628).
- usb: gadget: f_ecm: Use atomic_t to track in-flight request
(bnc#1012628).
- ALSA: usb-audio: Fix endianess in descriptor validation
(bnc#1012628).
- ALSA: usb-audio: Annotate endianess in Scarlett gen2 quirk
(bnc#1012628).
- ALSA: dummy: Fix PCM format loop in proc output (bnc#1012628).
- memcg: fix a crash in wb_workfn when a device disappears
(bnc#1012628).
- mm/sparse.c: reset section's mem_map when fully deactivated
(bnc#1012628).
- utimes: Clamp the timestamps in notify_change() (bnc#1012628).
- mm/migrate.c: also overwrite error when it is bigger than zero
(bnc#1012628).
- mm/memory_hotplug: fix remove_memory() lockdep splat
(bnc#1012628).
- mm: thp: don't need care deferred split queue in memcg charge
move path (bnc#1012628).
- mm: move_pages: report the number of non-attempted pages
(bnc#1012628).
- media/v4l2-core: set pages dirty upon releasing DMA buffers
(bnc#1012628).
- media: v4l2-core: compat: ignore native command codes
(bnc#1012628).
- media: v4l2-rect.h: fix v4l2_rect_map_inside() top/left
adjustments (bnc#1012628).
- lib/test_kasan.c: fix memory leak in kmalloc_oob_krealloc_more()
(bnc#1012628).
- irqdomain: Fix a memory leak in irq_domain_push_irq()
(bnc#1012628).
- x86/cpu: Update cached HLE state on write to
TSX_CTRL_CPUID_CLEAR (bnc#1012628).
- platform/x86: intel_scu_ipc: Fix interrupt support
(bnc#1012628).
- ALSA: hda: Add Clevo W65_67SB the power_save blacklist
(bnc#1012628).
- ALSA: hda: Add JasperLake PCI ID and codec vid (bnc#1012628).
- ALSA: hda - Fix DP-MST support for NVIDIA codecs (bnc#1012628).
- arm64: acpi: fix DAIF manipulation with pNMI (bnc#1012628).
- KVM: arm64: Correct PSTATE on exception entry (bnc#1012628).
- KVM: arm/arm64: Correct CPSR on exception entry (bnc#1012628).
- KVM: arm/arm64: Correct AArch32 SPSR on exception entry
(bnc#1012628).
- KVM: arm64: Only sign-extend MMIO up to register width
(bnc#1012628).
- MIPS: syscalls: fix indentation of the 'SYSNR' message
(bnc#1012628).
- MIPS: fix indentation of the 'RELOCS' message (bnc#1012628).
- MIPS: boot: fix typo in 'vmlinux.lzma.its' target (bnc#1012628).
- MIPS: SGI-IP30: Check for valid pointer before using it
(bnc#1012628).
- MIPS: asm: local: add barriers for Loongson (bnc#1012628).
- s390/mm: fix dynamic pagetable upgrade for hugetlbfs
(bnc#1012628).
- powerpc/mmu_gather: enable RCU_TABLE_FREE even for !SMP case
(bnc#1012628).
- powerpc/ptdump: Fix W+X verification (bnc#1012628).
- powerpc/xmon: don't access ASDR in VMs (bnc#1012628).
- powerpc/pseries: Advance pfn if section is not present in
lmb_is_removable() (bnc#1012628).
- powerpc/32s: Fix bad_kuap_fault() (bnc#1012628).
- powerpc/32s: Fix CPU wake-up from sleep mode (bnc#1012628).
- PCI/ATS: Use PF PASID for VFs (bnc#1012628).
- PCI: tegra: Fix return value check of pm_runtime_get_sync()
(bnc#1012628).
- PCI: keystone: Fix outbound region mapping (bnc#1012628).
- PCI: keystone: Fix link training retries initiation
(bnc#1012628).
- PCI: keystone: Fix error handling when "num-viewport" DT
property is not populated (bnc#1012628).
- mmc: spi: Toggle SPI polarity, do not hardcode it (bnc#1012628).
- ACPI: video: Do not export a non working backlight interface
on MSI MS-7721 boards (bnc#1012628).
- ACPI / battery: Deal with design or full capacity being reported
as -1 (bnc#1012628).
- ACPI / battery: Use design-cap for capacity calculations if
full-cap is not available (bnc#1012628).
- ACPI / battery: Deal better with neither design nor full
capacity not being reported (bnc#1012628).
- smb3: fix default permissions on new files when mounting with
modefromsid (bnc#1012628).
- alarmtimer: Unregister wakeup source when module get fails
(bnc#1012628).
- fscrypt: don't print name of busy file when removing key
(bnc#1012628).
- ubifs: don't trigger assertion on invalid no-key filename
(bnc#1012628).
- ubifs: Fix wrong memory allocation (bnc#1012628).
- ubifs: Fix FS_IOC_SETFLAGS unexpectedly clearing encrypt flag
(bnc#1012628).
- ubifs: Fix deadlock in concurrent bulk-read and writepage
(bnc#1012628).
- mmc: sdhci-of-at91: fix memleak on clk_get failure
(bnc#1012628).
- ASoC: tegra: Revert 24 and 32 bit support (bnc#1012628).
- ASoC: topology: fix soc_tplg_fe_link_create() - link->dobj
initialization order (bnc#1012628).
- hv_balloon: Balloon up according to request page number
(bnc#1012628).
- mfd: axp20x: Mark AXP20X_VBUS_IPSOUT_MGMT as volatile
(bnc#1012628).
- nvmem: imx: scu: fix write SIP (bnc#1012628).
- nvmem: core: fix memory abort in cleanup path (bnc#1012628).
- crypto: api - Check spawn->alg under lock in crypto_drop_spawn
(bnc#1012628).
- crypto: ccree - fix backlog memory leak (bnc#1012628).
- crypto: ccree - fix AEAD decrypt auth fail (bnc#1012628).
- crypto: ccree - fix pm wrongful error reporting (bnc#1012628).
- crypto: ccree - fix FDE descriptor sequence (bnc#1012628).
- crypto: ccree - fix PM race condition (bnc#1012628).
- padata: Remove broken queue flushing (bnc#1012628).
- fs: allow deduplication of eof block into the end of the
destination file (bnc#1012628).
- scripts/find-unused-docs: Fix massive false positives
(bnc#1012628).
- erofs: fix out-of-bound read for shifted uncompressed block
(bnc#1012628).
- scsi: megaraid_sas: Do not initiate OCR if controller is not
in ready state (bnc#1012628).
- scsi: qla2xxx: Fix stuck login session using prli_pend_timer
(bnc#1012628).
- scsi: qla2xxx: Fix mtcp dump collection failure (bnc#1012628).
- cpupower: Revert library ABI changes from commit
ae2917093fb60bdc1ed3e (bnc#1012628).
- power: supply: axp20x_ac_power: Fix reporting online status
(bnc#1012628).
- power: supply: ltc2941-battery-gauge: fix use-after-free
(bnc#1012628).
- ovl: fix wrong WARN_ON() in ovl_cache_update_ino()
(bnc#1012628).
- ovl: fix lseek overflow on 32bit (bnc#1012628).
- f2fs: fix miscounted block limit in f2fs_statfs_project()
(bnc#1012628).
- f2fs: code cleanup for f2fs_statfs_project() (bnc#1012628).
- f2fs: fix dcache lookup of !casefolded directories
(bnc#1012628).
- f2fs: fix race conditions in ->d_compare() and ->d_hash()
(bnc#1012628).
- PM: core: Fix handling of devices deleted during system-wide
resume (bnc#1012628).
- cpufreq: Avoid creating excessively large stack frames
(bnc#1012628).
- of: Add OF_DMA_DEFAULT_COHERENT & select it on powerpc
(bnc#1012628).
- ARM: dma-api: fix max_pfn off-by-one error in __dma_supported()
(bnc#1012628).
- dm zoned: support zone sizes smaller than 128MiB (bnc#1012628).
- dm space map common: fix to ensure new block isn't already in
use (bnc#1012628).
- dm writecache: fix incorrect flush sequence when doing SSD
mode commit (bnc#1012628).
- dm crypt: fix GFP flags passed to skcipher_request_alloc()
(bnc#1012628).
- dm crypt: fix benbi IV constructor crash if used in
authenticated mode (bnc#1012628).
- dm thin metadata: use pool locking at end of
dm_pool_metadata_close (bnc#1012628).
- dm thin: fix use-after-free in metadata_pre_commit_callback
(bnc#1012628).
- dm: fix potential for q->make_request_fn NULL pointer
(bnc#1012628).
- tracing: Annotate ftrace_graph_hash pointer with __rcu
(bnc#1012628).
- tracing: Annotate ftrace_graph_notrace_hash pointer with __rcu
(bnc#1012628).
- ftrace: Add comment to why rcu_dereference_sched() is open coded
(bnc#1012628).
- ftrace: Protect ftrace_graph_hash with ftrace_sync
(bnc#1012628).
- crypto: pcrypt - Avoid deadlock by using per-instance padata
queues (bnc#1012628).
- btrfs: fix improper setting of scanned for range cyclic write
cache pages (bnc#1012628).
- btrfs: Handle another split brain scenario with metadata uuid
feature (bnc#1012628).
- riscv, bpf: Fix broken BPF tail calls (bnc#1012628).
- libbpf: Fix readelf output parsing for Fedora (bnc#1012628).
- libbpf: Fix printf compilation warnings on ppc64le arch
(bnc#1012628).
- libbpf: Don't attach perf_buffer to offline/missing CPUs
(bnc#1012628).
- selftests/bpf: Fix perf_buffer test on systems w/ offline CPUs
(bnc#1012628).
- flow_dissector: Fix to use new variables for port ranges in
bpf hook (bnc#1012628).
- bpf, devmap: Pass lockdep expression to RCU lists (bnc#1012628).
- libbpf: Add missing newline in opts validation macro
(bnc#1012628).
- libbpf: Fix realloc usage in bpf_core_find_cands (bnc#1012628).
- tc-testing: fix eBPF tests failure on linux fresh clones
(bnc#1012628).
- samples/bpf: Don't try to remove user's homedir on clean
(bnc#1012628).
- samples/bpf: Xdp_redirect_cpu fix missing tracepoint attach
(bnc#1012628).
- samples/bpf: Reintroduce missed build targets (bnc#1012628).
- selftests/bpf: Fix test_attach_probe (bnc#1012628).
- selftests/bpf: Skip perf hw events test if the setup disabled it
(bnc#1012628).
- selftests: bpf: Use a temporary file in test_sockmap
(bnc#1012628).
- selftests: bpf: Ignore FIN packets for reuseport tests
(bnc#1012628).
- crypto: sun8i-ss - fix removal of module (bnc#1012628).
- crypto: amlogic - fix removal of module (bnc#1012628).
- crypto: sun8i-ce - fix removal of module (bnc#1012628).
- crypto: api - fix unexpectedly getting generic implementation
(bnc#1012628).
- crypto: hisilicon - Fix issue with wrong number of sg elements
after dma map (bnc#1012628).
- crypto: hisilicon - Use the offset fields in sqe to avoid need
to split scatterlists (bnc#1012628).
- crypto: ccp - set max RSA modulus size for v3 platform devices
as well (bnc#1012628).
- crypto: arm64/ghash-neon - bump priority to 150 (bnc#1012628).
- crypto: pcrypt - Do not clear MAY_SLEEP flag in original request
(bnc#1012628).
- crypto: hisilicon - select CRYPTO_SKCIPHER, not CRYPTO_BLKCIPHER
(bnc#1012628).
- crypto: atmel-aes - Fix counter overflow in CTR mode
(bnc#1012628).
- crypto: api - Fix race condition in crypto_spawn_alg
(bnc#1012628).
- crypto: picoxcell - adjust the position of tasklet_init and
fix missed tasklet_kill (bnc#1012628).
- powerpc/futex: Fix incorrect user access blocking (bnc#1012628).
- scsi: qla2xxx: Fix unbound NVME response length (bnc#1012628).
- NFS: Fix memory leaks and corruption in readdir (bnc#1012628).
- NFS: Directory page cache pages need to be locked when read
(bnc#1012628).
- nfsd: fix filecache lookup (bnc#1012628).
- jbd2_seq_info_next should increase position index (bnc#1012628).
- ext4: fix deadlock allocating crypto bounce page from mempool
(bnc#1012628).
- ext4: fix race conditions in ->d_compare() and ->d_hash()
(bnc#1012628).
- Btrfs: fix missing hole after hole punching and fsync when
using NO_HOLES (bnc#1012628).
- Btrfs: make deduplication with range including the last block
work (bnc#1012628).
- Btrfs: fix infinite loop during fsync after rename operations
(bnc#1012628).
- btrfs: set trans->drity in btrfs_commit_transaction
(bnc#1012628).
- btrfs: drop log root for dropped roots (bnc#1012628).
- btrfs: free block groups after free'ing fs trees (bnc#1012628).
- Btrfs: fix race between adding and putting tree mod seq elements
and nodes (bnc#1012628).
- btrfs: flush write bio if we loop in extent_write_cache_pages
(bnc#1012628).
- btrfs: Correctly handle empty trees in
find_first_clear_extent_bit (bnc#1012628).
- Btrfs: send, fix emission of invalid clone operations within
the same file (bnc#1012628).
- ARM: tegra: Enable PLLP bypass during Tegra124 LP1
(bnc#1012628).
- iwlwifi: don't throw error when trying to remove IGTK
(bnc#1012628).
- mwifiex: fix unbalanced locking in mwifiex_process_country_ie()
(bnc#1012628).
- sunrpc: expiry_time should be seconds not timeval (bnc#1012628).
- gfs2: fix gfs2_find_jhead that returns uninitialized jhead
with seq 0 (bnc#1012628).
- gfs2: move setting current->backing_dev_info (bnc#1012628).
- gfs2: fix O_SYNC write handling (bnc#1012628).
- drm: atmel-hlcdc: use double rate for pixel clock only if
supported (bnc#1012628).
- drm: atmel-hlcdc: enable clock before configuring timing engine
(bnc#1012628).
- drm: atmel-hlcdc: prefer a lower pixel-clock than requested
(bnc#1012628).
- drm/rect: Avoid division by zero (bnc#1012628).
- media: iguanair: fix endpoint sanity check (bnc#1012628).
- media: rc: ensure lirc is initialized before registering input
device (bnc#1012628).
- tools/kvm_stat: Fix kvm_exit filter name (bnc#1012628).
- xen/balloon: Support xend-based toolstack take two
(bnc#1012628).
- xen/gntdev: Do not use mm notifiers with autotranslating guests
(bnc#1012628).
- watchdog: fix UAF in reboot notifier handling in watchdog core
code (bnc#1012628).
- bcache: add readahead cache policy options via sysfs interface
(bnc#1012628).
- io_uring: don't map read/write iovec potentially twice
(bnc#1012628).
- io_uring: spin for sq thread to idle on shutdown (bnc#1012628).
- eventfd: track eventfd_signal() recursion depth (bnc#1012628).
- aio: prevent potential eventfd recursion on poll (bnc#1012628).
- KVM: x86: Refactor picdev_write() to prevent Spectre-v1/L1TF
attacks (bnc#1012628).
- KVM: x86: Refactor prefix decoding to prevent Spectre-v1/L1TF
attacks (bnc#1012628).
- KVM: x86: Protect pmu_intel.c from Spectre-v1/L1TF attacks
(bnc#1012628).
- KVM: x86: Protect DR-based index computations from
Spectre-v1/L1TF attacks (bnc#1012628).
- KVM: x86: Protect kvm_lapic_reg_write() from Spectre-v1/L1TF
attacks (bnc#1012628).
- KVM: x86: Protect kvm_hv_msr_[get|set]_crash_data() from
Spectre-v1/L1TF attacks (bnc#1012628).
- KVM: x86: Protect ioapic_write_indirect() from Spectre-v1/L1TF
attacks (bnc#1012628).
- KVM: x86: Protect MSR-based index computations in pmu.h from
Spectre-v1/L1TF attacks (bnc#1012628).
- KVM: x86: Protect ioapic_read_indirect() from Spectre-v1/L1TF
attacks (bnc#1012628).
- KVM: x86: Protect MSR-based index computations from
Spectre-v1/L1TF attacks in x86.c (bnc#1012628).
- KVM: x86: Protect x86_decode_insn from Spectre-v1/L1TF attacks
(bnc#1012628).
- KVM: x86: Protect MSR-based index computations in
fixed_msr_to_seg_unit() from Spectre-v1/L1TF attacks
(bnc#1012628).
- KVM: x86: Fix potential put_fpu() w/o load_fpu() on MPX platform
(bnc#1012628).
- KVM: PPC: Book3S HV: Uninit vCPU if vcore creation fails
(bnc#1012628).
- KVM: PPC: Book3S PR: Free shared page if mmu initialization
fails (bnc#1012628).
- kvm/svm: PKU not currently supported (bnc#1012628).
- KVM: x86/mmu: Apply max PA check for MMIO sptes to 32-bit KVM
(bnc#1012628).
- KVM: x86: use CPUID to locate host page table reserved bits
(bnc#1012628).
- KVM: x86: Use gpa_t for cr2/gpa to fix TDP support on 32-bit
KVM (bnc#1012628).
- KVM: VMX: Add non-canonical check on writes to RTIT address MSRs
(bnc#1012628).
- KVM: x86: Don't let userspace set host-reserved cr4 bits
(bnc#1012628).
- KVM: x86: Free wbinvd_dirty_mask if vCPU creation fails
(bnc#1012628).
- KVM: x86: fix overlap between SPTE_MMIO_MASK and generation
(bnc#1012628).
- KVM: x86: Handle TIF_NEED_FPU_LOAD in kvm_{load,put}_guest_fpu()
(bnc#1012628).
- KVM: x86: Ensure guest's FPU state is loaded when accessing
for emulation (bnc#1012628).
- KVM: x86: Revert "KVM: X86: Fix fpu state crash in kvm guest"
(bnc#1012628).
- KVM: s390: do not clobber registers during guest reset/store
status (bnc#1012628).
- KVM: x86: reorganize pvclock_gtod_data members (bnc#1012628).
- KVM: x86: use raw clock values consistently (bnc#1012628).
- ocfs2: fix oops when writing cloned file (bnc#1012628).
- mm/page_alloc.c: fix uninitialized memmaps on a partially
populated last section (bnc#1012628).
- arm64: dts: qcom: qcs404-evb: Set vdd_apc regulator in high
power mode (bnc#1012628).
- mm/mmu_gather: invalidate TLB correctly on batch allocation
failure and flush (bnc#1012628).
- clk: tegra: Mark fuse clock as critical (bnc#1012628).
- drm/amdgpu/navi: fix index for OD MCLK (bnc#1012628).
- drm/tegra: Relax IOMMU usage criteria on old Tegra
(bnc#1012628).
- drm/tegra: Reuse IOVA mapping where possible (bnc#1012628).
- drm/amd/powerplay: fix navi10 system intermittent reboot issue
V2 (bnc#1012628).
- drm/amd/dm/mst: Ignore payload update failures (bnc#1012628).
- drm/amdgpu: fetch default VDDC curve voltages (v2)
(bnc#1012628).
- drm/amdgpu/navi10: add OD_RANGE for navi overclocking
(bnc#1012628).
- drm/amdgpu/smu_v11_0: Correct behavior of restoring default
tables (v2) (bnc#1012628).
- virtio-balloon: initialize all vq callbacks (bnc#1012628).
- virtio-pci: check name when counting MSI-X vectors
(bnc#1012628).
- fix up iter on short count in fuse_direct_io() (bnc#1012628).
- broken ping to ipv6 linklocal addresses on debian buster
(bnc#1012628).
- percpu: Separate decrypted varaibles anytime encryption can
be enabled (bnc#1012628).
- ASoC: meson: axg-fifo: fix fifo threshold setup (bnc#1012628).
- scsi: qla2xxx: Fix the endianness of the qla82xx_get_fw_size()
return type (bnc#1012628).
- scsi: csiostor: Adjust indentation in csio_device_reset
(bnc#1012628).
- scsi: qla4xxx: Adjust indentation in qla4xxx_mem_free
(bnc#1012628).
- scsi: ufs: Recheck bkops level if bkops is disabled
(bnc#1012628).
- compat: scsi: sg: fix v3 compat read/write interface
(bnc#1012628).
- mtd: spi-nor: Split mt25qu512a (n25q512a) entry into two
(bnc#1012628).
- phy: qualcomm: Adjust indentation in read_poll_timeout
(bnc#1012628).
- ext2: Adjust indentation in ext2_fill_super (bnc#1012628).
- powerpc/44x: Adjust indentation in ibm4xx_denali_fixup_memsize
(bnc#1012628).
- drm: msm: mdp4: Adjust indentation in mdp4_dsi_encoder_enable
(bnc#1012628).
- NFC: pn544: Adjust indentation in pn544_hci_check_presence
(bnc#1012628).
- ppp: Adjust indentation into ppp_async_input (bnc#1012628).
- net: smc911x: Adjust indentation in smc911x_phy_configure
(bnc#1012628).
- net: tulip: Adjust indentation in {dmfe, uli526x}_init_module
(bnc#1012628).
- IB/mlx5: Fix outstanding_pi index for GSI qps (bnc#1012628).
- IB/core: Fix ODP get user pages flow (bnc#1012628).
- IB/core: Fix ODP with IB_ACCESS_HUGETLB handling (bnc#1012628).
- staging: wfx: revert unexpected change in debugfs output
(bnc#1012628).
- nfsd: fix delay timer on 32-bit architectures (bnc#1012628).
- nfsd: fix jiffies/time_t mixup in LRU list (bnc#1012628).
- nfsd: Return the correct number of bytes written to the file
(bnc#1012628).
- virtio-balloon: Fix memory leak when unloading while hinting
is in progress (bnc#1012628).
- virtio_balloon: Fix memory leaks on errors in
virtballoon_probe() (bnc#1012628).
- ubi: fastmap: Fix inverted logic in seen selfcheck
(bnc#1012628).
- ubi: Fix an error pointer dereference in error handling code
(bnc#1012628).
- ubifs: Fix memory leak from c->sup_node (bnc#1012628).
- regulator: core: Add regulator_is_equal() helper (bnc#1012628).
- ASoC: sgtl5000: Fix VDDA and VDDIO comparison (bnc#1012628).
- ASoC: Intel: skl_hda_dsp_common: Fix global-out-of-bounds bug
(bnc#1012628).
- mfd: da9062: Fix watchdog compatible string (bnc#1012628).
- mfd: rn5t618: Mark ADC control register volatile (bnc#1012628).
- mfd: bd70528: Fix hour register mask (bnc#1012628).
- mfd: ab8500: Fix ab8500-clk typo (bnc#1012628).
- bpf: Fix trampoline usage in preempt (bnc#1012628).
- libbpf: Extract and generalize CPU mask parsing logic
(bnc#1012628).
- x86/timer: Don't skip PIT setup when APIC is disabled or in
legacy mode (bnc#1012628).
- bonding/alb: properly access headers in bond_alb_xmit()
(bnc#1012628).
- devlink: report 0 after hitting end in region read
(bnc#1012628).
- dpaa_eth: support all modes with rate adapting PHYs
(bnc#1012628).
- net: dsa: b53: Always use dev->vlan_enabled in
b53_configure_vlan() (bnc#1012628).
- net: dsa: bcm_sf2: Only 7278 supports 2Gb/sec IMP port
(bnc#1012628).
- net: dsa: microchip: enable module autoprobe (bnc#1012628).
- net: mvneta: move rx_dropped and rx_errors in per-cpu stats
(bnc#1012628).
- net_sched: fix a resource leak in tcindex_set_parms()
(bnc#1012628).
- net: stmmac: fix a possible endless loop (bnc#1012628).
- net: systemport: Avoid RBUF stuck in Wake-on-LAN mode
(bnc#1012628).
- net/mlx5: IPsec, Fix esp modify function attribute
(bnc#1012628).
- net/mlx5: IPsec, fix memory leak at
mlx5_fpga_ipsec_delete_sa_ctx (bnc#1012628).
- net: macb: Remove unnecessary alignment check for TSO
(bnc#1012628).
- net: macb: Limit maximum GEM TX length in TSO (bnc#1012628).
- net: stmmac: fix incorrect GMAC_VLAN_TAG register writting in
GMAC4+ (bnc#1012628).
- net: stmmac: xgmac: fix incorrect XGMAC_VLAN_TAG register
writting (bnc#1012628).
- net: stmmac: fix missing IFF_MULTICAST check in
dwmac4_set_filter (bnc#1012628).
- net: stmmac: xgmac: fix missing IFF_MULTICAST checki in
dwxgmac2_set_filter (bnc#1012628).
- net: stmmac: update pci platform data to use phy_interface
(bnc#1012628).
- taprio: Fix enabling offload with wrong number of traffic
classes (bnc#1012628).
- taprio: Fix still allowing changing the flags during runtime
(bnc#1012628).
- taprio: Add missing policy validation for flags (bnc#1012628).
- taprio: Use taprio_reset_tc() to reset Traffic Classes
configuration (bnc#1012628).
- taprio: Fix dropping packets when using taprio + ETF offloading
(bnc#1012628).
- ipv6/addrconf: fix potential NULL deref in inet6_set_link_af()
(bnc#1012628).
- qed: Fix timestamping issue for L2 unicast ptp packets
(bnc#1012628).
- drop_monitor: Do not cancel uninitialized work item
(bnc#1012628).
- net/mlx5: Fix deadlock in fs_core (bnc#1012628).
- net/mlx5: Deprecate usage of generic TLS HW capability bit
(bnc#1012628).
- r8169: fix performance regression related to PCIe max read
request size (bnc#1012628).
- net/mlx5e: TX, Error completion is for last WQE in batch
(bnc#1012628).
- cifs: fail i/o on soft mounts if sessionsetup errors out
(bnc#1012628).
- cifs: fix mode bits from dir listing when mounted with
modefromsid (bnc#1012628).
- x86/apic/msi: Plug non-maskable MSI affinity race (bnc#1012628).
- clocksource: Prevent double add_timer_on() for watchdog_timer
(bnc#1012628).
- perf/core: Fix mlock accounting in perf_mmap() (bnc#1012628).
- perf/cgroups: Install cgroup events to correct cpuctx
(bnc#1012628).
- drm/dp_mst: Remove VCPI while disabling topology mgr
(bnc#1012628).
- io_uring: enable option to only trigger eventfd for async
completions (bnc#1012628).
- io_uring: prevent potential eventfd recursion on poll
(bnc#1012628).
- KVM: x86: Protect exit_reason from being used in Spectre-v1/L1TF
attacks (bnc#1012628).
- KVM: nVMX: vmread should not set rflags to specify success in
case of #PF (bnc#1012628).
- KVM: Use vcpu-specific gva->hva translation when querying host
page size (bnc#1012628).
- KVM: Play nice with read-only memslots when querying host page
size (bnc#1012628).
- rxrpc: Fix service call disconnection (bnc#1012628).
- IB/core: Fix build failure without hugepages (bnc#1012628).
- crypto: atmel-{aes,tdes} - Do not save IV for ECB mode
(bnc#1012628).
- crypto: atmel-aes - Fix saving of IV for CTR mode (bnc#1012628).
- crypto: atmel-aes - Fix CTR counter overflow when multiple
fragments (bnc#1012628).
- crypto: atmel-tdes - Map driver data flags to Mode Register
(bnc#1012628).
- regulator fix for "regulator: core: Add regulator_is_equal()
helper" (bnc#1012628).
- powerpc/kuap: Fix set direction in allow/prevent_user_access()
(bnc#1012628).
- compat: ARM64: always include asm-generic/compat.h
(bnc#1012628).
- Update config files.
- commit ffbbfe8
-------------------------------------------------------------------
Tue Feb 11 17:04:11 CET 2020 - afaerber@suse.com
- config: armv7hl: Update to 5.6-rc1
- commit b09045a
-------------------------------------------------------------------
Tue Feb 11 16:40:31 CET 2020 - afaerber@suse.com
- config: armv6hl: Update to 5.6-rc1
- commit e68a306
-------------------------------------------------------------------
Tue Feb 11 15:53:56 CET 2020 - afaerber@suse.com
- config: arm64: Update to 5.6-rc1
- commit 0ae2ac2
-------------------------------------------------------------------
Tue Feb 11 15:14:37 CET 2020 - tiwai@suse.de
- cgroup: init_tasks shouldn't be linked to the root cgroup
(bsc#1163370).
- commit 00b4c73
-------------------------------------------------------------------
Mon Feb 10 16:15:42 CET 2020 - tiwai@suse.de
- ipmi_si: Avoid spurious errors for optional IRQs (bsc#1161943).
- commit 481e5b9
-------------------------------------------------------------------
Mon Feb 10 16:01:19 CET 2020 - tiwai@suse.de
- media: go7007: Fix URB type for interrupt handling
(bsc#1162583).
- commit 23d5616
-------------------------------------------------------------------
Mon Feb 10 15:57:32 CET 2020 - tiwai@suse.de
- iwlwifi: mvm: Do not require PHY_SKU NVM section for 3168
devices (bsc#1163213).
- commit f4b8e5e
-------------------------------------------------------------------
Mon Feb 10 09:12:31 CET 2020 - mkubecek@suse.cz
- Update to 5.6-rc1
- eliminated 95 patches (70 stable, 25 other)
- disable ARM architectures (need config update)
- refresh
- patches.rpmify/Add-ksym-provides-tool.patch
- patches.suse/acpi_thermal_passive_blacklist.patch
- new config options :
- General setup
- TIME_NS=y
- BOOT_CONFIG=y
- Firmware Drivers
- EFI_DISABLE_PCI_DMA=n
- Networking core
- INET_ESPINTCP=y
- MPTCP=y
- MPTCP_IPV6=y
- MPTCP_HMAC_TEST=n
- NET_DSA_TAG_AR9331=m
- NET_SCH_FQ_PIE=m
- NET_SCH_ETS=m
- VSOCKETS_LOOPBACK=m
- ETHTOOL_NETLINK=y
- WIREGUARD=m
- WIREGUARD_DEBUG=n
- File systems
- ZONEFS_FS=m
- VBOXSF_FS=m
- NFS_DISABLE_UDP_SUPPORT=n
- NFSD_V4_2_INTER_SSC=y
- Security options
- SECURITY_SELINUX_SIDTAB_HASH_BITS=9
- SECURITY_SELINUX_SID2STR_CACHE_SIZE=256
- TEE=m
- AMDTEE=m
- Kernel hacking
- PTDUMP_DEBUGFS=n
- BOOTTIME_TRACING=y
- SYNTH_EVENT_GEN_TEST=n
- KPROBE_EVENT_GEN_TEST=n
- Networking drivers
- NET_DSA_AR9331=m
- NET_DSA_VITESSE_VSC73XX_SPI=m
- NET_DSA_VITESSE_VSC73XX_PLATFORM=m
- PHY_INTEL_EMMC=m
- Hardware Monitoring support
- SENSORS_ADM1177=m
- SENSORS_DRIVETEMP=m
- SENSORS_MAX31730=m
- SENSORS_MAX20730=m
- SENSORS_XDPE122=m
- Sound
- SND_CTL_VALIDATION=n
- SND_SOC_INTEL_USER_FRIENDLY_LONG_NAMES=n
- SND_SOC_INTEL_BDW_RT5650_MACH=m
- SND_SOC_INTEL_SOF_DA7219_MAX98373_MACH=m
- SND_SOC_RT1308_SDW=n
- SND_SOC_RT700_SDW=n
- SND_SOC_RT711_SDW=n
- SND_SOC_RT715_SDW=n
- SND_SOC_WSA881X=n
- SND_SOC_MT6660=n
- Graphics
- DRM_PANEL_BOE_HIMAX8279D=n
- DRM_PANEL_LEADTEK_LTK500HD1829=n
- DRM_PANEL_SONY_ACX424AKP=n
- DRM_PANEL_XINPENG_XPP055C272=n
- DRM_LVDS_CODEC=m
- DRM_ANALOGIX_ANX6345=n
- Industrial I/O support
- BMA400=n
- AD7091R5=n
- LTC2496=n
- DLHL60D=n
- PING=n
- USB
- USB4_NET=m
- USB4=m
- Misc drivers
- SERIAL_8250_16550A_VARIANTS=n
- PINCTRL_LYNXPOINT=m
- INTEL_IDXD=m
- PLX_DMA=m
- QCOM_CPR=m
- REGULATOR_MP8859=m
- MFD_ROHM_BD71828=n
- MEDIA_CEC_RC=y
- NVMEM_SPMI_SDAM=m
- DMABUF_HEAPS=n
- RESET_BRCMSTB_RESCAL=n
- INTEL_IOMMU_SCALABLE_MODE_DEFAULT_ON=n
- GPIO_SIFIVE=n
- x86
- INTEL_UNCORE_FREQ_CONTROL=m
- i386
- PCIE_INTEL_GW=n
- CPU_FREQ_THERMAL=y
- CPU_IDLE_THERMAL=y
- MICROCHIP_PIT64B=y
- RESET_INTEL_GW=n
- powerpc
- STRICT_KERNEL_RWX=y
- CPU_FREQ_THERMAL=y
- MICROCHIP_PIT64B=y
- RESET_INTEL_GW=n
- DEBUG_RODATA_TEST=n
- QUICC_ENGINE=y
- QE_GPIO=y
- FSL_PQ_MDIO=m
- FSL_XGMAC_MDIO=m
- GIANFAR=m
- SERIAL_QE=m
- PTP_1588_CLOCK_QORIQ=m
- USB_FHCI_HCD=m
- FHCI_DEBUG=n
- s390x
- ZLIB_DFLTCC=y
- KPROBE_EVENTS_ON_NOTRACE=n
- commit 00fe0a9
-------------------------------------------------------------------
Fri Feb 7 09:49:28 CET 2020 - ykaukab@suse.de
- config.conf: enable armv6 and armv7 configs
- commit d355d69
-------------------------------------------------------------------
Thu Feb 6 13:48:48 CET 2020 - chrubis@suse.cz
- rpm/kernel-binary.spec.in: Replace Novell with SUSE
- commit 8719d69
-------------------------------------------------------------------
Wed Feb 5 07:24:59 CET 2020 - mkubecek@suse.cz
- series.conf: cleanup
- update upstream reference (in mainline now) and move to "almost mainline" section:
patches.suse/btrfs-do-not-zero-f_bavail-if-we-have-available-spac.patch
- commit d386a7a
-------------------------------------------------------------------
Wed Feb 5 07:21:12 CET 2020 - jslaby@suse.cz
- Linux 5.5.2 (bnc#1012628).
- vfs: fix do_last() regression (bnc#1012628).
- cifs: fix soft mounts hanging in the reconnect code
(bnc#1012628).
- x86/resctrl: Fix a deadlock due to inaccurate reference
(bnc#1012628).
- x86/resctrl: Fix use-after-free when deleting resource groups
(bnc#1012628).
- x86/resctrl: Fix use-after-free due to inaccurate refcount of
rdtgroup (bnc#1012628).
- KVM: PPC: Book3S PR: Fix -Werror=return-type build failure
(bnc#1012628).
- gfs2: Another gfs2_find_jhead fix (bnc#1012628).
- lib/test_bitmap: correct test data offsets for 32-bit
(bnc#1012628).
- perf c2c: Fix return type for histogram sorting comparision
functions (bnc#1012628).
- PM / devfreq: Add new name attribute for sysfs (bnc#1012628).
- tools lib: Fix builds when glibc contains strlcpy()
(bnc#1012628).
- arm64: kbuild: remove compressed images on 'make ARCH=arm64
(dist)clean' (bnc#1012628).
- mm/mempolicy.c: fix out of bounds write in mpol_parse_str()
(bnc#1012628).
- reiserfs: Fix memory leak of journal device string
(bnc#1012628).
- media: digitv: don't continue if remote control state can't
be read (bnc#1012628).
- media: af9005: uninitialized variable printked (bnc#1012628).
- media: vp7045: do not read uninitialized values if usb transfer
fails (bnc#1012628).
- media: gspca: zero usb_buf (bnc#1012628).
- media: dvb-usb/dvb-usb-urb.c: initialize actlen to 0
(bnc#1012628).
- tomoyo: Use atomic_t for statistics counter (bnc#1012628).
- ttyprintk: fix a potential deadlock in interrupt context issue
(bnc#1012628).
- Bluetooth: Fix race condition in hci_release_sock()
(bnc#1012628).
- cgroup: Prevent double killing of css when enabling threaded
cgroup (bnc#1012628).
- commit c2619d7
-------------------------------------------------------------------
Tue Feb 4 12:07:31 CET 2020 - duwe@suse.de
- rpm/modules.fips: add keywrap (bsc#1160561)
- commit 719d9e1
-------------------------------------------------------------------
Tue Feb 4 07:41:15 CET 2020 - jslaby@suse.cz
- btrfs: do not zero f_bavail if we have available space
(bnc#1162471).
- commit efe8ca5
-------------------------------------------------------------------
Mon Feb 3 15:44:53 CET 2020 - dsterba@suse.com
- btrfs: do not zero f_bavail if we have available space (bsc#1162471)
- commit edaa5de
-------------------------------------------------------------------
Mon Feb 3 06:53:24 CET 2020 - mkubecek@suse.cz
- series.conf: cleanup
- update upstream references and move into "almost mainline" section:
patches.suse/0001-x86-kvm-Be-careful-not-to-clear-KVM_VCPU_FLUSH_TLB-b.patch
patches.suse/0002-x86-kvm-Introduce-kvm_-un-map_gfn.patch
patches.suse/0003-x86-kvm-Cache-gfn-to-pfn-translation.patch
patches.suse/0004-x86-KVM-Make-sure-KVM_VCPU_FLUSH_TLB-flag-is-not-mis.patch
patches.suse/0005-x86-KVM-Clean-up-host-s-steal-time-structure.patch
patches.suse/pci-brcmstb-add-broadcom-stb-pcie-host-controller-driver.patch
patches.suse/pci-brcmstb-add-msi-capability.patch
- move into "almost mainline" section
patches.suse/ASoC-SOF-Introduce-state-machine-for-FW-boot.patch
- commit 9b0f74c
-------------------------------------------------------------------
Sat Feb 1 10:56:18 CET 2020 - jslaby@suse.cz
- Linux 5.5.1 (bnc#1012628).
- power/supply: ingenic-battery: Don't change scale if there's
only one (bnc#1012628).
- Revert "um: Enable CONFIG_CONSTRUCTORS" (bnc#1012628).
- KVM: arm64: Write arch.mdcr_el2 changes since last vcpu_load
on VHE (bnc#1012628).
- crypto: pcrypt - Fix user-after-free on module unload
(bnc#1012628).
- crypto: caam - do not reset pointer size from MCFGR register
(bnc#1012628).
- crypto: vmx - reject xts inputs that are too short
(bnc#1012628).
- crypto: af_alg - Use bh_lock_sock in sk_destruct (bnc#1012628).
- rsi: fix non-atomic allocation in completion handler
(bnc#1012628).
- rsi: fix memory leak on failed URB submission (bnc#1012628).
- rsi: fix use-after-free on probe errors (bnc#1012628).
- rsi: fix use-after-free on failed probe and unbind
(bnc#1012628).
- rxrpc: Fix use-after-free in rxrpc_receive_data() (bnc#1012628).
- net: include struct nhmsg size in nh nlmsg size (bnc#1012628).
- mlxsw: minimal: Fix an error handling path in
'mlxsw_m_port_create()' (bnc#1012628).
- udp: segment looped gso packets correctly (bnc#1012628).
- net: socionext: fix xdp_result initialization in
netsec_process_rx (bnc#1012628).
- net: socionext: fix possible user-after-free in
netsec_process_rx (bnc#1012628).
- net_sched: walk through all child classes in tc_bind_tclass()
(bnc#1012628).
- net_sched: fix ops->bind_class() implementations (bnc#1012628).
- net_sched: ematch: reject invalid TCF_EM_SIMPLE (bnc#1012628).
- mvneta driver disallow XDP program on hardware buffer management
(bnc#1012628).
- zd1211rw: fix storage endpoint lookup (bnc#1012628).
- rtl8xxxu: fix interface sanity check (bnc#1012628).
- brcmfmac: fix interface sanity check (bnc#1012628).
- ath9k: fix storage endpoint lookup (bnc#1012628).
- cifs: Fix memory allocation in __smb2_handle_cancelled_cmd()
(bnc#1012628).
- cifs: set correct max-buffer-size for smb2_ioctl_init()
(bnc#1012628).
- CIFS: Fix task struct use-after-free on reconnect (bnc#1012628).
- crypto: chelsio - fix writing tfm flags to wrong place
(bnc#1012628).
- driver core: Fix test_async_driver_probe if NUMA is disabled
(bnc#1012628).
- iio: st_gyro: Correct data for LSM9DS0 gyro (bnc#1012628).
- iio: adc: stm32-dfsdm: fix single conversion (bnc#1012628).
- mei: me: add jasper point DID (bnc#1012628).
- mei: me: add comet point (lake) H device ids (bnc#1012628).
- mei: hdcp: bind only with i915 on the same PCH (bnc#1012628).
- binder: fix log spam for existing debugfs file creation
(bnc#1012628).
- component: do not dereference opaque pointer in debugfs
(bnc#1012628).
- debugfs: Return -EPERM when locked down (bnc#1012628).
- serial: imx: fix a race condition in receive path (bnc#1012628).
- serial: 8250_bcm2835aux: Fix line mismatch on driver unbind
(bnc#1012628).
- staging: vt6656: Fix false Tx excessive retries reporting
(bnc#1012628).
- staging: vt6656: use NULLFUCTION stack on mac80211
(bnc#1012628).
- staging: vt6656: correct packet types for CTS protect, mode
(bnc#1012628).
- staging: wlan-ng: ensure error return is actually returned
(bnc#1012628).
- staging: most: net: fix buffer overflow (bnc#1012628).
- usb: typec: fusb302: fix "op-sink-microwatt" default that was
in mW (bnc#1012628).
- usb: typec: wcove: fix "op-sink-microwatt" default that was
in mW (bnc#1012628).
- usb: dwc3: turn off VBUS when leaving host mode (bnc#1012628).
- USB: serial: ir-usb: fix IrLAP framing (bnc#1012628).
- USB: serial: ir-usb: fix link-speed handling (bnc#1012628).
- USB: serial: ir-usb: add missing endpoint sanity check
(bnc#1012628).
- usb: host: xhci-tegra: set MODULE_FIRMWARE for tegra186
(bnc#1012628).
- usb: dwc3: pci: add ID for the Intel Comet Lake -V variant
(bnc#1012628).
- rsi_91x_usb: fix interface sanity check (bnc#1012628).
- orinoco_usb: fix interface sanity check (bnc#1012628).
- Bluetooth: btusb: fix non-atomic allocation in completion
handler (bnc#1012628).
- commit d3e7b7d
-------------------------------------------------------------------
Fri Jan 31 11:39:54 CET 2020 - yousaf.kaukab@suse.com
- config: armv7lpae: Update to 5.5
- commit 74459b2
-------------------------------------------------------------------
Fri Jan 31 11:38:08 CET 2020 - yousaf.kaukab@suse.com
- config: armv7hl: Update to 5.5
- commit ae8d01e
-------------------------------------------------------------------
Fri Jan 31 11:34:05 CET 2020 - yousaf.kaukab@suse.com
- config: armv6hl: Update to 5.5
- commit edbc4d9
-------------------------------------------------------------------
Fri Jan 31 10:42:56 CET 2020 - jroedel@suse.de
- x86/KVM: Clean up host's steal time structure (bcs#1161154,
CVE-2019-3016).
- x86/KVM: Make sure KVM_VCPU_FLUSH_TLB flag is not missed
(bcs#1161154, CVE-2019-3016).
- x86/kvm: Cache gfn to pfn translation (bcs#1161154,
CVE-2019-3016).
- x86/kvm: Introduce kvm_(un)map_gfn() (bcs#1161154,
CVE-2019-3016).
- x86/kvm: Be careful not to clear KVM_VCPU_FLUSH_TLB bit
(bcs#1161154, CVE-2019-3016).
- commit 77cf1f3
-------------------------------------------------------------------
Fri Jan 31 10:33:29 CET 2020 - jroedel@suse.de
- x86/KVM: Clean up host's steal time structure (bcs#1161154,
CVE-2019-3016).
- x86/KVM: Make sure KVM_VCPU_FLUSH_TLB flag is not missed
(bcs#1161154, CVE-2019-3016).
- x86/kvm: Cache gfn to pfn translation (bcs#1161154,
CVE-2019-3016).
- x86/kvm: Introduce kvm_(un)map_gfn() (bcs#1161154,
CVE-2019-3016).
- x86/kvm: Be careful not to clear KVM_VCPU_FLUSH_TLB bit
(bcs#1161154, CVE-2019-3016).
- commit 7e57096
-------------------------------------------------------------------
Thu Jan 30 15:08:25 CET 2020 - mkubecek@suse.cz
- update upstream references
- update upstream status (in mainline now):
patches.suse/ALSA-hda-Apply-aligned-MMIO-access-only-conditionall.patch
patches.suse/hwrng-iproc-rng200-add-support-for-bcm2711.patch
- commit f202425
-------------------------------------------------------------------
Thu Jan 30 07:50:25 CET 2020 - mkubecek@suse.cz
- update upstream reference
- update upstream status (in mainline now):
patches.suse/ASoC-SOF-Introduce-state-machine-for-FW-boot.patch
- commit 86483c3
-------------------------------------------------------------------
Wed Jan 29 15:28:04 CET 2020 - tiwai@suse.de
- Update patch tag for upstreamed rtw88 patch
- commit 1507410
-------------------------------------------------------------------
Mon Jan 27 21:00:24 CET 2020 - tiwai@suse.de
- ASoC: SOF: core: release resources on errors in probe_continue
(bsc#1161246).
- ASoC: SOF: core: free trace on errors (bsc#1161246).
- ASoC: SOF: Introduce state machine for FW boot (bsc#1161246).
- commit ef0a514
-------------------------------------------------------------------
Mon Jan 27 10:48:14 CET 2020 - jeyu@suse.de
- rpm/kabi.pl: support new (>=5.4) Module.symvers format (new symbol namespace field)
- commit eecbd97
-------------------------------------------------------------------
Mon Jan 27 06:30:05 CET 2020 - mkubecek@suse.cz
- Update to 5.5 final
- refresh configs
- commit 002fd1a
-------------------------------------------------------------------
Fri Jan 24 09:18:17 CET 2020 - mkubecek@suse.cz
- config: refresh
Only update headers.
- commit 7193c66
-------------------------------------------------------------------
Thu Jan 23 21:33:01 CET 2020 - tiwai@suse.de
- drm/i915/gt: Detect if we miss WaIdleLiteRestore (bsc#1161720).
- commit 170524c
-------------------------------------------------------------------
Thu Jan 23 09:51:45 CET 2020 - jslaby@suse.cz
- Linux 5.4.14 (bnc#1012628).
- scsi: lpfc: use hdwq assigned cpu for allocation (bnc#1012628).
- perf script: Fix --reltime with --time (bnc#1012628).
- hwmon: (pmbus/ibm-cffps) Fix LED blink behavior (bnc#1012628).
- hwmon: (pmbus/ibm-cffps) Switch LEDs to blocking brightness call
(bnc#1012628).
- regulator: ab8500: Remove SYSCLKREQ from enum
ab8505_regulator_id (bnc#1012628).
- clk: imx7ulp: Correct DDR clock mux options (bnc#1012628).
- clk: imx7ulp: Correct system clock source option #7
(bnc#1012628).
- clk: sprd: Use IS_ERR() to validate the return value of
syscon_regmap_lookup_by_phandle() (bnc#1012628).
- perf script: Allow --time with --reltime (bnc#1012628).
- perf probe: Fix wrong address verification (bnc#1012628).
- rtw88: fix potential read outside array boundary (bnc#1012628).
- scsi: lpfc: Fix a kernel warning triggered by
lpfc_get_sgl_per_hdwq() (bnc#1012628).
- scsi: lpfc: Fix hdwq sgl locks and irq handling (bnc#1012628).
- scsi: lpfc: Fix list corruption detected in
lpfc_put_sgl_per_hdwq (bnc#1012628).
- scsi: core: scsi_trace: Use get_unaligned_be*() (bnc#1012628).
- scsi: qla2xxx: fix rports not being mark as lost in sync fabric
scan (bnc#1012628).
- scsi: qla2xxx: Fix qla2x00_request_irqs() for MSI (bnc#1012628).
- scsi: scsi_transport_sas: Fix memory leak when removing devices
(bnc#1012628).
- scsi: hisi_sas: Return directly if init hardware failed
(bnc#1012628).
- scsi: lpfc: fix: Coverity: lpfc_get_scsi_buf_s3(): Null pointer
dereferences (bnc#1012628).
- scsi: target: core: Fix a pr_debug() argument (bnc#1012628).
- scsi: bnx2i: fix potential use after free (bnc#1012628).
- scsi: qla4xxx: fix double free bug (bnc#1012628).
- scsi: hisi_sas: Set the BIST init value before enabling BIST
(bnc#1012628).
- scsi: hisi_sas: Don't create debugfs dump folder twice
(bnc#1012628).
- scsi: esas2r: unlock on error in esas2r_nvram_read_direct()
(bnc#1012628).
- reiserfs: fix handling of -EOPNOTSUPP in reiserfs_for_each_xattr
(bnc#1012628).
- um: virtio_uml: Disallow modular build (bnc#1012628).
- um: Don't trace irqflags during shutdown (bnc#1012628).
- mtd: cfi_cmdset_0002: fix delayed error detection on HyperFlash
(bnc#1012628).
- mtd: cfi_cmdset_0002: only check errors when ready in
cfi_check_err_status() (bnc#1012628).
- mtd: devices: fix mchp23k256 read and write (bnc#1012628).
- Revert "arm64: dts: juno: add dma-ranges property"
(bnc#1012628).
- ARM: dts: Fix sgx sysconfig register for omap4 (bnc#1012628).
- arm64: dts: juno: Fix UART frequency (bnc#1012628).
- ARM: dts: dra7: fix cpsw mdio fck clock (bnc#1012628).
- arm64: dts: allwinner: a64: Re-add PMU node (bnc#1012628).
- ARM: dts: imx6ul-kontron-n6310-s: Disable the snvs-poweroff
driver (bnc#1012628).
- arm64: dts: qcom: sdm845-cheza: delete zap-shader (bnc#1012628).
- arm64: dts: imx8mm-evk: Assigned clocks for audio plls
(bnc#1012628).
- arm64: dts: renesas: r8a774a1: Remove audio port node
(bnc#1012628).
- arm64: dts: marvell: Fix CP110 NAND controller node multi-line
comment alignment (bnc#1012628).
- tick/sched: Annotate lockless access to last_jiffies_update
(bnc#1012628).
- cfg80211: check for set_wiphy_params (bnc#1012628).
- arm64: dts: marvell: Add AP806-dual missing CPU clocks
(bnc#1012628).
- arm64: dts: renesas: r8a77970: Fix PWM3 (bnc#1012628).
- arm64: dts: meson-gxl-s905x-khadas-vim: fix gpio-keys-polled
node (bnc#1012628).
- arm64: dts: meson: g12: fix audio fifo reg size (bnc#1012628).
- arm64: dts: meson: axg: fix audio fifo reg size (bnc#1012628).
- cw1200: Fix a signedness bug in cw1200_load_firmware()
(bnc#1012628).
- arm64: dts: qcom: msm8998: Disable coresight by default
(bnc#1012628).
- irqchip: Place CONFIG_SIFIVE_PLIC into the menu (bnc#1012628).
- tcp: refine rule to allow EPOLLOUT generation under mem pressure
(bnc#1012628).
- dt-bindings: Add missing 'properties' keyword enclosing
'snps,tso' (bnc#1012628).
- xen/blkfront: Adjust indentation in xlvbd_alloc_gendisk
(bnc#1012628).
- devlink: Wait longer before warning about unset port type
(bnc#1012628).
- net: stmmac: tc: Do not setup flower filtering if RSS is enabled
(bnc#1012628).
- net: stmmac: selftests: Update status when disabling RSS
(bnc#1012628).
- selftests: mlxsw: qos_mc_aware: Fix mausezahn invocation
(bnc#1012628).
- net: stmmac: selftests: Mark as fail when received VLAN ID !=
expected (bnc#1012628).
- net: stmmac: selftests: Make it work in Synopsys AXS101 boards
(bnc#1012628).
- mlxsw: spectrum_qdisc: Include MC TCs in Qdisc counters
(bnc#1012628).
- mlxsw: spectrum: Wipe xstats.backlog of down ports
(bnc#1012628).
- mlxsw: spectrum: Do not modify cloned SKBs during xmit
(bnc#1012628).
- sh_eth: check sh_eth_cpu_data::dual_port when dumping registers
(bnc#1012628).
- drm/amdgpu: allow direct upload save restore list for raven2
(bnc#1012628).
- i40e: prevent memory leak in i40e_setup_macvlans (bnc#1012628).
- net: dsa: bcm_sf2: Configure IMP port for 2Gb/sec (bnc#1012628).
- net: sched: act_ctinfo: fix memory leak (bnc#1012628).
- net: dsa: tag_gswip: fix typo in tagger name (bnc#1012628).
- net: dsa: sja1105: Don't error out on disabled ports with no
phy-mode (bnc#1012628).
- net: systemport: Fixed queue mapping in internal ring map
(bnc#1012628).
- net: ethernet: ave: Avoid lockdep warning (bnc#1012628).
- bnxt_en: Do not treat DSN (Digital Serial Number) read failure
as fatal (bnc#1012628).
- bnxt_en: Fix ipv6 RFS filter matching logic (bnc#1012628).
- bnxt_en: Fix NTUPLE firmware command failures (bnc#1012628).
- tcp: fix marked lost packets not being retransmitted
(bnc#1012628).
- r8152: add missing endpoint sanity check (bnc#1012628).
- ptp: free ptp device pin descriptors properly (bnc#1012628).
- net/wan/fsl_ucc_hdlc: fix out of bounds write on array utdm_info
(bnc#1012628).
- net: usb: lan78xx: limit size of local TSO packets
(bnc#1012628).
- net/sched: act_ife: initalize ife->metalist earlier
(bnc#1012628).
- net: phy: dp83867: Set FORCE_LINK_GOOD to default after reset
(bnc#1012628).
- net: hns: fix soft lockup when there is not enough memory
(bnc#1012628).
- net: hns3: pad the short frame before sending to the hardware
(bnc#1012628).
- net: dsa: tag_qca: fix doubled Tx statistics (bnc#1012628).
- net: avoid updating qdisc_xmit_lock_key in
netdev_update_lockdep_key() (bnc#1012628).
- hv_netvsc: Fix memory leak when removing rndis device
(bnc#1012628).
- macvlan: use skb_reset_mac_header() in macvlan_queue_xmit()
(bnc#1012628).
- batman-adv: Fix DAT candidate selection on little endian systems
(bnc#1012628).
- bpftool: Fix printing incorrect pointer in btf_dump_ptr
(bnc#1012628).
- net: bpf: Don't leak time wait and request sockets
(bnc#1012628).
- NFC: pn533: fix bulk-message timeout (bnc#1012628).
- netfilter: nf_tables: fix flowtable list del corruption
(bnc#1012628).
- netfilter: nf_tables: store transaction list locally while
requesting module (bnc#1012628).
- netfilter: nf_tables: remove WARN and add NLA_STRING upper
limits (bnc#1012628).
- netfilter: nft_tunnel: ERSPAN_VERSION must not be null
(bnc#1012628).
- netfilter: nft_tunnel: fix null-attribute check (bnc#1012628).
- netfilter: nat: fix ICMP header corruption on ICMP errors
(bnc#1012628).
- netfilter: arp_tables: init netns pointer in xt_tgdtor_param
struct (bnc#1012628).
- netfilter: fix a use-after-free in mtype_destroy()
(bnc#1012628).
- i2c: iop3xx: Fix memory leak in probe error path (bnc#1012628).
- bpf/sockmap: Read psock ingress_msg before sk_receive_queue
(bnc#1012628).
- cfg80211: fix page refcount issue in A-MSDU decap (bnc#1012628).
- cfg80211: fix memory leak in cfg80211_cqm_rssi_update
(bnc#1012628).
- cfg80211: fix memory leak in nl80211_probe_mesh_link
(bnc#1012628).
- cfg80211: fix deadlocks in autodisconnect work (bnc#1012628).
- i2c: tegra: Properly disable runtime PM on driver's probe error
(bnc#1012628).
- i2c: tegra: Fix suspending in active runtime PM state
(bnc#1012628).
- bpf: Sockmap/tls, fix pop data with SK_DROP return code
(bnc#1012628).
- bpf: Sockmap/tls, skmsg can have wrapped skmsg that needs
extra chaining (bnc#1012628).
- bpf: Sockmap/tls, tls_sw can create a plaintext buf > encrypt
buf (bnc#1012628).
- bpf: Sockmap/tls, msg_push_data may leave end mark in place
(bnc#1012628).
- bpf: Sockmap, skmsg helper overestimates push, pull, and pop
bounds (bnc#1012628).
- bpf: Sockmap/tls, push write_space updates through ulp updates
(bnc#1012628).
- bpf: Sockmap, ensure sock lock held during tear down
(bnc#1012628).
- bpf: Sockmap/tls, during free we may call tcp_bpf_unhash()
in loop (bnc#1012628).
- bpf: Fix incorrect verifier simulation of ARSH under ALU32
(bnc#1012628).
- drm/amd/display: Reorder detect_edp_sink_caps before link
settings read (bnc#1012628).
- block: Fix the type of 'sts' in bsg_queue_rq() (bnc#1012628).
- net: fix kernel-doc warning in <linux/netdevice.h>
(bnc#1012628).
- tipc: fix retrans failure due to wrong destination
(bnc#1012628).
- tipc: fix potential hanging after b/rcast changing
(bnc#1012628).
- reset: Fix {of,devm}_reset_control_array_get kerneldoc return
types (bnc#1012628).
- net: stmmac: Enable 16KB buffer size (bnc#1012628).
- net: stmmac: 16KB buffer must be 16 byte aligned (bnc#1012628).
- ARM: dts: imx7: Fix Toradex Colibri iMX7S 256MB NAND flash
support (bnc#1012628).
- ARM: dts: imx6q-icore-mipi: Use 1.5 version of i.Core MX6DL
(bnc#1012628).
- ARM: dts: imx6sll-evk: Remove incorrect power supply assignment
(bnc#1012628).
- ARM: dts: imx6sl-evk: Remove incorrect power supply assignment
(bnc#1012628).
- ARM: dts: imx6sx-sdb: Remove incorrect power supply assignment
(bnc#1012628).
- ARM: dts: imx6qdl-sabresd: Remove incorrect power supply
assignment (bnc#1012628).
- mm: khugepaged: add trace status description for
SCAN_PAGE_HAS_PRIVATE (bnc#1012628).
- mm/page-writeback.c: avoid potential division by zero in
wb_min_max_ratio() (bnc#1012628).
- mm/memory_hotplug: don't free usage map when removing a re-added
early section (bnc#1012628).
- Btrfs: always copy scrub arguments back to user space
(bnc#1012628).
- btrfs: check rw_devices, not num_devices for balance
(bnc#1012628).
- btrfs: fix memory leak in qgroup accounting (bnc#1012628).
- btrfs: relocation: fix reloc_root lifespan and access
(bnc#1012628).
- btrfs: do not delete mismatched root refs (bnc#1012628).
- btrfs: fix invalid removal of root ref (bnc#1012628).
- btrfs: rework arguments of btrfs_unlink_subvol (bnc#1012628).
- mm, debug_pagealloc: don't rely on static keys too early
(bnc#1012628).
- mm: memcg/slab: call flush_memcg_workqueue() only if memcg
workqueue is valid (bnc#1012628).
- mm: memcg/slab: fix percpu slab vmstats flushing (bnc#1012628).
- mm/huge_memory.c: thp: fix conflict of above-47bit hint address
and PMD alignment (bnc#1012628).
- mm/shmem.c: thp, shmem: fix conflict of above-47bit hint
address and PMD alignment (bnc#1012628).
- perf report: Fix incorrectly added dimensions as switch perf
data file (bnc#1012628).
- locking/lockdep: Fix buffer overrun problem in stack_trace[]
(bnc#1012628).
- perf hists: Fix variable name's inconsistency in
hists__for_each() macro (bnc#1012628).
- clk: samsung: exynos5420: Keep top G3D clocks enabled
(bnc#1012628).
- s390/setup: Fix secure ipl message (bnc#1012628).
- efi/earlycon: Fix write-combine mapping on x86 (bnc#1012628).
- x86/resctrl: Fix potential memory leak (bnc#1012628).
- drm/i915: Add missing include file <linux/math64.h>
(bnc#1012628).
- mtd: spi-nor: Fix selection of 4-byte addressing opcodes on
Spansion (bnc#1012628).
- scsi: storvsc: Correctly set number of hardware queues for
IDE disk (bnc#1012628).
- s390/zcrypt: Fix CCA cipher key gen with clear key value
function (bnc#1012628).
- x86/efistub: Disable paging at mixed mode entry (bnc#1012628).
- perf/x86/intel/uncore: Fix missing marker for
snr_uncore_imc_freerunning_events (bnc#1012628).
- locking/rwsem: Fix kernel crash when spinning on
RWSEM_OWNER_UNKNOWN (bnc#1012628).
- x86/CPU/AMD: Ensure clearing of SME/SEV features is maintained
(bnc#1012628).
- x86/resctrl: Fix an imbalance in domain_remove_cpu()
(bnc#1012628).
- cpu/SMT: Fix x86 link error without CONFIG_SYSFS (bnc#1012628).
- usb: core: hub: Improved device recognition on remote wakeup
(bnc#1012628).
- mtd: rawnand: gpmi: Restore nfc timing setup after
suspend/resume (bnc#1012628).
- mtd: rawnand: gpmi: Fix suspend/resume problem (bnc#1012628).
- ptrace: reintroduce usage of subjective credentials in
ptrace_has_cap() (bnc#1012628).
- scsi: mptfusion: Fix double fetch bug in ioctl (bnc#1012628).
- scsi: fnic: fix invalid stack access (bnc#1012628).
- staging: comedi: ni_routes: allow partial routing information
(bnc#1012628).
- staging: comedi: ni_routes: fix null dereference in
ni_find_route_source() (bnc#1012628).
- USB: serial: quatech2: handle unbound ports (bnc#1012628).
- USB: serial: keyspan: handle unbound ports (bnc#1012628).
- USB: serial: io_edgeport: add missing active-port sanity check
(bnc#1012628).
- USB: serial: io_edgeport: handle unbound ports on URB completion
(bnc#1012628).
- USB: serial: ch341: handle unbound port at reset_resume
(bnc#1012628).
- USB: serial: suppress driver bind attributes (bnc#1012628).
- USB: serial: option: add support for Quectel RM500Q in QDL mode
(bnc#1012628).
- USB: serial: opticon: fix control-message timeouts
(bnc#1012628).
- USB: serial: option: Add support for Quectel RM500Q
(bnc#1012628).
- USB: serial: simple: Add Motorola Solutions TETRA MTP3xxx and
MTP85xx (bnc#1012628).
- iio: buffer: align the size of scan bytes to size of the
largest element (bnc#1012628).
- iio: chemical: pms7003: fix unmet triggered buffer dependency
(bnc#1012628).
- iio: light: vcnl4000: Fix scale for vcnl4040 (bnc#1012628).
- iio: imu: st_lsm6dsx: Fix selection of ST_LSM6DS3_ID
(bnc#1012628).
- iio: adc: ad7124: Fix DT channel configuration (bnc#1012628).
- perf: Correctly handle failed perf_get_aux_event()
(bnc#1012628).
- ARM: davinci: select CONFIG_RESET_CONTROLLER (bnc#1012628).
- ARM: dts: am571x-idk: Fix gpios property to have the correct
gpio number (bnc#1012628).
- cpuidle: teo: Fix intervals[] array indexing bug (bnc#1012628).
- io_uring: only allow submit from owning task (bnc#1012628).
- fuse: fix fuse_send_readpages() in the syncronous read case
(bnc#1012628).
- block: fix an integer overflow in logical block size
(bnc#1012628).
- clk: sunxi-ng: r40: Allow setting parent rate for external
clock outputs (bnc#1012628).
- Fix built-in early-load Intel microcode alignment (bnc#1012628).
- arm64: dts: agilex/stratix10: fix pmu interrupt numbers
(bnc#1012628).
- arm64: dts: allwinner: a64: olinuxino: Fix eMMC supply regulator
(bnc#1012628).
- arm64: dts: allwinner: a64: olinuxino: Fix SDIO supply regulator
(bnc#1012628).
- ALSA: usb-audio: fix sync-ep altsetting sanity check
(bnc#1012628).
- ALSA: firewire-tascam: fix corruption due to spin lock without
restoration in SoftIRQ context (bnc#1012628).
- ALSA: seq: Fix racy access for queue timer in proc read
(bnc#1012628).
- ALSA: dice: fix fallback from protocol extension into limited
functionality (bnc#1012628).
- ASoC: Intel: bytcht_es8316: Fix Irbis NB41 netbook quirk
(bnc#1012628).
- ARM: dts: imx6q-dhcom: Fix SGTL5000 VDDIO regulator connection
(bnc#1012628).
- ARM: dts: imx7ulp: fix reg of cpu node (bnc#1012628).
- ARM: OMAP2+: Fix ti_sysc_find_one_clockdomain to check for
to_clk_hw_omap (bnc#1012628).
- ASoC: msm8916-wcd-analog: Fix MIC BIAS Internal1 (bnc#1012628).
- ASoC: msm8916-wcd-analog: Fix selected events for MIC BIAS
External1 (bnc#1012628).
- ASoC: stm32: dfsdm: fix 16 bits record (bnc#1012628).
- ASoC: stm32: sai: fix possible circular locking (bnc#1012628).
- ASoC: msm8916-wcd-digital: Reset RX interpolation path after
use (bnc#1012628).
- arm64: dts: imx8mq-librem5-devkit: use correct interrupt for
the magnetometer (bnc#1012628).
- Revert "gpio: thunderx: Switch to GPIOLIB_IRQCHIP"
(bnc#1012628).
- clk: Don't try to enable critical clocks if prepare failed
(bnc#1012628).
- bus: ti-sysc: Fix iterating over clocks (bnc#1012628).
- arm64: dts: imx8mm: Change SDMA1 ahb clock for imx8mm
(bnc#1012628).
- arm64: dts: ls1028a: fix endian setting for dcfg (bnc#1012628).
- ARM: dts: imx6q-dhcom: fix rtc compatible (bnc#1012628).
- dt-bindings: reset: meson8b: fix duplicate reset IDs
(bnc#1012628).
- soc: amlogic: meson-ee-pwrc: propagate errors from
pm_genpd_init() (bnc#1012628).
- soc: amlogic: meson-ee-pwrc: propagate PD provider registration
errors (bnc#1012628).
- clk: qcom: gcc-sdm845: Add missing flag to votable GDSCs
(bnc#1012628).
- ARM: dts: meson8: fix the size of the PMU registers
(bnc#1012628).
- commit fc4ea7a
-------------------------------------------------------------------
Wed Jan 22 19:22:24 CET 2020 - afaerber@suse.com
- config: arm64: Update to 5.5-rc7
- commit 4e17086
-------------------------------------------------------------------
Tue Jan 21 22:36:18 CET 2020 - tiwai@suse.de
- Update config files: Update config files: adjust Intel ASoC audio items (bsc#1161463)
Adjust the Kconfigs for Intel ASoC SOF/SST drivers more appropriately.
Disable unrecommended items:
CONFIG_SND_SOC_INTEL_SKYLAKE_HDAUDIO_CODEC=n
CONFIG_SND_SST_ATOM_HIFI2_PLATFORM_PCI=n
CONFIG_SND_SOC_SOF_MERRIFIELD_SUPPORT=n
CONFIG_SND_SOC_INTEL_BYT_CHT_NOCODEC_MACH=n
Enable the other ones:
CONFIG_SND_SOC_INTEL_SKL_RT286_MACH=m
CONFIG_SND_SOC_INTEL_SKL_NAU88L25_SSM4567_MACH=m
CONFIG_SND_SOC_INTEL_SKL_NAU88L25_MAX98357A_MACH=m
CONFIG_SND_SOC_INTEL_BXT_DA7219_MAX98357A_MACH=m
CONFIG_SND_SOC_INTEL_BXT_RT298_MACH=m
CONFIG_SND_SOC_INTEL_GLK_DA7219_MAX98357A_MACH=m
CONFIG_SND_SOC_INTEL_SOF_CML_RT1011_RT5682_MACH=m
- commit 1da7ef3
-------------------------------------------------------------------
Tue Jan 21 22:29:35 CET 2020 - tiwai@suse.de
- Update config files: adjust Intel ASoC audio items (bsc#1161463)
Adjust the Kconfigs for Intel ASoC SOF/SST drivers more appropriately.
Disable unrecommended items:
CONFIG_SND_SOC_INTEL_SKYLAKE_HDAUDIO_CODEC=n
CONFIG_SND_SST_ATOM_HIFI2_PLATFORM_PCI=n
CONFIG_SND_SOC_SOF_MERRIFIELD_SUPPORT=n
CONFIG_SND_SOC_INTEL_BYT_CHT_NOCODEC_MACH=n
Enable the other ones:
CONFIG_SND_SOC_INTEL_SKL_RT286_MACH=m
CONFIG_SND_SOC_INTEL_SKL_NAU88L25_SSM4567_MACH=m
CONFIG_SND_SOC_INTEL_SKL_NAU88L25_MAX98357A_MACH=m
CONFIG_SND_SOC_INTEL_BXT_DA7219_MAX98357A_MACH=m
CONFIG_SND_SOC_INTEL_BXT_RT298_MACH=m
- commit 69c6063
-------------------------------------------------------------------
Mon Jan 20 13:56:00 CET 2020 - msuchanek@suse.de
- kernel-binary.spec.in: do not recommend firmware for kvmsmall and azure
flavor (boo#1161360).
- commit dbe7b27
-------------------------------------------------------------------
Mon Jan 20 12:37:09 CET 2020 - msuchanek@suse.de
- config/x86_64/kvmsmall: Enable UINPUT (boo#1161305).
- commit f406189
-------------------------------------------------------------------
Mon Jan 20 11:48:14 CET 2020 - tiwai@suse.de
- ALSA: hda: Apply aligned MMIO access only conditionally
(bsc#1161152).
- commit e1a66d4
-------------------------------------------------------------------
Mon Jan 20 07:44:17 CET 2020 - mkubecek@suse.cz
- Update to 5.5-rc7
- commit 3dbb847
-------------------------------------------------------------------
Sat Jan 18 08:09:46 CET 2020 - jslaby@suse.cz
- drm/amdgpu: remove redundant variable r and redundant return
statement (git-fixes).
- Revert "rsi: fix potential null dereference in rsi_probe()"
(git-fixes).
- bpf: Force .BTF section start to zero when dumping from vmlinux
(git-fixes).
- commit 9294bb2
-------------------------------------------------------------------
Sat Jan 18 06:47:20 CET 2020 - jslaby@suse.cz
- Linux 5.4.13 (bnc#1012628).
- rtc: mt6397: fix alarm register overwrite (bnc#1012628).
- phy: mapphone-mdm6600: Fix uninitialized status value regression
(bnc#1012628).
- RDMA/bnxt_re: Avoid freeing MR resources if dereg fails
(bnc#1012628).
- RDMA/bnxt_re: Fix Send Work Entry state check while polling
completions (bnc#1012628).
- IB/hfi1: Don't cancel unused work item (bnc#1012628).
- mtd: rawnand: stm32_fmc2: avoid to lock the CPU bus
(bnc#1012628).
- i2c: bcm2835: Store pointer to bus clock (bnc#1012628).
- ASoC: SOF: imx8: fix memory allocation failure check on
priv->pd_dev (bnc#1012628).
- ASoC: soc-core: Set dpcm_playback / dpcm_capture (bnc#1012628).
- ASoC: stm32: spdifrx: fix inconsistent lock state (bnc#1012628).
- ASoC: stm32: spdifrx: fix race condition in irq handler
(bnc#1012628).
- ASoC: stm32: spdifrx: fix input pin state management
(bnc#1012628).
- pinctrl: lochnagar: select GPIOLIB (bnc#1012628).
- netfilter: nft_flow_offload: fix underflow in flowtable
reference counter (bnc#1012628).
- ASoC: SOF: imx8: Fix dsp_box offset (bnc#1012628).
- mtd: onenand: omap2: Pass correct flags for prep_dma_memcpy
(bnc#1012628).
- gpio: zynq: Fix for bug in zynq_gpio_restore_context API
(bnc#1012628).
- pinctrl: meson: Fix wrong shift value when get drive-strength
(bnc#1012628).
- selftests: loopback.sh: skip this test if the driver does not
support (bnc#1012628).
- iommu/vt-d: Unlink device if failed to add to group
(bnc#1012628).
- iommu: Remove device link to group on failure (bnc#1012628).
- bpf: cgroup: prevent out-of-order release of cgroup bpf
(bnc#1012628).
- fs: move guard_bio_eod() after bio_set_op_attrs (bnc#1012628).
- scsi: mpt3sas: Fix double free in attach error handling
(bnc#1012628).
- gpio: Fix error message on out-of-range GPIO in lookup table
(bnc#1012628).
- PM / devfreq: tegra: Add COMMON_CLK dependency (bnc#1012628).
- PCI: amlogic: Fix probed clock names (bnc#1012628).
- drm/tegra: Fix ordering of cleanup code (bnc#1012628).
- hsr: add hsr root debugfs directory (bnc#1012628).
- hsr: rename debugfs file when interface name is changed
(bnc#1012628).
- hsr: reset network header when supervision frame is created
(bnc#1012628).
- s390/qeth: fix qdio teardown after early init error
(bnc#1012628).
- s390/qeth: fix false reporting of VNIC CHAR config failure
(bnc#1012628).
- s390/qeth: Fix vnicc_is_in_use if rx_bcast not set
(bnc#1012628).
- s390/qeth: vnicc Fix init to default (bnc#1012628).
- s390/qeth: fix initialization on old HW (bnc#1012628).
- cifs: Adjust indentation in smb2_open_file (bnc#1012628).
- scsi: smartpqi: Update attribute name to `driver_version`
(bnc#1012628).
- MAINTAINERS: Append missed file to the database (bnc#1012628).
- ath9k: use iowrite32 over __raw_writel (bnc#1012628).
- can: j1939: fix address claim code example (bnc#1012628).
- dt-bindings: reset: Fix brcmstb-reset example (bnc#1012628).
- reset: brcmstb: Remove resource checks (bnc#1012628).
- afs: Fix missing cell comparison in afs_test_super()
(bnc#1012628).
- perf vendor events s390: Remove name from L1D_RO_EXCL_WRITES
description (bnc#1012628).
- syscalls/x86: Wire up COMPAT_SYSCALL_DEFINE0 (bnc#1012628).
- syscalls/x86: Use COMPAT_SYSCALL_DEFINE0 for IA32 (rt_)sigreturn
(bnc#1012628).
- syscalls/x86: Use the correct function type for sys_ni_syscall
(bnc#1012628).
- syscalls/x86: Fix function types in COND_SYSCALL (bnc#1012628).
- hsr: fix slab-out-of-bounds Read in hsr_debugfs_rename()
(bnc#1012628).
- btrfs: simplify inode locking for RWF_NOWAIT (bnc#1012628).
- netfilter: nf_tables_offload: release flow_rule on error from
commit path (bnc#1012628).
- netfilter: nft_meta: use 64-bit time arithmetic (bnc#1012628).
- ASoC: dt-bindings: mt8183: add missing update (bnc#1012628).
- ASoC: simple_card_utils.h: Add missing include (bnc#1012628).
- ASoC: fsl_esai: Add spin lock to protect reset, stop and start
(bnc#1012628).
- ASoC: SOF: Intel: Broadwell: clarify mutual exclusion with
legacy driver (bnc#1012628).
- ASoC: core: Fix compile warning with CONFIG_DEBUG_FS=n
(bnc#1012628).
- ASoC: rsnd: fix DALIGN register for SSIU (bnc#1012628).
- RDMA/hns: Prevent undefined behavior in
hns_roce_set_user_sq_size() (bnc#1012628).
- RDMA/hns: remove a redundant le16_to_cpu (bnc#1012628).
- RDMA/hns: Modify return value of restrack functions
(bnc#1012628).
- RDMA/counter: Prevent QP counter manual binding in auto mode
(bnc#1012628).
- RDMA/siw: Fix port number endianness in a debug message
(bnc#1012628).
- RDMA/hns: Fix build error again (bnc#1012628).
- RDMA/hns: Release qp resources when failed to destroy qp
(bnc#1012628).
- xprtrdma: Add unique trace points for posting Local Invalidate
WRs (bnc#1012628).
- xprtrdma: Connection becomes unstable after a reconnect
(bnc#1012628).
- xprtrdma: Fix MR list handling (bnc#1012628).
- xprtrdma: Close window between waking RPC senders and posting
Receives (bnc#1012628).
- RDMA/hns: Fix to support 64K page for srq (bnc#1012628).
- RDMA/hns: Bugfix for qpc/cqc timer configuration (bnc#1012628).
- rdma: Remove nes ABI header (bnc#1012628).
- RDMA/mlx5: Return proper error value (bnc#1012628).
- RDMA/srpt: Report the SCSI residual to the initiator
(bnc#1012628).
- uaccess: Add non-pagefault user-space write function
(bnc#1012628).
- bpf: Make use of probe_user_write in probe write helper
(bnc#1012628).
- bpf: skmsg, fix potential psock NULL pointer dereference
(bnc#1012628).
- bpf: Support pre-2.25-binutils objcopy for vmlinux BTF
(bnc#1012628).
- libbpf: Fix Makefile' libbpf symbol mismatch diagnostic
(bnc#1012628).
- afs: Fix use-after-loss-of-ref (bnc#1012628).
- afs: Fix afs_lookup() to not clobber the version on a new dentry
(bnc#1012628).
- keys: Fix request_key() cache (bnc#1012628).
- scsi: enclosure: Fix stale device oops with hot replug
(bnc#1012628).
- scsi: sd: Clear sdkp->protection_type if disk is reformatted
without PI (bnc#1012628).
- platform/mellanox: fix potential deadlock in the tmfifo driver
(bnc#1012628).
- platform/x86: asus-wmi: Fix keyboard brightness cannot be set
to 0 (bnc#1012628).
- platform/x86: GPD pocket fan: Use default values when wrong
modparams are given (bnc#1012628).
- asm-generic/nds32: don't redefine cacheflush primitives
(bnc#1012628).
- Documentation/ABI: Fix documentation inconsistency for mlxreg-io
sysfs interfaces (bnc#1012628).
- Documentation/ABI: Add missed attribute for mlxreg-io sysfs
interfaces (bnc#1012628).
- xprtrdma: Fix create_qp crash on device unload (bnc#1012628).
- xprtrdma: Fix completion wait during device removal
(bnc#1012628).
- xprtrdma: Fix oops in Receive handler after device removal
(bnc#1012628).
- dm: add dm-clone to the documentation index (bnc#1012628).
- scsi: ufs: Give an unique ID to each ufs-bsg (bnc#1012628).
- crypto: cavium/nitrox - fix firmware assignment to AE cores
(bnc#1012628).
- crypto: hisilicon - select NEED_SG_DMA_LENGTH in qm Kconfig
(bnc#1012628).
- crypto: arm64/aes-neonbs - add return value of
skcipher_walk_done() in __xts_crypt() (bnc#1012628).
- crypto: virtio - implement missing support for output IVs
(bnc#1012628).
- crypto: algif_skcipher - Use chunksize instead of blocksize
(bnc#1012628).
- crypto: geode-aes - convert to skcipher API and make thread-safe
(bnc#1012628).
- NFSv2: Fix a typo in encode_sattr() (bnc#1012628).
- nfsd: Fix cld_net->cn_tfm initialization (bnc#1012628).
- nfsd: v4 support requires CRYPTO_SHA256 (bnc#1012628).
- NFSv4.x: Handle bad/dead sessions correctly in
nfs41_sequence_process() (bnc#1012628).
- NFSv4.x: Drop the slot if nfs4_delegreturn_prepare waits for
layoutreturn (bnc#1012628).
- iio: imu: st_lsm6dsx: fix gyro gain definitions for LSM9DS1
(bnc#1012628).
- iio: imu: adis16480: assign bias value only if operation
succeeded (bnc#1012628).
- mei: fix modalias documentation (bnc#1012628).
- clk: meson: axg-audio: fix regmap last register (bnc#1012628).
- clk: samsung: exynos5420: Preserve CPU clocks configuration
during suspend/resume (bnc#1012628).
- clk: Fix memory leak in clk_unregister() (bnc#1012628).
- dmaengine: dw: platform: Mark 'hclk' clock optional
(bnc#1012628).
- clk: imx: pll14xx: Fix quick switch of S/K parameter
(bnc#1012628).
- rsi: fix potential null dereference in rsi_probe()
(bnc#1012628).
- affs: fix a memory leak in affs_remount (bnc#1012628).
- pinctl: ti: iodelay: fix error checking on
pinctrl_count_index_with_args call (bnc#1012628).
- pinctrl: sh-pfc: Fix PINMUX_IPSR_PHYS() to set GPSR
(bnc#1012628).
- pinctrl: sh-pfc: Do not use platform_get_irq() to count
interrupts (bnc#1012628).
- pinctrl: lewisburg: Update pin list according to v1.1v6
(bnc#1012628).
- PCI: pciehp: Do not disable interrupt twice on suspend
(bnc#1012628).
- Revert "drm/virtio: switch virtio_gpu_wait_ioctl() to gem
helper." (bnc#1012628).
- drm/amdgpu: cleanup creating BOs at fixed location (v2)
(bnc#1012628).
- drm/amdgpu/discovery: reserve discovery data at the top of VRAM
(bnc#1012628).
- scsi: sd: enable compat ioctls for sed-opal (bnc#1012628).
- arm64: dts: apq8096-db820c: Increase load on l21 for SDCARD
(bnc#1012628).
- gfs2: add compat_ioctl support (bnc#1012628).
- af_unix: add compat_ioctl support (bnc#1012628).
- compat_ioctl: handle SIOCOUTQNSD (bnc#1012628).
- PCI: aardvark: Use LTSSM state to build link training flag
(bnc#1012628).
- PCI: aardvark: Fix PCI_EXP_RTCTL register configuration
(bnc#1012628).
- PCI: dwc: Fix find_next_bit() usage (bnc#1012628).
- PCI: Fix missing bridge dma_ranges resource list cleanup
(bnc#1012628).
- PCI/PM: Clear PCIe PME Status even for legacy power management
(bnc#1012628).
- tools: PCI: Fix fd leakage (bnc#1012628).
- PCI/PTM: Remove spurious "d" from granularity message
(bnc#1012628).
- powerpc/powernv: Disable native PCIe port management
(bnc#1012628).
- MIPS: PCI: remember nasid changed by set interrupt affinity
(bnc#1012628).
- MIPS: Loongson: Fix return value of loongson_hwmon_init
(bnc#1012628).
- MIPS: SGI-IP27: Fix crash, when CPUs are disabled via nr_cpus
parameter (bnc#1012628).
- tty: serial: imx: use the sg count from dma_map_sg
(bnc#1012628).
- tty: serial: pch_uart: correct usage of dma_unmap_sg
(bnc#1012628).
- ARM: 8943/1: Fix topology setup in case of CPU hotplug for
CONFIG_SCHED_MC (bnc#1012628).
- media: ov6650: Fix incorrect use of JPEG colorspace
(bnc#1012628).
- media: ov6650: Fix some format attributes not under control
(bnc#1012628).
- media: ov6650: Fix .get_fmt() V4L2_SUBDEV_FORMAT_TRY support
(bnc#1012628).
- media: ov6650: Fix default format not applied on device probe
(bnc#1012628).
- media: rcar-vin: Fix incorrect return statement in
rvin_try_format() (bnc#1012628).
- media: hantro: h264: Fix the frame_num wraparound case
(bnc#1012628).
- media: v4l: cadence: Fix how unsued lanes are handled in
'csi2rx_start()' (bnc#1012628).
- media: exynos4-is: Fix recursive locking in isp_video_release()
(bnc#1012628).
- media: coda: fix deadlock between decoder picture run and
start command (bnc#1012628).
- media: cedrus: Use correct H264 8x8 scaling list (bnc#1012628).
- media: hantro: Do not reorder H264 scaling list (bnc#1012628).
- media: aspeed-video: Fix memory leaks in aspeed_video_probe
(bnc#1012628).
- media: hantro: Set H264 FIELDPIC_FLAG_E flag correctly
(bnc#1012628).
- iommu/mediatek: Correct the flush_iotlb_all callback
(bnc#1012628).
- iommu/mediatek: Add a new tlb_lock for tlb_flush (bnc#1012628).
- memory: mtk-smi: Add PM suspend and resume ops (bnc#1012628).
- Revert "ubifs: Fix memory leak bug in alloc_ubifs_info()
error path" (bnc#1012628).
- ubifs: Fixed missed le64_to_cpu() in journal (bnc#1012628).
- ubifs: do_kill_orphans: Fix a memory leak bug (bnc#1012628).
- spi: sprd: Fix the incorrect SPI register (bnc#1012628).
- mtd: spi-nor: fix silent truncation in spi_nor_read()
(bnc#1012628).
- mtd: spi-nor: fix silent truncation in spi_nor_read_raw()
(bnc#1012628).
- spi: pxa2xx: Set controller->max_transfer_size in dma mode
(bnc#1012628).
- spi: atmel: fix handling of cs_change set on non-last xfer
(bnc#1012628).
- spi: rspi: Use platform_get_irq_byname_optional() for optional
irqs (bnc#1012628).
- spi: lpspi: fix memory leak in fsl_lpspi_probe (bnc#1012628).
- iwlwifi: mvm: consider ieee80211 station max amsdu value
(bnc#1012628).
- rtlwifi: Remove unnecessary NULL check in rtl_regd_init
(bnc#1012628).
- iwlwifi: mvm: fix support for single antenna diversity
(bnc#1012628).
- sch_cake: Add missing NLA policy entry TCA_CAKE_SPLIT_GSO
(bnc#1012628).
- f2fs: fix potential overflow (bnc#1012628).
- NFSD fixing possible null pointer derefering in copy offload
(bnc#1012628).
- rtc: msm6242: Fix reading of 10-hour digit (bnc#1012628).
- rtc: brcmstb-waketimer: add missed clk_disable_unprepare
(bnc#1012628).
- rtc: bd70528: Add MODULE ALIAS to autoload module (bnc#1012628).
- gpio: mpc8xxx: Add platform device to gpiochip->parent
(bnc#1012628).
- scsi: libcxgbi: fix NULL pointer dereference in
cxgbi_device_destroy() (bnc#1012628).
- scsi: target/iblock: Fix protection error with blocks greater
than 512B (bnc#1012628).
- selftests: firmware: Fix it to do root uid check and skip
(bnc#1012628).
- rseq/selftests: Turn off timeout setting (bnc#1012628).
- riscv: export flush_icache_all to modules (bnc#1012628).
- mips: cacheinfo: report shared CPU map (bnc#1012628).
- mips: Fix gettimeofday() in the vdso library (bnc#1012628).
- tomoyo: Suppress RCU warning at list_for_each_entry_rcu()
(bnc#1012628).
- MIPS: Prevent link failure with kcov instrumentation
(bnc#1012628).
- drm/arm/mali: make malidp_mw_connector_helper_funcs static
(bnc#1012628).
- rxrpc: Unlock new call in rxrpc_new_incoming_call() rather
than the caller (bnc#1012628).
- rxrpc: Don't take call->user_mutex in rxrpc_new_incoming_call()
(bnc#1012628).
- rxrpc: Fix missing security check on incoming calls
(bnc#1012628).
- dmaengine: k3dma: Avoid null pointer traversal (bnc#1012628).
- s390/qeth: lock the card while changing its hsuid (bnc#1012628).
- ioat: ioat_alloc_ring() failure handling (bnc#1012628).
- drm/amdgpu: enable gfxoff for raven1 refresh (bnc#1012628).
- media: intel-ipu3: Align struct ipu3_uapi_awb_fr_config_s to
32 bytes (bnc#1012628).
- kbuild/deb-pkg: annotate libelf-dev dependency as :native
(bnc#1012628).
- hexagon: parenthesize registers in asm predicates (bnc#1012628).
- hexagon: work around compiler crash (bnc#1012628).
- ocfs2: call journal flush to mark journal as empty after
journal recovery when mount (bnc#1012628).
- Update config files.
- commit 5fa871d
-------------------------------------------------------------------
Wed Jan 15 17:35:16 CET 2020 - tiwai@suse.de
- Update config files: disable CONFIG_SND_HDA_INTEL_DETECT_DMIC (bsc#1160914)
SOF driver still doesn't work properly for most of HD-audio devices on
5.4.y without the current firmware, so better to disable it to make
the driver behavior compatible with older kernels.
- commit 4c4d6ee
-------------------------------------------------------------------
Wed Jan 15 12:44:51 CET 2020 - tiwai@suse.de
- rtw88: fix potential NULL skb access in TX ISR (bsc#1160730).
- commit f123aae
-------------------------------------------------------------------
Wed Jan 15 10:29:49 CET 2020 - jslaby@suse.cz
- HID: hidraw, uhid: Always report EPOLLOUT (git-fixes).
- commit 7f4459b
-------------------------------------------------------------------
Wed Jan 15 09:18:13 CET 2020 - jslaby@suse.cz
- Linux 5.4.12 (bnc#1012628).
- chardev: Avoid potential use-after-free in 'chrdev_open()'
(bnc#1012628).
- i2c: fix bus recovery stop mode timing (bnc#1012628).
- powercap: intel_rapl: add NULL pointer check to
rapl_mmio_cpu_online() (bnc#1012628).
- usb: chipidea: host: Disable port power only if previously
enabled (bnc#1012628).
- ALSA: usb-audio: Apply the sample rate quirk for Bose Companion
5 (bnc#1012628).
- ALSA: hda/realtek - Add new codec supported for ALCS1200A
(bnc#1012628).
- ALSA: hda/realtek - Set EAPD control to default for ALC222
(bnc#1012628).
- ALSA: hda/realtek - Add quirk for the bass speaker on Lenovo
Yoga X1 7th gen (bnc#1012628).
- tpm: Handle negative priv->response_len in tpm_common_read()
(bnc#1012628).
- rtc: sun6i: Add support for RTC clocks on R40 (bnc#1012628).
- kernel/trace: Fix do not unregister tracepoints when register
sched_migrate_task fail (bnc#1012628).
- tracing: Have stack tracer compile when MCOUNT_INSN_SIZE is
not defined (bnc#1012628).
- tracing: Change offset type to s32 in preempt/irq tracepoints
(bnc#1012628).
- HID: Fix slab-out-of-bounds read in hid_field_extract
(bnc#1012628).
- HID: uhid: Fix returning EPOLLOUT from uhid_char_poll
(bnc#1012628).
- HID: hidraw: Fix returning EPOLLOUT from hidraw_poll
(bnc#1012628).
- HID: hid-input: clear unmapped usages (bnc#1012628).
- Input: add safety guards to input_set_keycode() (bnc#1012628).
- Input: input_event - fix struct padding on sparc64
(bnc#1012628).
- drm/i915: Add Wa_1408615072 and Wa_1407596294 to icl,ehl
(bnc#1012628).
- Revert "drm/amdgpu: Set no-retry as default." (bnc#1012628).
- drm/sun4i: tcon: Set RGB DCLK min. divider based on hardware
model (bnc#1012628).
- drm/fb-helper: Round up bits_per_pixel if possible
(bnc#1012628).
- drm/dp_mst: correct the shifting in DP_REMOTE_I2C_READ
(bnc#1012628).
- drm/i915: Add Wa_1407352427:icl,ehl (bnc#1012628).
- drm/i915/gt: Mark up virtual engine uabi_instance (bnc#1012628).
- IB/hfi1: Adjust flow PSN with the correct resync_psn
(bnc#1012628).
- can: kvaser_usb: fix interface sanity check (bnc#1012628).
- can: gs_usb: gs_usb_probe(): use descriptors of current
altsetting (bnc#1012628).
- can: tcan4x5x: tcan4x5x_can_probe(): get the device out of
standby before register access (bnc#1012628).
- can: mscan: mscan_rx_poll(): fix rx path lockup when returning
from polling to irq mode (bnc#1012628).
- can: can_dropped_invalid_skb(): ensure an initialized headroom
in outgoing CAN sk_buffs (bnc#1012628).
- gpiolib: acpi: Turn dmi_system_id table into a generic quirk
table (bnc#1012628).
- gpiolib: acpi: Add honor_wakeup module-option + quirk mechanism
(bnc#1012628).
- pstore/ram: Regularize prz label allocation lifetime
(bnc#1012628).
- staging: vt6656: set usb_set_intfdata on driver fail
(bnc#1012628).
- staging: vt6656: Fix non zero logical return of, usb_control_msg
(bnc#1012628).
- usb: cdns3: should not use the same dev_id for shared interrupt
handler (bnc#1012628).
- usb: ohci-da8xx: ensure error return on variable error is set
(bnc#1012628).
- USB-PD tcpm: bad warning+size, PPS adapters (bnc#1012628).
- USB: serial: option: add ZLP support for 0x1bc7/0x9010
(bnc#1012628).
- usb: musb: fix idling for suspend after disconnect interrupt
(bnc#1012628).
- usb: musb: Disable pullup at init (bnc#1012628).
- usb: musb: dma: Correct parameter passed to IRQ handler
(bnc#1012628).
- staging: comedi: adv_pci1710: fix AI channels 16-31 for PCI-1713
(bnc#1012628).
- staging: vt6656: correct return of vnt_init_registers
(bnc#1012628).
- staging: vt6656: limit reg output to block size (bnc#1012628).
- staging: rtl8188eu: Add device code for TP-Link TL-WN727N v5.21
(bnc#1012628).
- serdev: Don't claim unsupported ACPI serial devices
(bnc#1012628).
- iommu/vt-d: Fix adding non-PCI devices to Intel IOMMU
(bnc#1012628).
- tty: link tty and port before configuring it as console
(bnc#1012628).
- tty: always relink the port (bnc#1012628).
- arm64: Move __ARCH_WANT_SYS_CLONE3 definition to uapi headers
(bnc#1012628).
- arm64: Implement copy_thread_tls (bnc#1012628).
- arm: Implement copy_thread_tls (bnc#1012628).
- parisc: Implement copy_thread_tls (bnc#1012628).
- riscv: Implement copy_thread_tls (bnc#1012628).
- xtensa: Implement copy_thread_tls (bnc#1012628).
- clone3: ensure copy_thread_tls is implemented (bnc#1012628).
- um: Implement copy_thread_tls (bnc#1012628).
- staging: vt6656: remove bool from vnt_radio_power_on ret
(bnc#1012628).
- mwifiex: fix possible heap overflow in
mwifiex_process_country_ie() (bnc#1012628).
- mwifiex: pcie: Fix memory leak in mwifiex_pcie_alloc_cmdrsp_buf
(bnc#1012628).
- rpmsg: char: release allocated memory (bnc#1012628).
- scsi: bfa: release allocated memory in case of error
(bnc#1012628).
- rtl8xxxu: prevent leaking urb (bnc#1012628).
- ath10k: fix memory leak (bnc#1012628).
- HID: hiddev: fix mess in hiddev_open() (bnc#1012628).
- phy: cpcap-usb: Fix error path when no host driver is loaded
(bnc#1012628).
- phy: cpcap-usb: Fix flakey host idling and enumerating of
devices (bnc#1012628).
- netfilter: arp_tables: init netns pointer in xt_tgchk_param
struct (bnc#1012628).
- netfilter: conntrack: dccp, sctp: handle null timeout argument
(bnc#1012628).
- netfilter: ipset: avoid null deref when IPSET_ATTR_LINENO is
present (bnc#1012628).
- Update config files.
- commit 9cfb8de
-------------------------------------------------------------------
Tue Jan 14 19:16:06 CET 2020 - tiwai@suse.de
- drm/i915/gen9: Clear residual context state on context switch
(CVE-2019-14615 bsc#1160195).
- commit 63e6175
-------------------------------------------------------------------
Tue Jan 14 13:46:17 CET 2020 - tiwai@suse.de
- Revert "iwlwifi: mvm: fix scan config command size" (bsc#1160717).
- commit cf0d366
-------------------------------------------------------------------
Tue Jan 14 09:28:21 CET 2020 - tiwai@suse.de
- Update config files: enable forgotten configs for SOF (bsc#1160819)
The configs have been forgotten to be enabled:
CONFIG_SND_SOC_SOF_HDA_COMMON_HDMI_CODEC=y
CONFIG_SND_SOC_SOF_JASPERLAKE_SUPPORT=y
CONFIG_SND_SOC_SOF_JASPERLAKE=m
- commit c542b01
-------------------------------------------------------------------
Mon Jan 13 21:16:42 CET 2020 - msuchanek@suse.de
- rpm/kernel-binary.spec.in: Do not obsolete KMPs (boo#1126512).
- commit cea1843
-------------------------------------------------------------------
Mon Jan 13 06:24:19 CET 2020 - mkubecek@suse.cz
- Update to 5.5-rc6
- commit 6dccaaf
-------------------------------------------------------------------
Mon Jan 13 05:59:20 CET 2020 - jslaby@suse.cz
- Linux 5.4.11 (bnc#1012628).
- USB: dummy-hcd: use usb_urb_dir_in instead of usb_pipein
(bnc#1012628).
- bpf: Fix passing modified ctx to ld/abs/ind instruction
(bnc#1012628).
- ASoC: rt5682: fix i2c arbitration lost issue (bnc#1012628).
- spi: pxa2xx: Add support for Intel Jasper Lake (bnc#1012628).
- regulator: fix use after free issue (bnc#1012628).
- ASoC: max98090: fix possible race conditions (bnc#1012628).
- spi: fsl: Fix GPIO descriptor support (bnc#1012628).
- gpio: Handle counting of Freescale chipselects (bnc#1012628).
- spi: fsl: Handle the single hardwired chipselect case
(bnc#1012628).
- locking/spinlock/debug: Fix various data races (bnc#1012628).
- netfilter: ctnetlink: netns exit must wait for callbacks
(bnc#1012628).
- x86/intel: Disable HPET on Intel Ice Lake platforms
(bnc#1012628).
- netfilter: nf_tables_offload: Check for the NETDEV_UNREGISTER
event (bnc#1012628).
- mwifiex: Fix heap overflow in
mmwifiex_process_tdls_action_frame() (bnc#1012628).
- libtraceevent: Fix lib installation with O= (bnc#1012628).
- libtraceevent: Copy pkg-config file to output folder when
using O= (bnc#1012628).
- regulator: core: fix regulator_register() error paths to
properly release rdev (bnc#1012628).
- x86/efi: Update e820 with reserved EFI boot services data to
fix kexec breakage (bnc#1012628).
- ASoC: Intel: bytcr_rt5640: Update quirk for Teclast X89
(bnc#1012628).
- selftests: netfilter: use randomized netns names (bnc#1012628).
- efi/gop: Return EFI_NOT_FOUND if there are no usable GOPs
(bnc#1012628).
- efi/gop: Return EFI_SUCCESS if a usable GOP was found
(bnc#1012628).
- efi/gop: Fix memory leak in __gop_query32/64() (bnc#1012628).
- efi/earlycon: Remap entire framebuffer after page initialization
(bnc#1012628).
- ARM: dts: imx6ul: imx6ul-14x14-evk.dtsi: Fix SPI NOR probing
(bnc#1012628).
- ARM: vexpress: Set-up shared OPP table instead of individual
for each CPU (bnc#1012628).
- netfilter: uapi: Avoid undefined left-shift in xt_sctp.h
(bnc#1012628).
- netfilter: nft_set_rbtree: bogus lookup/get on consecutive
elements in named sets (bnc#1012628).
- netfilter: nf_tables: validate NFT_SET_ELEM_INTERVAL_END
(bnc#1012628).
- netfilter: nf_tables: validate NFT_DATA_VALUE after
nft_data_init() (bnc#1012628).
- netfilter: nf_tables: skip module reference count bump on
object updates (bnc#1012628).
- netfilter: nf_tables_offload: return EOPNOTSUPP if rule
specifies no actions (bnc#1012628).
- ARM: dts: BCM5301X: Fix MDIO node address/size cells
(bnc#1012628).
- selftests/ftrace: Fix to check the existence of
set_ftrace_filter (bnc#1012628).
- selftests/ftrace: Fix ftrace test cases to check unsupported
(bnc#1012628).
- selftests/ftrace: Do not to use absolute debugfs path
(bnc#1012628).
- selftests/ftrace: Fix multiple kprobe testcase (bnc#1012628).
- selftests: safesetid: Move link library to LDLIBS (bnc#1012628).
- selftests: safesetid: Check the return value of setuid/setgid
(bnc#1012628).
- selftests: safesetid: Fix Makefile to set correct test program
(bnc#1012628).
- ARM: exynos_defconfig: Restore debugfs support (bnc#1012628).
- ARM: dts: Cygnus: Fix MDIO node address/size cells
(bnc#1012628).
- spi: spi-cavium-thunderx: Add missing pci_release_regions()
(bnc#1012628).
- reset: Do not register resource data for missing resets
(bnc#1012628).
- ASoC: topology: Check return value for snd_soc_add_dai_link()
(bnc#1012628).
- ASoC: topology: Check return value for soc_tplg_pcm_create()
(bnc#1012628).
- ASoC: SOF: loader: snd_sof_fw_parse_ext_data log warning on
unknown header (bnc#1012628).
- ASoC: SOF: Intel: split cht and byt debug window sizes
(bnc#1012628).
- ARM: dts: am335x-sancloud-bbe: fix phy mode (bnc#1012628).
- ARM: omap2plus_defconfig: Add back DEBUG_FS (bnc#1012628).
- ARM: dts: bcm283x: Fix critical trip point (bnc#1012628).
- arm64: dts: ls1028a: fix typo in TMU calibration data
(bnc#1012628).
- bpf, riscv: Limit to 33 tail calls (bnc#1012628).
- bpf, mips: Limit to 33 tail calls (bnc#1012628).
- bpftool: Don't crash on missing jited insns or ksyms
(bnc#1012628).
- perf metricgroup: Fix printing event names of metric group
with multiple events (bnc#1012628).
- perf header: Fix false warning when there are no duplicate
cache entries (bnc#1012628).
- spi: spi-ti-qspi: Fix a bug when accessing non default CS
(bnc#1012628).
- ARM: dts: am437x-gp/epos-evm: fix panel compatible
(bnc#1012628).
- kselftest/runner: Print new line in print of timeout log
(bnc#1012628).
- kselftest: Support old perl versions (bnc#1012628).
- samples: bpf: Replace symbol compare of trace_event
(bnc#1012628).
- samples: bpf: fix syscall_tp due to unused syscall
(bnc#1012628).
- arm64: dts: ls1028a: fix reboot node (bnc#1012628).
- ARM: imx_v6_v7_defconfig: Explicitly restore CONFIG_DEBUG_FS
(bnc#1012628).
- pinctrl: aspeed-g6: Fix LPC/eSPI mux configuration
(bnc#1012628).
- bus: ti-sysc: Fix missing reset delay handling (bnc#1012628).
- clk: walk orphan list on clock provider registration
(bnc#1012628).
- mac80211: fix TID field in monitor mode transmit (bnc#1012628).
- cfg80211: fix double-free after changing network namespace
(bnc#1012628).
- pinctrl: pinmux: fix a possible null pointer in
pinmux_can_be_used_for_gpio (bnc#1012628).
- powerpc: Ensure that swiotlb buffer is allocated from low memory
(bnc#1012628).
- btrfs: Fix error messages in qgroup_rescan_init (bnc#1012628).
- Btrfs: fix cloning range with a hole when using the NO_HOLES
feature (bnc#1012628).
- powerpc/vcpu: Assume dedicated processors as non-preempt
(bnc#1012628).
- powerpc/spinlocks: Include correct header for static key
(bnc#1012628).
- btrfs: handle error in btrfs_cache_block_group (bnc#1012628).
- Btrfs: fix hole extent items with a zero size after range
cloning (bnc#1012628).
- ocxl: Fix potential memory leak on context creation
(bnc#1012628).
- bpf: Clear skb->tstamp in bpf_redirect when necessary
(bnc#1012628).
- habanalabs: rate limit error msg on waiting for CS
(bnc#1012628).
- habanalabs: remove variable 'val' set but not used
(bnc#1012628).
- bnx2x: Do not handle requests from VFs after parity
(bnc#1012628).
- bnx2x: Fix logic to get total no. of PFs per engine
(bnc#1012628).
- cxgb4: Fix kernel panic while accessing sge_info (bnc#1012628).
- net: usb: lan78xx: Fix error message format specifier
(bnc#1012628).
- parisc: fix compilation when KEXEC=n and KEXEC_FILE=y
(bnc#1012628).
- parisc: add missing __init annotation (bnc#1012628).
- rfkill: Fix incorrect check to avoid NULL pointer dereference
(bnc#1012628).
- ASoC: wm8962: fix lambda value (bnc#1012628).
- regulator: rn5t618: fix module aliases (bnc#1012628).
- spi: nxp-fspi: Ensure width is respected in spi-mem operations
(bnc#1012628).
- clk: at91: fix possible deadlock (bnc#1012628).
- staging: axis-fifo: add unspecified HAS_IOMEM dependency
(bnc#1012628).
- iommu/iova: Init the struct iova to fix the possible memleak
(bnc#1012628).
- kconfig: don't crash on NULL expressions in expr_eq()
(bnc#1012628).
- scripts: package: mkdebian: add missing rsync dependency
(bnc#1012628).
- perf/x86: Fix potential out-of-bounds access (bnc#1012628).
- perf/x86/intel: Fix PT PMI handling (bnc#1012628).
- sched/psi: Fix sampling error and rare div0 crashes with
cgroups and high uptime (bnc#1012628).
- psi: Fix a division error in psi poll() (bnc#1012628).
- usb: typec: fusb302: Fix an undefined reference to
'extcon_get_state' (bnc#1012628).
- block: end bio with BLK_STS_AGAIN in case of non-mq devs and
REQ_NOWAIT (bnc#1012628).
- fs: avoid softlockups in s_inodes iterators (bnc#1012628).
- fs: call fsnotify_sb_delete after evict_inodes (bnc#1012628).
- perf/smmuv3: Remove the leftover put_cpu() in error path
(bnc#1012628).
- iommu/dma: Relax locking in iommu_dma_prepare_msi()
(bnc#1012628).
- io_uring: don't wait when under-submitting (bnc#1012628).
- clk: Move clk_core_reparent_orphans() under CONFIG_OF
(bnc#1012628).
- net: stmmac: selftests: Needs to check the number of Multicast
regs (bnc#1012628).
- net: stmmac: Determine earlier the size of RX buffer
(bnc#1012628).
- net: stmmac: Do not accept invalid MTU values (bnc#1012628).
- net: stmmac: xgmac: Clear previous RX buffer size (bnc#1012628).
- net: stmmac: RX buffer size must be 16 byte aligned
(bnc#1012628).
- net: stmmac: Always arm TX Timer at end of transmission start
(bnc#1012628).
- s390/purgatory: do not build purgatory with kcov, kasan and
friends (bnc#1012628).
- drm/exynos: gsc: add missed component_del (bnc#1012628).
- tpm/tpm_ftpm_tee: add shutdown call back (bnc#1012628).
- xsk: Add rcu_read_lock around the XSK wakeup (bnc#1012628).
- net/mlx5e: Fix concurrency issues between config flow and XSK
(bnc#1012628).
- net/i40e: Fix concurrency issues between config flow and XSK
(bnc#1012628).
- net/ixgbe: Fix concurrency issues between config flow and XSK
(bnc#1012628).
- platform/x86: pcengines-apuv2: fix simswap GPIO assignment
(bnc#1012628).
- arm64: cpu_errata: Add Hisilicon TSV110 to spectre-v2 safe list
(bnc#1012628).
- block: Fix a lockdep complaint triggered by request queue
flushing (bnc#1012628).
- s390/dasd/cio: Interpret ccw_device_get_mdc return value
correctly (bnc#1012628).
- s390/dasd: fix memleak in path handling error case
(bnc#1012628).
- block: fix memleak when __blk_rq_map_user_iov() is failed
(bnc#1012628).
- parisc: Fix compiler warnings in debug_core.c (bnc#1012628).
- sbitmap: only queue kyber's wait callback if not already active
(bnc#1012628).
- s390/qeth: handle error due to unsupported transport mode
(bnc#1012628).
- s390/qeth: fix promiscuous mode after reset (bnc#1012628).
- s390/qeth: don't return -ENOTSUPP to userspace (bnc#1012628).
- llc2: Fix return statement of llc_stat_ev_rx_null_dsap_xid_c
(and _test_c) (bnc#1012628).
- hv_netvsc: Fix unwanted rx_table reset (bnc#1012628).
- selftests: pmtu: fix init mtu value in description
(bnc#1012628).
- tracing: Do not create directories if lockdown is in affect
(bnc#1012628).
- gtp: fix bad unlock balance in gtp_encap_enable_socket
(bnc#1012628).
- macvlan: do not assume mac_header is set in macvlan_broadcast()
(bnc#1012628).
- net: dsa: mv88e6xxx: Preserve priority when setting CPU port
(bnc#1012628).
- net: freescale: fec: Fix ethtool -d runtime PM (bnc#1012628).
- net: stmmac: dwmac-sun8i: Allow all RGMII modes (bnc#1012628).
- net: stmmac: dwmac-sunxi: Allow all RGMII modes (bnc#1012628).
- net: stmmac: Fixed link does not need MDIO Bus (bnc#1012628).
- net: usb: lan78xx: fix possible skb leak (bnc#1012628).
- pkt_sched: fq: do not accept silly TCA_FQ_QUANTUM (bnc#1012628).
- sch_cake: avoid possible divide by zero in cake_enqueue()
(bnc#1012628).
- sctp: free cmd->obj.chunk for the unprocessed SCTP_CMD_REPLY
(bnc#1012628).
- tcp: fix "old stuff" D-SACK causing SACK to be treated as D-SACK
(bnc#1012628).
- vxlan: fix tos value before xmit (bnc#1012628).
- mlxsw: spectrum_qdisc: Ignore grafting of invisible FIFO
(bnc#1012628).
- net: sch_prio: When ungrafting, replace with FIFO (bnc#1012628).
- vlan: fix memory leak in vlan_dev_set_egress_priority
(bnc#1012628).
- vlan: vlan_changelink() should propagate errors (bnc#1012628).
- macb: Don't unregister clks unconditionally (bnc#1012628).
- net/mlx5: Move devlink registration before interfaces load
(bnc#1012628).
- net: dsa: mv88e6xxx: force cmode write on 6141/6341
(bnc#1012628).
- net/mlx5e: Always print health reporter message to dmesg
(bnc#1012628).
- net/mlx5: DR, No need for atomic refcount for internal SW
steering resources (bnc#1012628).
- net/mlx5e: Fix hairpin RSS table size (bnc#1012628).
- net/mlx5: DR, Init lists that are used in rule's member
(bnc#1012628).
- usb: dwc3: gadget: Fix request complete check (bnc#1012628).
- USB: core: fix check for duplicate endpoints (bnc#1012628).
- USB: serial: option: add Telit ME910G1 0x110a composition
(bnc#1012628).
- usb: missing parentheses in USE_NEW_SCHEME (bnc#1012628).
- Refresh
patches.suse/V4-04-10-ARM-dts-bcm283x-Move-BCM2835-6-7-specific-to-bcm2835-common.dtsi.patch.
- commit 2d02eb4
-------------------------------------------------------------------
Fri Jan 10 14:06:45 CET 2020 - nsaenzjulienne@suse.de
- supported.conf: Enable mdio-bcm-unimac (bsc#1160310)
Needed in order for Raspberry Pi 4's ethernet port to work.
- commit b949d3b
-------------------------------------------------------------------
Thu Jan 9 16:45:44 CET 2020 - jslaby@suse.cz
- Linux 5.4.10 (bnc#1012628).
- powerpc/pmem: Fix kernel crash due to wrong range value usage
in flush_dcache_range (bnc#1012628).
- commit 556a6fe
-------------------------------------------------------------------
Thu Jan 9 16:44:46 CET 2020 - jslaby@suse.cz
- Linux 5.4.9 (bnc#1012628).
- drm/mcde: dsi: Fix invalid pointer dereference if panel cannot
be found (bnc#1012628).
- nvme_fc: add module to ops template to allow module references
(bnc#1012628).
- nvme-fc: fix double-free scenarios on hw queues (bnc#1012628).
- drm/amdgpu: add check before enabling/disabling broadcast mode
(bnc#1012628).
- drm/amdgpu: add header line for power profile on Arcturus
(bnc#1012628).
- drm/amdgpu: add cache flush workaround to gfx8 emit_fence
(bnc#1012628).
- drm/amd/display: Map DSC resources 1-to-1 if numbers of OPPs
and DSCs are equal (bnc#1012628).
- drm/amd/display: Fixed kernel panic when booting with DP-to-HDMI
dongle (bnc#1012628).
- drm/amd/display: Change the delay time before enabling FEC
(bnc#1012628).
- drm/amd/display: Reset steer fifo before unblanking the stream
(bnc#1012628).
- drm/amd/display: update dispclk and dppclk vco frequency
(bnc#1012628).
- nvme/pci: Fix write and poll queue types (bnc#1012628).
- nvme/pci: Fix read queue count (bnc#1012628).
- iio: st_accel: Fix unused variable warning (bnc#1012628).
- iio: adc: max9611: Fix too short conversion time delay
(bnc#1012628).
- PM / devfreq: Fix devfreq_notifier_call returning errno
(bnc#1012628).
- PM / devfreq: Set scaling_max_freq to max on OPP notifier error
(bnc#1012628).
- PM / devfreq: Don't fail devfreq_dev_release if not in list
(bnc#1012628).
- afs: Fix afs_find_server lookups for ipv4 peers (bnc#1012628).
- afs: Fix SELinux setting security label on /afs (bnc#1012628).
- RDMA/cma: add missed unregister_pernet_subsys in init failure
(bnc#1012628).
- rxe: correctly calculate iCRC for unaligned payloads
(bnc#1012628).
- scsi: lpfc: Fix memory leak on lpfc_bsg_write_ebuf_set func
(bnc#1012628).
- scsi: qla2xxx: Use explicit LOGO in target mode (bnc#1012628).
- scsi: qla2xxx: Drop superfluous INIT_WORK of del_work
(bnc#1012628).
- scsi: qla2xxx: Don't call qlt_async_event twice (bnc#1012628).
- scsi: qla2xxx: Fix PLOGI payload and ELS IOCB dump length
(bnc#1012628).
- scsi: qla2xxx: Configure local loop for N2N target
(bnc#1012628).
- scsi: qla2xxx: Send Notify ACK after N2N PLOGI (bnc#1012628).
- scsi: qla2xxx: Don't defer relogin unconditonally (bnc#1012628).
- scsi: qla2xxx: Ignore PORT UPDATE after N2N PLOGI (bnc#1012628).
- scsi: iscsi: qla4xxx: fix double free in probe (bnc#1012628).
- scsi: libsas: stop discovering if oob mode is disconnected
(bnc#1012628).
- scsi: iscsi: Avoid potential deadlock in iscsi_if_rx func
(bnc#1012628).
- staging/wlan-ng: add CRC32 dependency in Kconfig (bnc#1012628).
- drm/nouveau: Move the declaration of struct nouveau_conn_atom
up a bit (bnc#1012628).
- drm/nouveau: Fix drm-core using atomic code-paths on pre-nv50
hardware (bnc#1012628).
- drm/nouveau/kms/nv50-: fix panel scaling (bnc#1012628).
- usb: gadget: fix wrong endpoint desc (bnc#1012628).
- net: make socket read/write_iter() honor IOCB_NOWAIT
(bnc#1012628).
- afs: Fix mountpoint parsing (bnc#1012628).
- afs: Fix creation calls in the dynamic root to fail with
EOPNOTSUPP (bnc#1012628).
- raid5: need to set STRIPE_HANDLE for batch head (bnc#1012628).
- md: raid1: check rdev before reference in raid1_sync_request
func (bnc#1012628).
- s390/cpum_sf: Adjust sampling interval to avoid hitting sample
limits (bnc#1012628).
- s390/cpum_sf: Avoid SBD overflow condition in irq handler
(bnc#1012628).
- RDMA/counter: Prevent auto-binding a QP which are not tracked
with res (bnc#1012628).
- IB/mlx4: Follow mirror sequence of device add during device
removal (bnc#1012628).
- IB/mlx5: Fix steering rule of drop and count (bnc#1012628).
- xen-blkback: prevent premature module unload (bnc#1012628).
- xen/balloon: fix ballooned page accounting without hotplug
enabled (bnc#1012628).
- PM / hibernate: memory_bm_find_bit(): Tighten node optimisation
(bnc#1012628).
- ALSA: hda/realtek - Add Bass Speaker and fixed dac for bass
speaker (bnc#1012628).
- ALSA: hda/realtek - Enable the bass speaker of ASUS UX431FLC
(bnc#1012628).
- PCI: Add a helper to check Power Resource Requirements _PR3
existence (bnc#1012628).
- ALSA: hda: Allow HDA to be runtime suspended when dGPU is not
bound to a driver (bnc#1012628).
- PCI: Fix missing inline for pci_pr3_present() (bnc#1012628).
- ALSA: hda - fixup for the bass speaker on Lenovo Carbon X1
7th gen (bnc#1012628).
- tcp: fix data-race in tcp_recvmsg() (bnc#1012628).
- shmem: pin the file in shmem_fault() if mmap_sem is dropped
(bnc#1012628).
- taskstats: fix data-race (bnc#1012628).
- ALSA: hda - Downgrade error message for single-cmd fallback
(bnc#1012628).
- netfilter: nft_tproxy: Fix port selector on Big Endian
(bnc#1012628).
- block: add bio_truncate to fix guard_bio_eod (bnc#1012628).
- mm: drop mmap_sem before calling balance_dirty_pages() in
write fault (bnc#1012628).
- ALSA: ice1724: Fix sleep-in-atomic in Infrasonic Quartet
support code (bnc#1012628).
- ALSA: usb-audio: fix set_format altsetting sanity check
(bnc#1012628).
- ALSA: usb-audio: set the interface format after resume on Dell
WD19 (bnc#1012628).
- ALSA: hda - Apply sync-write workaround to old Intel platforms,
too (bnc#1012628).
- ALSA: hda/realtek - Add headset Mic no shutup for ALC283
(bnc#1012628).
- drm/sun4i: hdmi: Remove duplicate cleanup calls (bnc#1012628).
- drm/amdgpu/smu: add metrics table lock (bnc#1012628).
- drm/amdgpu/smu: add metrics table lock for arcturus (v2)
(bnc#1012628).
- drm/amdgpu/smu: add metrics table lock for navi (v2)
(bnc#1012628).
- drm/amdgpu/smu: add metrics table lock for vega20 (v2)
(bnc#1012628).
- MIPS: BPF: Disable MIPS32 eBPF JIT (bnc#1012628).
- MIPS: BPF: eBPF JIT: check for MIPS ISA compliance in Kconfig
(bnc#1012628).
- MIPS: Avoid VDSO ABI breakage due to global register variable
(bnc#1012628).
- media: pulse8-cec: fix lost cec_transmit_attempt_done() call
(bnc#1012628).
- media: cec: CEC 2.0-only bcast messages were ignored
(bnc#1012628).
- media: cec: avoid decrementing transmit_queue_sz if it is 0
(bnc#1012628).
- media: cec: check 'transmit_in_progress', not 'transmitting'
(bnc#1012628).
- mm/memory_hotplug: shrink zones when offlining memory
(bnc#1012628).
- mm/zsmalloc.c: fix the migrated zspage statistics (bnc#1012628).
- memcg: account security cred as well to kmemcg (bnc#1012628).
- mm: move_pages: return valid node id in status if the page is
already on the target node (bnc#1012628).
- mm/oom: fix pgtables units mismatch in Killed process message
(bnc#1012628).
- ocfs2: fix the crash due to call ocfs2_get_dlm_debug once less
(bnc#1012628).
- pstore/ram: Write new dumps to start of recycled zones
(bnc#1012628).
- pstore/ram: Fix error-path memory leak in persistent_ram_new()
callers (bnc#1012628).
- gcc-plugins: make it possible to disable CONFIG_GCC_PLUGINS
again (bnc#1012628).
- locks: print unsigned ino in /proc/locks (bnc#1012628).
- selftests/seccomp: Zero out seccomp_notif (bnc#1012628).
- seccomp: Check that seccomp_notif is zeroed out by the user
(bnc#1012628).
- samples/seccomp: Zero out members based on seccomp_notif_sizes
(bnc#1012628).
- selftests/seccomp: Catch garbage on SECCOMP_IOCTL_NOTIF_RECV
(bnc#1012628).
- dmaengine: Fix access to uninitialized dma_slave_caps
(bnc#1012628).
- dmaengine: dma-jz4780: Also break descriptor chains on JZ4725B
(bnc#1012628).
- Btrfs: fix infinite loop during nocow writeback due to race
(bnc#1012628).
- compat_ioctl: block: handle Persistent Reservations
(bnc#1012628).
- compat_ioctl: block: handle BLKREPORTZONE/BLKRESETZONE
(bnc#1012628).
- compat_ioctl: block: handle BLKGETZONESZ/BLKGETNRZONES
(bnc#1012628).
- bpf: Fix precision tracking for unbounded scalars (bnc#1012628).
- ata: libahci_platform: Export again
ahci_platform_<en/dis>able_phys() (bnc#1012628).
- ata: ahci_brcm: Fix AHCI resources management (bnc#1012628).
- ata: ahci_brcm: Add missing clock management during recovery
(bnc#1012628).
- ata: ahci_brcm: BCM7425 AHCI requires AHCI_HFLAG_DELAY_ENGINE
(bnc#1012628).
- libata: Fix retrieving of active qcs (bnc#1012628).
- gpio: xtensa: fix driver build (bnc#1012628).
- gpiolib: fix up emulated open drain outputs (bnc#1012628).
- clocksource: riscv: add notrace to riscv_sched_clock
(bnc#1012628).
- riscv: ftrace: correct the condition logic in function graph
tracer (bnc#1012628).
- rseq/selftests: Fix: Namespace gettid() for compatibility with
glibc 2.30 (bnc#1012628).
- tracing: Fix lock inversion in trace_event_enable_tgid_record()
(bnc#1012628).
- tracing: Avoid memory leak in process_system_preds()
(bnc#1012628).
- tracing: Have the histogram compare functions convert to u64
first (bnc#1012628).
- tracing: Fix endianness bug in histogram trigger (bnc#1012628).
- samples/trace_printk: Wait for IRQ work to finish (bnc#1012628).
- io_uring: use current task creds instead of allocating a new
one (bnc#1012628).
- mm/gup: fix memory leak in __gup_benchmark_ioctl (bnc#1012628).
- apparmor: fix aa_xattrs_match() may sleep while holding a RCU
lock (bnc#1012628).
- dmaengine: virt-dma: Fix access after free in vchan_complete()
(bnc#1012628).
- gen_initramfs_list.sh: fix 'bad variable name' error
(bnc#1012628).
- ALSA: cs4236: fix error return comparison of an unsigned integer
(bnc#1012628).
- ALSA: pcm: Yet another missing check of non-cached buffer type
(bnc#1012628).
- ALSA: firewire-motu: Correct a typo in the clock proc string
(bnc#1012628).
- scsi: lpfc: Fix rpi release when deleting vport (bnc#1012628).
- exit: panic before exit_mm() on global init exit (bnc#1012628).
- arm64: Revert support for execute-only user mappings
(bnc#1012628).
- ftrace: Avoid potential division by zero in function profiler
(bnc#1012628).
- spi: spi-fsl-dspi: Fix 16-bit word order in 32-bit XSPI mode
(bnc#1012628).
- drm/msm: include linux/sched/task.h (bnc#1012628).
- PM / devfreq: Check NULL governor in available_governors_show
(bnc#1012628).
- sunrpc: fix crash when cache_head become valid before update
(bnc#1012628).
- arm64: dts: qcom: msm8998-clamshell: Remove retention idle state
(bnc#1012628).
- nfsd4: fix up replay_matches_cache() (bnc#1012628).
- powerpc: Chunk calls to flush_dcache_range in arch_*_memory
(bnc#1012628).
- HID: i2c-hid: Reset ALPS touchpads on resume (bnc#1012628).
- net/sched: annotate lockless accesses to qdisc->empty
(bnc#1012628).
- kernel/module.c: wakeup processes in module_wq on module unload
(bnc#1012628).
- ACPI: sysfs: Change ACPI_MASKABLE_GPE_MAX to 0x100
(bnc#1012628).
- perf callchain: Fix segfault in
thread__resolve_callchain_sample() (bnc#1012628).
- iommu/vt-d: Remove incorrect PSI capability check (bnc#1012628).
- of: overlay: add_changeset_property() memory leak (bnc#1012628).
- cifs: Fix potential softlockups while refreshing DFS cache
(bnc#1012628).
- firmware: arm_scmi: Avoid double free in error flow
(bnc#1012628).
- xfs: don't check for AG deadlock for realtime files in bunmapi
(bnc#1012628).
- platform/x86: pmc_atom: Add Siemens CONNECT X300 to
critclk_systems DMI table (bnc#1012628).
- netfilter: nf_queue: enqueue skbs with NULL dst (bnc#1012628).
- net, sysctl: Fix compiler warning when only cBPF is present
(bnc#1012628).
- watchdog: tqmx86_wdt: Fix build error (bnc#1012628).
- regulator: axp20x: Fix axp20x_set_ramp_delay (bnc#1012628).
- regulator: bd70528: Remove .set_ramp_delay for bd70528_ldo_ops
(bnc#1012628).
- spi: uniphier: Fix FIFO threshold (bnc#1012628).
- regulator: axp20x: Fix AXP22x ELDO2 regulator enable bitmask
(bnc#1012628).
- powerpc/mm: Mark get_slice_psize() & slice_addr_is_low()
as notrace (bnc#1012628).
- Bluetooth: btusb: fix PM leak in error case of setup
(bnc#1012628).
- Bluetooth: delete a stray unlock (bnc#1012628).
- Bluetooth: Fix memory leak in hci_connect_le_scan (bnc#1012628).
- arm64: dts: meson-gxl-s905x-khadas-vim: fix uart_A bluetooth
node (bnc#1012628).
- arm64: dts: meson-gxm-khadas-vim2: fix uart_A bluetooth node
(bnc#1012628).
- media: flexcop-usb: ensure -EIO is returned on error condition
(bnc#1012628).
- regulator: ab8500: Remove AB8505 USB regulator (bnc#1012628).
- media: usb: fix memory leak in af9005_identify_state
(bnc#1012628).
- dt-bindings: clock: renesas: rcar-usb2-clock-sel: Fix typo in
example (bnc#1012628).
- arm64: dts: meson: odroid-c2: Disable usb_otg bus to avoid
power failed warning (bnc#1012628).
- phy: renesas: rcar-gen3-usb2: Use platform_get_irq_optional()
for optional irq (bnc#1012628).
- tty: serial: msm_serial: Fix lockup for sysrq and oops
(bnc#1012628).
- cifs: Fix lookup of root ses in DFS referral cache
(bnc#1012628).
- fs: cifs: Fix atime update check vs mtime (bnc#1012628).
- fix compat handling of FICLONERANGE, FIDEDUPERANGE and
FS_IOC_FIEMAP (bnc#1012628).
- ath9k_htc: Modify byte order for an error message (bnc#1012628).
- ath9k_htc: Discard undersized packets (bnc#1012628).
- drm/i915/execlists: Fix annotation for decoupling virtual
request (bnc#1012628).
- xfs: periodically yield scrub threads to the scheduler
(bnc#1012628).
- net: add annotations on hh->hh_len lockless accesses
(bnc#1012628).
- ubifs: ubifs_tnc_start_commit: Fix OOB in layout_in_gaps
(bnc#1012628).
- btrfs: get rid of unique workqueue helper functions
(bnc#1012628).
- Btrfs: only associate the locked page with one async_chunk
struct (bnc#1012628).
- s390/smp: fix physical to logical CPU map for SMT (bnc#1012628).
- mm/sparse.c: mark populate_section_memmap as __meminit
(bnc#1012628).
- xen/blkback: Avoid unmapping unmapped grant pages (bnc#1012628).
- lib/ubsan: don't serialize UBSAN report (bnc#1012628).
- efi: Don't attempt to map RCI2 config table if it doesn't exist
(bnc#1012628).
- perf/x86/intel/bts: Fix the use of page_private() (bnc#1012628).
- net: annotate lockless accesses to sk->sk_pacing_shift
(bnc#1012628).
- hsr: avoid debugfs warning message when module is remove
(bnc#1012628).
- hsr: fix error handling routine in hsr_dev_finalize()
(bnc#1012628).
- hsr: fix a race condition in node list insertion and deletion
(bnc#1012628).
- mm/hugetlb: defer freeing of huge pages if in non-task context
(bnc#1012628).
- Refresh patches.suse/vfs-add-super_operations-get_inode_dev.
- commit 605842d
-------------------------------------------------------------------
Thu Jan 9 07:11:19 CET 2020 - mkubecek@suse.cz
- supported.conf: sort
Fix order of entries in supported.conf file.
- commit 581d935
-------------------------------------------------------------------
Wed Jan 8 16:29:35 CET 2020 - tiwai@suse.de
- libertas: Fix two buffer overflows at parsing bss descriptor
(CVE-2019-14896 bsc#1157157 CVE-2019-14897 bsc#1157155).
- commit 434d4ff
-------------------------------------------------------------------
Wed Jan 8 16:22:55 CET 2020 - nsaenzjulienne@suse.de
- supported.conf: enable Raspberry Pi cpufreq driver (bsc#1160461).
These drivers are required in order to enable CPU frequency scaling on
the Raspberry Pi family of boards.
- commit 224f041
-------------------------------------------------------------------
Wed Jan 8 11:57:16 CET 2020 - tiwai@suse.de
- rpm/kernel-docs.spec.in: pass PYTHON=python3 to fix build error (bsc#1160435)
- commit 9b5299f
-------------------------------------------------------------------
Wed Jan 8 07:44:32 CET 2020 - mkubecek@suse.cz
- config: fix pstore default compression options on 32-bit ARM
Previous commit did not update arvm7hl and armv6hl configs properly and
run_oldconfig.sh did not catch it as these architectures are disabled at
the moment.
- commit aaf3b6a
-------------------------------------------------------------------
Tue Jan 7 16:34:58 CET 2020 - msuchanek@suse.de
- Make lzo the default pstore compression method (bsc#1159986).
Pstore has compression enabled, pstore is built-in, pstore default
compression is deflate, deflate is modular -> pstore initialization
fails on boot. Switch default compression to lzo which is built-in and
the only built-in method on SLE15.
- commit 4adf9f7
-------------------------------------------------------------------
Tue Jan 7 11:17:09 CET 2020 - tiwai@suse.de
- tpm: Revert "tpm_tis_core: Turn on the TPM before probing IRQ's"
(bsc#1159152).
- tpm: Revert "tpm_tis_core: Set TPM_CHIP_FLAG_IRQ before probing
for interrupts" (bsc#1159152).
- tpm: Revert "tpm_tis: reserve chip for duration of
tpm_tis_core_init" (bsc#1159152).
- USB: Fix: Don't skip endpoint descriptors with maxpacket=0
(bsc#1159811).
- commit 52394e7
-------------------------------------------------------------------
Mon Jan 6 06:28:20 CET 2020 - mkubecek@suse.cz
- Update to 5.5-rc5
- commit 288dac0
-------------------------------------------------------------------
Sun Jan 5 09:46:16 CET 2020 - jslaby@suse.cz
- Linux 5.4.8 (bnc#1012628).
- Revert "MIPS: futex: Restore \n after sync instructions"
(bnc#1012628).
- Revert "MIPS: futex: Emit Loongson3 sync workarounds within asm"
(bnc#1012628).
- scsi: lpfc: Fix spinlock_irq issues in lpfc_els_flush_cmd()
(bnc#1012628).
- scsi: lpfc: Fix discovery failures when target device
connectivity bounces (bnc#1012628).
- scsi: mpt3sas: Fix clear pending bit in ioctl status
(bnc#1012628).
- scsi: lpfc: Fix locking on mailbox command completion
(bnc#1012628).
- scsi: mpt3sas: Reject NVMe Encap cmnds to unsupported HBA
(bnc#1012628).
- gpio: mxc: Only get the second IRQ when there is more than
one IRQ (bnc#1012628).
- scsi: lpfc: Fix list corruption in lpfc_sli_get_iocbq
(bnc#1012628).
- Input: atmel_mxt_ts - disable IRQ across suspend (bnc#1012628).
- f2fs: fix to update time in lazytime mode (bnc#1012628).
- powerpc/papr_scm: Fix an off-by-one check in papr_scm_meta_{get,
set} (bnc#1012628).
- tools/power/x86/intel-speed-select: Remove warning for unused
result (bnc#1012628).
- platform/x86: peaq-wmi: switch to using polled mode of input
devices (bnc#1012628).
- iommu: rockchip: Free domain on .domain_free (bnc#1012628).
- iommu/tegra-smmu: Fix page tables in > 4 GiB memory
(bnc#1012628).
- dmaengine: xilinx_dma: Clear desc_pendingcount in
xilinx_dma_reset (bnc#1012628).
- scsi: target: compare full CHAP_A Algorithm strings
(bnc#1012628).
- scsi: lpfc: Fix hardlockup in lpfc_abort_handler (bnc#1012628).
- scsi: lpfc: Fix SLI3 hba in loop mode not discovering devices
(bnc#1012628).
- scsi: csiostor: Don't enable IRQs too early (bnc#1012628).
- scsi: hisi_sas: Replace in_softirq() check in
hisi_sas_task_exec() (bnc#1012628).
- scsi: hisi_sas: Delete the debugfs folder of hisi_sas when
the probe fails (bnc#1012628).
- powerpc/pseries: Mark accumulate_stolen_time() as notrace
(bnc#1012628).
- powerpc/pseries: Don't fail hash page table insert for bolted
mapping (bnc#1012628).
- Input: st1232 - do not reset the chip too early (bnc#1012628).
- selftests/powerpc: Fixup clobbers for TM tests (bnc#1012628).
- powerpc/tools: Don't quote $objdump in scripts (bnc#1012628).
- dma-debug: add a schedule point in debug_dma_dump_mappings()
(bnc#1012628).
- dma-mapping: Add vmap checks to dma_map_single() (bnc#1012628).
- dma-mapping: fix handling of dma-ranges for reserved memory
(again) (bnc#1012628).
- dmaengine: fsl-qdma: Handle invalid qdma-queue0 IRQ
(bnc#1012628).
- leds: lm3692x: Handle failure to probe the regulator
(bnc#1012628).
- leds: an30259a: add a check for devm_regmap_init_i2c
(bnc#1012628).
- leds: trigger: netdev: fix handling on interface rename
(bnc#1012628).
- clocksource/drivers/asm9260: Add a check for of_clk_get
(bnc#1012628).
- clocksource/drivers/timer-of: Use unique device name instead
of timer (bnc#1012628).
- dtc: Use pkg-config to locate libyaml (bnc#1012628).
- selftests/powerpc: Skip tm-signal-sigreturn-nt if TM not
available (bnc#1012628).
- powerpc/security/book3s64: Report L1TF status in sysfs
(bnc#1012628).
- powerpc/book3s64/hash: Add cond_resched to avoid soft lockup
warning (bnc#1012628).
- ext4: update direct I/O read lock pattern for IOCB_NOWAIT
(bnc#1012628).
- ext4: iomap that extends beyond EOF should be marked dirty
(bnc#1012628).
- jbd2: Fix statistics for the number of logged blocks
(bnc#1012628).
- scsi: tracing: Fix handling of TRANSFER LENGTH == 0 for READ(6)
and WRITE(6) (bnc#1012628).
- scsi: lpfc: Fix unexpected error messages during RSCN handling
(bnc#1012628).
- scsi: lpfc: Fix duplicate unreg_rpi error in port offline flow
(bnc#1012628).
- f2fs: fix to update dir's i_pino during cross_rename
(bnc#1012628).
- clk: qcom: smd: Add missing pnoc clock (bnc#1012628).
- clk: qcom: Allow constant ratio freq tables for rcg
(bnc#1012628).
- clk: clk-gpio: propagate rate change to parent (bnc#1012628).
- irqchip/irq-bcm7038-l1: Enable parent IRQ if necessary
(bnc#1012628).
- irqchip: ingenic: Error out if IRQ domain creation failed
(bnc#1012628).
- fs/quota: handle overflows of sysctl fs.quota.* and report as
unsigned long (bnc#1012628).
- iommu/arm-smmu-v3: Don't display an error when IRQ lines are
missing (bnc#1012628).
- i2c: stm32f7: fix & reorder remove & probe error handling
(bnc#1012628).
- iomap: fix return value of iomap_dio_bio_actor on 32bit systems
(bnc#1012628).
- Input: ili210x - handle errors from input_mt_init_slots()
(bnc#1012628).
- scsi: lpfc: fix: Coverity: lpfc_cmpl_els_rsp(): Null pointer
dereferences (bnc#1012628).
- scsi: zorro_esp: Limit DMA transfers to 65536 bytes (except
on Fastlane) (bnc#1012628).
- PCI: rpaphp: Fix up pointer to first drc-info entry
(bnc#1012628).
- scsi: ufs: fix potential bug which ends in system hang
(bnc#1012628).
- powerpc/pseries/cmm: Implement release() function for sysfs
device (bnc#1012628).
- PCI: rpaphp: Don't rely on firmware feature to imply drc-info
support (bnc#1012628).
- PCI: rpaphp: Annotate and correctly byte swap DRC properties
(bnc#1012628).
- PCI: rpaphp: Correctly match ibm, my-drc-index to drc-name
when using drc-info (bnc#1012628).
- powerpc/security: Fix wrong message when RFI Flush is disable
(bnc#1012628).
- powerpc/eeh: differentiate duplicate detection message
(bnc#1012628).
- powerpc/book3s/mm: Update Oops message to print the correct
translation in use (bnc#1012628).
- scsi: atari_scsi: sun3_scsi: Set sg_tablesize to 1 instead of
SG_NONE (bnc#1012628).
- clk: pxa: fix one of the pxa RTC clocks (bnc#1012628).
- bcache: at least try to shrink 1 node in bch_mca_scan()
(bnc#1012628).
- HID: quirks: Add quirk for HP MSU1465 PIXART OEM mouse
(bnc#1012628).
- dt-bindings: Improve validation build error handling
(bnc#1012628).
- HID: logitech-hidpp: Silence intermittent get_battery_capacity
errors (bnc#1012628).
- HID: i2c-hid: fix no irq after reset on raydium 3118
(bnc#1012628).
- ARM: 8937/1: spectre-v2: remove Brahma-B53 from hardening
(bnc#1012628).
- libnvdimm/btt: fix variable 'rc' set but not used (bnc#1012628).
- HID: Improve Windows Precision Touchpad detection (bnc#1012628).
- HID: rmi: Check that the RMI_STARTED bit is set before
unregistering the RMI transport device (bnc#1012628).
- watchdog: imx7ulp: Fix reboot hang (bnc#1012628).
- watchdog: prevent deferral of watchdogd wakeup on RT
(bnc#1012628).
- watchdog: Fix the race between the release of watchdog_core_data
and cdev (bnc#1012628).
- powerpc/fixmap: Use __fix_to_virt() instead of fix_to_virt()
(bnc#1012628).
- scsi: pm80xx: Fix for SATA device discovery (bnc#1012628).
- scsi: ufs: Fix error handing during hibern8 enter (bnc#1012628).
- scsi: scsi_debug: num_tgts must be >= 0 (bnc#1012628).
- scsi: NCR5380: Add disconnect_mask module parameter
(bnc#1012628).
- scsi: target: core: Release SPC-2 reservations when closing
a session (bnc#1012628).
- scsi: ufs: Fix up auto hibern8 enablement (bnc#1012628).
- scsi: iscsi: Don't send data to unbound connection
(bnc#1012628).
- scsi: target: iscsi: Wait for all commands to finish before
freeing a session (bnc#1012628).
- f2fs: Fix deadlock in f2fs_gc() context during atomic files
handling (bnc#1012628).
- habanalabs: skip VA block list update in reset flow
(bnc#1012628).
- gpio/mpc8xxx: fix qoriq GPIO reading (bnc#1012628).
- platform/x86: intel_pmc_core: Fix the SoC naming inconsistency
(bnc#1012628).
- platform/x86: intel_pmc_core: Add Comet Lake (CML) platform
support to intel_pmc_core driver (bnc#1012628).
- gpio: mpc8xxx: Don't overwrite default irq_set_type callback
(bnc#1012628).
- gpio: lynxpoint: Setup correct IRQ handlers (bnc#1012628).
- tools/power/x86/intel-speed-select: Ignore missing config level
(bnc#1012628).
- Drivers: hv: vmbus: Fix crash handler reset of Hyper-V synic
(bnc#1012628).
- apparmor: fix unsigned len comparison with less than zero
(bnc#1012628).
- drm/amdgpu: Call find_vma under mmap_sem (bnc#1012628).
- scripts/kallsyms: fix definitely-lost memory leak (bnc#1012628).
- powerpc: Don't add -mabi= flags when building with Clang
(bnc#1012628).
- cifs: Fix use-after-free bug in cifs_reconnect() (bnc#1012628).
- um: virtio: Keep reading on -EAGAIN (bnc#1012628).
- io_uring: io_allocate_scq_urings() should return a sane state
(bnc#1012628).
- of: unittest: fix memory leak in attach_node_and_children
(bnc#1012628).
- cdrom: respect device capabilities during opening action
(bnc#1012628).
- cifs: move cifsFileInfo_put logic into a work-queue
(bnc#1012628).
- perf diff: Use llabs() with 64-bit values (bnc#1012628).
- perf script: Fix brstackinsn for AUXTRACE (bnc#1012628).
- perf regs: Make perf_reg_name() return "unknown" instead of NULL
(bnc#1012628).
- s390/zcrypt: handle new reply code FILTERED_BY_HYPERVISOR
(bnc#1012628).
- mailbox: imx: Clear the right interrupts at shutdown
(bnc#1012628).
- libfdt: define INT32_MAX and UINT32_MAX in libfdt_env.h
(bnc#1012628).
- s390/unwind: filter out unreliable bogus %r14 (bnc#1012628).
- s390/cpum_sf: Check for SDBT and SDB consistency (bnc#1012628).
- ocfs2: fix passing zero to 'PTR_ERR' warning (bnc#1012628).
- mailbox: imx: Fix Tx doorbell shutdown path (bnc#1012628).
- s390: disable preemption when switching to nodat stack with
CALL_ON_STACK (bnc#1012628).
- selftests: vm: add fragment CONFIG_TEST_VMALLOC (bnc#1012628).
- mm/hugetlbfs: fix error handling when setting up mounts
(bnc#1012628).
- kernel: sysctl: make drop_caches write-only (bnc#1012628).
- userfaultfd: require CAP_SYS_PTRACE for UFFD_FEATURE_EVENT_FORK
(bnc#1012628).
- Revert "powerpc/vcpu: Assume dedicated processors as
non-preempt" (bnc#1012628).
- sctp: fix err handling of stream initialization (bnc#1012628).
- Revert "iwlwifi: assign directly to iwl_trans->cfg in QuZ
detection" (bnc#1012628).
- netfilter: ebtables: compat: reject all padding in
matches/watchers (bnc#1012628).
- 6pack,mkiss: fix possible deadlock (bnc#1012628).
- powerpc: Fix __clear_user() with KUAP enabled (bnc#1012628).
- net/smc: add fallback check to connect() (bnc#1012628).
- netfilter: bridge: make sure to pull arp header in
br_nf_forward_arp() (bnc#1012628).
- inetpeer: fix data-race in inet_putpeer / inet_putpeer
(bnc#1012628).
- net: add a READ_ONCE() in skb_peek_tail() (bnc#1012628).
- net: icmp: fix data-race in cmp_global_allow() (bnc#1012628).
- hrtimer: Annotate lockless access to timer->state (bnc#1012628).
- tomoyo: Don't use nifty names on sockets (bnc#1012628).
- uaccess: disallow > INT_MAX copy sizes (bnc#1012628).
- drm: limit to INT_MAX in create_blob ioctl (bnc#1012628).
- xfs: fix mount failure crash on invalid iclog memory access
(bnc#1012628).
- cxgb4/cxgb4vf: fix flow control display for auto negotiation
(bnc#1012628).
- net: dsa: bcm_sf2: Fix IP fragment location and behavior
(bnc#1012628).
- net/mlxfw: Fix out-of-memory error in mfa2 flash burning
(bnc#1012628).
- net: phy: aquantia: add suspend / resume ops for AQR105
(bnc#1012628).
- net/sched: act_mirred: Pull mac prior redir to non
mac_header_xmit device (bnc#1012628).
- net/sched: add delete_empty() to filters and use it in
cls_flower (bnc#1012628).
- net_sched: sch_fq: properly set sk->sk_pacing_status
(bnc#1012628).
- net: stmmac: dwmac-meson8b: Fix the RGMII TX delay on
Meson8b/8m2 SoCs (bnc#1012628).
- ptp: fix the race between the release of ptp_clock and cdev
(bnc#1012628).
- tcp: Fix highest_sack and highest_sack_seq (bnc#1012628).
- udp: fix integer overflow while computing available space in
sk_rcvbuf (bnc#1012628).
- bnxt_en: Fix MSIX request logic for RDMA driver (bnc#1012628).
- bnxt_en: Free context memory in the open path if firmware has
been reset (bnc#1012628).
- bnxt_en: Return error if FW returns more data than dump length
(bnc#1012628).
- bnxt_en: Fix bp->fw_health allocation and free logic
(bnc#1012628).
- bnxt_en: Remove unnecessary NULL checks for fw_health
(bnc#1012628).
- bnxt_en: Fix the logic that creates the health reporters
(bnc#1012628).
- bnxt_en: Add missing devlink health reporters for VFs
(bnc#1012628).
- mlxsw: spectrum_router: Skip loopback RIFs during MAC validation
(bnc#1012628).
- mlxsw: spectrum: Use dedicated policer for VRRP packets
(bnc#1012628).
- net: add bool confirm_neigh parameter for dst_ops.update_pmtu
(bnc#1012628).
- ip6_gre: do not confirm neighbor when do pmtu update
(bnc#1012628).
- gtp: do not confirm neighbor when do pmtu update (bnc#1012628).
- net/dst: add new function skb_dst_update_pmtu_no_confirm
(bnc#1012628).
- tunnel: do not confirm neighbor when do pmtu update
(bnc#1012628).
- vti: do not confirm neighbor when do pmtu update (bnc#1012628).
- sit: do not confirm neighbor when do pmtu update (bnc#1012628).
- net/dst: do not confirm neighbor for vxlan and geneve pmtu
update (bnc#1012628).
- net: dsa: sja1105: Reconcile the meaning of TPID and TPID2
for E/T and P/Q/R/S (bnc#1012628).
- net: marvell: mvpp2: phylink requires the link interrupt
(bnc#1012628).
- gtp: fix wrong condition in gtp_genl_dump_pdp() (bnc#1012628).
- gtp: avoid zero size hashtable (bnc#1012628).
- bonding: fix active-backup transition after link failure
(bnc#1012628).
- tcp: do not send empty skb from tcp_write_xmit() (bnc#1012628).
- tcp/dccp: fix possible race __inet_lookup_established()
(bnc#1012628).
- hv_netvsc: Fix tx_table init in rndis_set_subchannel()
(bnc#1012628).
- gtp: fix an use-after-free in ipv4_pdp_find() (bnc#1012628).
- gtp: do not allow adding duplicate tid and ms_addr pdp context
(bnc#1012628).
- bnxt: apply computed clamp value for coalece parameter
(bnc#1012628).
- ipv6/addrconf: only check invalid header values when
NETLINK_F_STRICT_CHK is set (bnc#1012628).
- net: phylink: fix interface passed to mac_link_up (bnc#1012628).
- net: ena: fix napi handler misbehavior when the napi budget
is zero (bnc#1012628).
- vhost/vsock: accept only packets with the right dst_cid
(bnc#1012628).
- mmc: sdhci-of-esdhc: fix up erratum A-008171 workaround
(bnc#1012628).
- mmc: sdhci-of-esdhc: re-implement erratum A-009204 workaround
(bnc#1012628).
- mm/hugetlbfs: fix for_each_hstate() loop in init_hugetlbfs_fs()
(bnc#1012628).
- commit 582f5cb
-------------------------------------------------------------------
Fri Jan 3 11:47:59 CET 2020 - jslaby@suse.cz
- Update config files.
Turn off CONFIG_HARDENED_USERCOPY as it causes issues on s390
(bnc#1156053). Until this gets resolved upstream...
- commit 76565ad
-------------------------------------------------------------------
Fri Jan 3 11:19:49 CET 2020 - jslaby@suse.cz
- Update
patches.kernel.org/5.4.3-088-vcs-prevent-write-access-to-vcsu-devices.patch
(bnc#1012628 CVE-2019-19252 bnc#1157813).
- commit d0d7407
-------------------------------------------------------------------
Wed Jan 1 08:55:50 CET 2020 - jslaby@suse.cz
- blacklist.conf: add one
- commit 34ebd00
-------------------------------------------------------------------
Wed Jan 1 08:54:31 CET 2020 - jslaby@suse.cz
- md: make sure desc_nr less than MD_SB_DISKS (git-fixes).
- commit 7b09cf8
-------------------------------------------------------------------
Tue Dec 31 18:51:38 CET 2019 - jslaby@suse.cz
- Linux 5.4.7 (bnc#1012628).
- af_packet: set defaule value for tmo (bnc#1012628).
- fjes: fix missed check in fjes_acpi_add (bnc#1012628).
- mod_devicetable: fix PHY module format (bnc#1012628).
- net: dst: Force 4-byte alignment of dst_metrics (bnc#1012628).
- net: gemini: Fix memory leak in gmac_setup_txqs (bnc#1012628).
- net: hisilicon: Fix a BUG trigered by wrong bytes_compl
(bnc#1012628).
- net: nfc: nci: fix a possible sleep-in-atomic-context bug in
nci_uart_tty_receive() (bnc#1012628).
- net: phy: ensure that phy IDs are correctly typed (bnc#1012628).
- net: qlogic: Fix error paths in ql_alloc_large_buffers()
(bnc#1012628).
- net-sysfs: Call dev_hold always in rx_queue_add_kobject
(bnc#1012628).
- net: usb: lan78xx: Fix suspend/resume PHY register access error
(bnc#1012628).
- nfp: flower: fix stats id allocation (bnc#1012628).
- qede: Disable hardware gro when xdp prog is installed
(bnc#1012628).
- qede: Fix multicast mac configuration (bnc#1012628).
- sctp: fix memleak on err handling of stream initialization
(bnc#1012628).
- sctp: fully initialize v4 addr in some functions (bnc#1012628).
- selftests: forwarding: Delete IPv6 address at the end
(bnc#1012628).
- neighbour: remove neigh_cleanup() method (bnc#1012628).
- bonding: fix bond_neigh_init() (bnc#1012628).
- net: ena: fix default tx interrupt moderation interval
(bnc#1012628).
- net: ena: fix issues in setting interrupt moderation params
in ethtool (bnc#1012628).
- dpaa2-ptp: fix double free of the ptp_qoriq IRQ (bnc#1012628).
- mlxsw: spectrum_router: Remove unlikely user-triggerable warning
(bnc#1012628).
- net: ethernet: ti: davinci_cpdma: fix warning "device driver
frees DMA memory with different size" (bnc#1012628).
- net: stmmac: platform: Fix MDIO init for platforms without PHY
(bnc#1012628).
- net: dsa: b53: Fix egress flooding settings (bnc#1012628).
- NFC: nxp-nci: Fix probing without ACPI (bnc#1012628).
- btrfs: don't double lock the subvol_sem for rename exchange
(bnc#1012628).
- btrfs: do not call synchronize_srcu() in inode_tree_del
(bnc#1012628).
- Btrfs: make tree checker detect checksum items with overlapping
ranges (bnc#1012628).
- btrfs: return error pointer from alloc_test_extent_buffer
(bnc#1012628).
- Btrfs: fix missing data checksums after replaying a log tree
(bnc#1012628).
- btrfs: send: remove WARN_ON for readonly mount (bnc#1012628).
- btrfs: abort transaction after failed inode updates in
create_subvol (bnc#1012628).
- btrfs: skip log replay on orphaned roots (bnc#1012628).
- btrfs: do not leak reloc root if we fail to read the fs root
(bnc#1012628).
- btrfs: handle ENOENT in btrfs_uuid_tree_iterate (bnc#1012628).
- Btrfs: fix removal logic of the tree mod log that leads to
use-after-free issues (bnc#1012628).
- ALSA: pcm: Avoid possible info leaks from PCM stream buffers
(bnc#1012628).
- ALSA: hda/ca0132 - Keep power on during processing DSP response
(bnc#1012628).
- ALSA: hda/ca0132 - Avoid endless loop (bnc#1012628).
- ALSA: hda/ca0132 - Fix work handling in delayed HP detection
(bnc#1012628).
- drm/vc4/vc4_hdmi: fill in connector info (bnc#1012628).
- drm/virtio: switch virtio_gpu_wait_ioctl() to gem helper
(bnc#1012628).
- drm: mst: Fix query_payload ack reply struct (bnc#1012628).
- drm/mipi-dbi: fix a loop in debugfs code (bnc#1012628).
- drm/panel: Add missing drm_panel_init() in panel drivers
(bnc#1012628).
- drm: exynos: exynos_hdmi: use cec_notifier_conn_(un)register
(bnc#1012628).
- drm: Use EOPNOTSUPP, not ENOTSUPP (bnc#1012628).
- drm/amd/display: verify stream link before link test
(bnc#1012628).
- drm/bridge: analogix-anx78xx: silence -EPROBE_DEFER warnings
(bnc#1012628).
- drm/amd/display: OTC underflow fix (bnc#1012628).
- iio: max31856: add missing of_node and parent references to
iio_dev (bnc#1012628).
- iio: light: bh1750: Resolve compiler warning and make code
more readable (bnc#1012628).
- drm/amdgpu/sriov: add ring_stop before ring_create in psp v11
code (bnc#1012628).
- drm/amdgpu: grab the id mgr lock while accessing passid_mapping
(bnc#1012628).
- drm/ttm: return -EBUSY on pipelining with no_gpu_wait (v2)
(bnc#1012628).
- drm/amd/display: Rebuild mapped resources after pipe split
(bnc#1012628).
- ath10k: add cleanup in ath10k_sta_state() (bnc#1012628).
- drm/amd/display: Handle virtual signal type in disable_link()
(bnc#1012628).
- ath10k: Check if station exists before forwarding tx airtime
report (bnc#1012628).
- spi: Add call to spi_slave_abort() function when spidev driver
is released (bnc#1012628).
- drm/meson: vclk: use the correct G12A frac max value
(bnc#1012628).
- staging: rtl8192u: fix multiple memory leaks on error path
(bnc#1012628).
- staging: rtl8188eu: fix possible null dereference (bnc#1012628).
- rtlwifi: prevent memory leak in rtl_usb_probe (bnc#1012628).
- Revert "pinctrl: sh-pfc: r8a77990: Fix MOD_SEL1 bit30 when
using SSI_SCK2 and SSI_WS2" (bnc#1012628).
- Revert "pinctrl: sh-pfc: r8a77990: Fix MOD_SEL1 bit31 when
using SIM0_D" (bnc#1012628).
- ath10k: fix backtrace on coredump (bnc#1012628).
- IB/iser: bound protection_sg size by data_sg size (bnc#1012628).
- drm/komeda: Workaround for broken FLIP_COMPLETE timestamps
(bnc#1012628).
- spi: gpio: prevent memory leak in spi_gpio_probe (bnc#1012628).
- media: am437x-vpfe: Setting STD to current value is not an error
(bnc#1012628).
- media: cedrus: fill in bus_info for media device (bnc#1012628).
- media: seco-cec: Add a missing 'release_region()' in an error
handling path (bnc#1012628).
- media: vim2m: Fix abort issue (bnc#1012628).
- media: vim2m: Fix BUG_ON in vim2m_device_release()
(bnc#1012628).
- media: max2175: Fix build error without CONFIG_REGMAP_I2C
(bnc#1012628).
- media: ov6650: Fix control handler not freed on init error
(bnc#1012628).
- media: i2c: ov2659: fix s_stream return value (bnc#1012628).
- media: ov6650: Fix crop rectangle alignment not passed back
(bnc#1012628).
- media: i2c: ov2659: Fix missing 720p register config
(bnc#1012628).
- media: ov6650: Fix stored frame format not in sync with hardware
(bnc#1012628).
- media: ov6650: Fix stored crop rectangle not in sync with
hardware (bnc#1012628).
- tools/power/cpupower: Fix initializer override in
hsw_ext_cstates (bnc#1012628).
- media: venus: core: Fix msm8996 frequency table (bnc#1012628).
- ath10k: fix offchannel tx failure when no
ath10k_mac_tx_frm_has_freq (bnc#1012628).
- media: vimc: Fix gpf in rmmod path when stream is active
(bnc#1012628).
- drm/amd/display: Set number of pipes to 1 if the second pipe
was disabled (bnc#1012628).
- pinctrl: devicetree: Avoid taking direct reference to device
name string (bnc#1012628).
- drm/sun4i: dsi: Fix TCON DRQ set bits (bnc#1012628).
- drm/amdkfd: fix a potential NULL pointer dereference (v2)
(bnc#1012628).
- x86/math-emu: Check __copy_from_user() result (bnc#1012628).
- drm/amd/powerplay: A workaround to GPU RESET on APU
(bnc#1012628).
- selftests/bpf: Correct path to include msg + path (bnc#1012628).
- drm/amd/display: set minimum abm backlight level (bnc#1012628).
- media: venus: Fix occasionally failures to suspend
(bnc#1012628).
- rtw88: fix NSS of hw_cap (bnc#1012628).
- drm/amd/display: fix struct init in update_bounding_box
(bnc#1012628).
- usb: renesas_usbhs: add suspend event support in gadget mode
(bnc#1012628).
- crypto: aegis128-neon - use Clang compatible cflags for ARM
(bnc#1012628).
- hwrng: omap3-rom - Call clk_disable_unprepare() on exit only
if not idled (bnc#1012628).
- regulator: max8907: Fix the usage of uninitialized variable
in max8907_regulator_probe() (bnc#1012628).
- tools/memory-model: Fix data race detection for unordered
store and load (bnc#1012628).
- media: flexcop-usb: fix NULL-ptr deref in
flexcop_usb_transfer_init() (bnc#1012628).
- media: cec-funcs.h: add status_req checks (bnc#1012628).
- media: meson/ao-cec: move cec_notifier_cec_adap_register after
hw setup (bnc#1012628).
- drm/bridge: dw-hdmi: Refuse DDC/CI transfers on the internal
I2C controller (bnc#1012628).
- samples: pktgen: fix proc_cmd command result check logic
(bnc#1012628).
- block: Fix writeback throttling W=1 compiler warnings
(bnc#1012628).
- drm/amdkfd: Fix MQD size calculation (bnc#1012628).
- MIPS: futex: Emit Loongson3 sync workarounds within asm
(bnc#1012628).
- mwifiex: pcie: Fix memory leak in mwifiex_pcie_init_evt_ring
(bnc#1012628).
- drm/drm_vblank: Change EINVAL by the correct errno
(bnc#1012628).
- selftests/bpf: Fix btf_dump padding test case (bnc#1012628).
- libbpf: Fix struct end padding in btf_dump (bnc#1012628).
- libbpf: Fix passing uninitialized bytes to setsockopt
(bnc#1012628).
- net/smc: increase device refcount for added link group
(bnc#1012628).
- team: call RCU read lock when walking the port_list
(bnc#1012628).
- media: cx88: Fix some error handling path in 'cx8800_initdev()'
(bnc#1012628).
- crypto: inside-secure - Fix a maybe-uninitialized warning
(bnc#1012628).
- crypto: aegis128/simd - build 32-bit ARM for v8 architecture
explicitly (bnc#1012628).
- misc: fastrpc: fix memory leak from miscdev->name (bnc#1012628).
- ASoC: SOF: enable sync_write in hdac_bus (bnc#1012628).
- media: ti-vpe: vpe: Fix Motion Vector vpdma stride
(bnc#1012628).
- media: ti-vpe: vpe: fix a v4l2-compliance warning about invalid
pixel format (bnc#1012628).
- media: ti-vpe: vpe: fix a v4l2-compliance failure about frame
sequence number (bnc#1012628).
- media: ti-vpe: vpe: Make sure YUYV is set as default format
(bnc#1012628).
- media: ti-vpe: vpe: fix a v4l2-compliance failure causing a
kernel panic (bnc#1012628).
- media: ti-vpe: vpe: ensure buffers are cleaned up properly in
abort cases (bnc#1012628).
- drm/amd/display: Properly round nominal frequency for SPD
(bnc#1012628).
- drm/amd/display: wait for set pipe mcp command completion
(bnc#1012628).
- media: ti-vpe: vpe: fix a v4l2-compliance failure about invalid
sizeimage (bnc#1012628).
- drm/amd/display: add new active dongle to existent w/a
(bnc#1012628).
- syscalls/x86: Use the correct function type in SYSCALL_DEFINE0
(bnc#1012628).
- drm/amd/display: Fix dongle_caps containing stale information
(bnc#1012628).
- extcon: sm5502: Reset registers during initialization
(bnc#1012628).
- drm/amd/display: Program DWB watermarks from correct state
(bnc#1012628).
- x86/mm: Use the correct function type for native_set_fixmap()
(bnc#1012628).
- ath10k: Correct error handling of dma_map_single()
(bnc#1012628).
- rtw88: coex: Set 4 slot mode for A2DP (bnc#1012628).
- drm/bridge: dw-hdmi: Restore audio when setting a mode
(bnc#1012628).
- perf test: Report failure for mmap events (bnc#1012628).
- perf report: Add warning when libunwind not compiled in
(bnc#1012628).
- perf test: Avoid infinite loop for task exit case (bnc#1012628).
- perf vendor events arm64: Fix Hisi hip08 DDRC PMU eventname
(bnc#1012628).
- usb: usbfs: Suppress problematic bind and unbind uevents
(bnc#1012628).
- drm/amd/powerplay: avoid disabling ECC if RAS is enabled for
VEGA20 (bnc#1012628).
- iio: adc: max1027: Reset the device at probe time (bnc#1012628).
- Bluetooth: btusb: avoid unused function warning (bnc#1012628).
- Bluetooth: missed cpu_to_le16 conversion in hci_init4_req
(bnc#1012628).
- Bluetooth: Workaround directed advertising bug in Broadcom
controllers (bnc#1012628).
- Bluetooth: hci_core: fix init for HCI_USER_CHANNEL
(bnc#1012628).
- bpf/stackmap: Fix deadlock with rq_lock in bpf_get_stack()
(bnc#1012628).
- x86/mce: Lower throttling MCE messages' priority to warning
(bnc#1012628).
- drm/amd/display: enable hostvm based on roimmu active for dcn2.1
(bnc#1012628).
- drm/amd/display: fix header for RN clk mgr (bnc#1012628).
- drm/amdgpu: fix amdgpu trace event print string format error
(bnc#1012628).
- staging: iio: ad9834: add a check for devm_clk_get
(bnc#1012628).
- power: supply: cpcap-battery: Check voltage before
orderly_poweroff (bnc#1012628).
- perf tests: Disable bp_signal testing for arm64 (bnc#1012628).
- selftests/bpf: Make a copy of subtest name (bnc#1012628).
- net: hns3: log and clear hardware error after reset complete
(bnc#1012628).
- RDMA/hns: Fix wrong parameters when initial mtt of srq->idx_que
(bnc#1012628).
- drm/gma500: fix memory disclosures due to uninitialized bytes
(bnc#1012628).
- ASoC: soc-pcm: fixup dpcm_prune_paths() loop continue
(bnc#1012628).
- rtl8xxxu: fix RTL8723BU connection failure issue after warm
reboot (bnc#1012628).
- RDMA/siw: Fix SQ/RQ drain logic (bnc#1012628).
- ipmi: Don't allow device module unload when in use
(bnc#1012628).
- x86/ioapic: Prevent inconsistent state when moving an interrupt
(bnc#1012628).
- media: cedrus: Fix undefined shift with a SHIFT_AND_MASK_BITS
macro (bnc#1012628).
- media: aspeed: set hsync and vsync polarities to normal before
starting mode detection (bnc#1012628).
- drm/nouveau: Don't grab runtime PM refs for HPD IRQs
(bnc#1012628).
- media: ov6650: Fix stored frame interval not in sync with
hardware (bnc#1012628).
- media: ad5820: Define entity function (bnc#1012628).
- media: ov5640: Make 2592x1944 mode only available at 15 fps
(bnc#1012628).
- media: st-mipid02: add a check for devm_gpiod_get_optional
(bnc#1012628).
- media: imx7-mipi-csis: Add a check for devm_regulator_get
(bnc#1012628).
- media: aspeed: clear garbage interrupts (bnc#1012628).
- media: smiapp: Register sensor after enabling runtime PM on
the device (bnc#1012628).
- md: no longer compare spare disk superblock events in super_load
(bnc#1012628).
- staging: wilc1000: potential corruption in
wilc_parse_join_bss_param() (bnc#1012628).
- md/bitmap: avoid race window between md_bitmap_resize and
bitmap_file_clear_bit (bnc#1012628).
- drm: Don't free jobs in wait_event_interruptible()
(bnc#1012628).
- EDAC/amd64: Set grain per DIMM (bnc#1012628).
- arm64: psci: Reduce the waiting time for cpu_psci_cpu_kill()
(bnc#1012628).
- drm/amd/display: setting the DIG_MODE to the correct value
(bnc#1012628).
- i40e: initialize ITRN registers with correct values
(bnc#1012628).
- drm/amd/display: correctly populate dpp refclk in fpga
(bnc#1012628).
- i40e: Wrong 'Advertised FEC modes' after set FEC to AUTO
(bnc#1012628).
- net: phy: dp83867: enable robust auto-mdix (bnc#1012628).
- drm/tegra: sor: Use correct SOR index on Tegra210 (bnc#1012628).
- regulator: core: Release coupled_rdevs on
regulator_init_coupling() error (bnc#1012628).
- ubsan, x86: Annotate and allow
__ubsan_handle_shift_out_of_bounds() in uaccess regions
(bnc#1012628).
- spi: sprd: adi: Add missing lock protection when rebooting
(bnc#1012628).
- ACPI: button: Add DMI quirk for Medion Akoya E2215T
(bnc#1012628).
- RDMA/qedr: Fix memory leak in user qp and mr (bnc#1012628).
- RDMA/hns: Fix memory leak on 'context' on error return path
(bnc#1012628).
- RDMA/qedr: Fix srqs xarray initialization (bnc#1012628).
- RDMA/core: Set DMA parameters correctly (bnc#1012628).
- staging: wilc1000: check if device is initialzied before
changing vif (bnc#1012628).
- gpu: host1x: Allocate gather copy for host1x (bnc#1012628).
- net: dsa: LAN9303: select REGMAP when LAN9303 enable
(bnc#1012628).
- phy: renesas: phy-rcar-gen2: Fix the array off by one warning
(bnc#1012628).
- phy: qcom-usb-hs: Fix extcon double register after power cycle
(bnc#1012628).
- s390/time: ensure get_clock_monotonic() returns monotonic values
(bnc#1012628).
- s390: add error handling to perf_callchain_kernel (bnc#1012628).
- s390/mm: add mm_pxd_folded() checks to pxd_free() (bnc#1012628).
- net: hns3: add struct netdev_queue debug info for TX timeout
(bnc#1012628).
- libata: Ensure ata_port probe has completed before detach
(bnc#1012628).
- loop: fix no-unmap write-zeroes request behavior (bnc#1012628).
- net/mlx5e: Verify that rule has at least one fwd/drop action
(bnc#1012628).
- pinctrl: sh-pfc: sh7734: Fix duplicate TCLK1_B (bnc#1012628).
- ALSA: bebob: expand sleep just after breaking connections for
protocol version 1 (bnc#1012628).
- iio: dln2-adc: fix iio_triggered_buffer_postenable() position
(bnc#1012628).
- libbpf: Fix error handling in bpf_map__reuse_fd() (bnc#1012628).
- Bluetooth: Fix advertising duplicated flags (bnc#1012628).
- ALSA: pcm: Fix missing check of the new non-cached buffer type
(bnc#1012628).
- spi: sifive: disable clk when probe fails and remove
(bnc#1012628).
- ASoC: SOF: imx: fix reverse CONFIG_SND_SOC_SOF_OF dependency
(bnc#1012628).
- pinctrl: qcom: sc7180: Add missing tile info in
SDC_QDSD_PINGROUP/UFS_RESET (bnc#1012628).
- pinctrl: amd: fix __iomem annotation in amd_gpio_irq_handler()
(bnc#1012628).
- ixgbe: protect TX timestamping from API misuse (bnc#1012628).
- cpufreq: sun50i: Fix CPU speed bin detection (bnc#1012628).
- media: rcar_drif: fix a memory disclosure (bnc#1012628).
- media: v4l2-core: fix touch support in v4l_g_fmt (bnc#1012628).
- nvme: introduce "Command Aborted By host" status code
(bnc#1012628).
- media: staging/imx: Use a shorter name for driver (bnc#1012628).
- nvmem: imx-ocotp: reset error status on probe (bnc#1012628).
- nvmem: core: fix nvmem_cell_write inline function (bnc#1012628).
- ASoC: SOF: topology: set trigger order for FE DAI link
(bnc#1012628).
- media: vivid: media_device_cleanup was called too early
(bnc#1012628).
- spi: dw: Fix Designware SPI loopback (bnc#1012628).
- bnx2x: Fix PF-VF communication over multi-cos queues
(bnc#1012628).
- spi: img-spfi: fix potential double release (bnc#1012628).
- ALSA: timer: Limit max amount of slave instances (bnc#1012628).
- RDMA/core: Fix return code when modify_port isn't supported
(bnc#1012628).
- drm: msm: a6xx: fix debug bus register configuration
(bnc#1012628).
- rtlwifi: fix memory leak in rtl92c_set_fw_rsvdpagepkt()
(bnc#1012628).
- perf probe: Fix to find range-only function instance
(bnc#1012628).
- perf cs-etm: Fix definition of macro TO_CS_QUEUE_NR
(bnc#1012628).
- perf probe: Fix to list probe event with correct line number
(bnc#1012628).
- perf jevents: Fix resource leak in process_mapfile() and main()
(bnc#1012628).
- perf probe: Walk function lines in lexical blocks (bnc#1012628).
- perf probe: Fix to probe an inline function which has no entry
pc (bnc#1012628).
- perf probe: Fix to show ranges of variables in functions
without entry_pc (bnc#1012628).
- perf probe: Fix to show inlined function callsite without
entry_pc (bnc#1012628).
- libsubcmd: Use -O0 with DEBUG=1 (bnc#1012628).
- perf probe: Fix to probe a function which has no entry pc
(bnc#1012628).
- perf tools: Fix cross compile for ARM64 (bnc#1012628).
- perf tools: Splice events onto evlist even on error
(bnc#1012628).
- drm/amdgpu: disallow direct upload save restore list from gfx
driver (bnc#1012628).
- drm/amd/powerplay: fix struct init in renoir_print_clk_levels
(bnc#1012628).
- drm/amdgpu: fix potential double drop fence reference
(bnc#1012628).
- ice: Check for null pointer dereference when setting rings
(bnc#1012628).
- xen/gntdev: Use select for DMA_SHARED_BUFFER (bnc#1012628).
- perf parse: If pmu configuration fails free terms (bnc#1012628).
- perf probe: Skip overlapped location on searching variables
(bnc#1012628).
- net: avoid potential false sharing in neighbor related code
(bnc#1012628).
- perf probe: Return a better scope DIE if there is no best scope
(bnc#1012628).
- perf probe: Fix to show calling lines of inlined functions
(bnc#1012628).
- perf probe: Skip end-of-sequence and non statement lines
(bnc#1012628).
- perf probe: Filter out instances except for inlined subroutine
and subprogram (bnc#1012628).
- libbpf: Fix negative FD close() in xsk_setup_xdp_prog()
(bnc#1012628).
- s390/bpf: Use kvcalloc for addrs array (bnc#1012628).
- cgroup: freezer: don't change task and cgroups status
unnecessarily (bnc#1012628).
- selftests: proc: Make va_max 1MB (bnc#1012628).
- drm/amdgpu: Avoid accidental thread reactivation (bnc#1012628).
- media: exynos4-is: fix wrong mdev and v4l2 dev order in error
path (bnc#1012628).
- ath10k: fix get invalid tx rate for Mesh metric (bnc#1012628).
- fsi: core: Fix small accesses and unaligned offsets via sysfs
(bnc#1012628).
- selftests: net: Fix printf format warnings on arm (bnc#1012628).
- media: pvrusb2: Fix oops on tear-down when radio support is
not present (bnc#1012628).
- soundwire: intel: fix PDI/stream mapping for Bulk (bnc#1012628).
- crypto: atmel - Fix authenc support when it is set to m
(bnc#1012628).
- ice: delay less (bnc#1012628).
- media: si470x-i2c: add missed operations in remove
(bnc#1012628).
- media: cedrus: Use helpers to access capture queue
(bnc#1012628).
- media: v4l2-ctrl: Lock main_hdl on operations of requests_queued
(bnc#1012628).
- iio: cros_ec_baro: set info_mask_shared_by_all_available field
(bnc#1012628).
- EDAC/ghes: Fix grain calculation (bnc#1012628).
- media: vicodec: media_device_cleanup was called too early
(bnc#1012628).
- media: vim2m: media_device_cleanup was called too early
(bnc#1012628).
- spi: pxa2xx: Add missed security checks (bnc#1012628).
- ASoC: rt5677: Mark reg RT5677_PWR_ANLG2 as volatile
(bnc#1012628).
- iio: dac: ad5446: Add support for new AD5600 DAC (bnc#1012628).
- bpf, testing: Workaround a verifier failure for test_progs
(bnc#1012628).
- ASoC: Intel: kbl_rt5663_rt5514_max98927: Add dmic format
constraint (bnc#1012628).
- net: dsa: sja1105: Disallow management xmit during switch reset
(bnc#1012628).
- r8169: respect EEE user setting when restarting network
(bnc#1012628).
- s390/disassembler: don't hide instruction addresses
(bnc#1012628).
- net: ethernet: ti: Add dependency for TI_DAVINCI_EMAC
(bnc#1012628).
- nvme: Discard workaround for non-conformant devices
(bnc#1012628).
- parport: load lowlevel driver if ports not found (bnc#1012628).
- bcache: fix static checker warning in bcache_device_free()
(bnc#1012628).
- cpufreq: Register drivers only after CPU devices have been
registered (bnc#1012628).
- qtnfmac: fix debugfs support for multiple cards (bnc#1012628).
- qtnfmac: fix invalid channel information output (bnc#1012628).
- x86/crash: Add a forward declaration of struct kimage
(bnc#1012628).
- qtnfmac: fix using skb after free (bnc#1012628).
- RDMA/efa: Clear the admin command buffer prior to its submission
(bnc#1012628).
- tracing: use kvcalloc for tgid_map array allocation
(bnc#1012628).
- MIPS: ralink: enable PCI support only if driver for mt7621
SoC is selected (bnc#1012628).
- tracing/kprobe: Check whether the non-suffixed symbol is notrace
(bnc#1012628).
- bcache: fix deadlock in bcache_allocator (bnc#1012628).
- iwlwifi: mvm: fix unaligned read of rx_pkt_status (bnc#1012628).
- ASoC: wm8904: fix regcache handling (bnc#1012628).
- regulator: core: Let boot-on regulators be powered off
(bnc#1012628).
- spi: tegra20-slink: add missed clk_unprepare (bnc#1012628).
- tun: fix data-race in gro_normal_list() (bnc#1012628).
- xhci-pci: Allow host runtime PM as default also for Intel Ice
Lake xHCI (bnc#1012628).
- crypto: virtio - deal with unsupported input sizes
(bnc#1012628).
- mmc: tmio: Add MMC_CAP_ERASE to allow erase/discard/trim
requests (bnc#1012628).
- btrfs: don't prematurely free work in end_workqueue_fn()
(bnc#1012628).
- btrfs: don't prematurely free work in run_ordered_work()
(bnc#1012628).
- sched/uclamp: Fix overzealous type replacement (bnc#1012628).
- ASoC: wm2200: add missed operations in remove and probe failure
(bnc#1012628).
- spi: st-ssc4: add missed pm_runtime_disable (bnc#1012628).
- ASoC: wm5100: add missed pm_runtime_disable (bnc#1012628).
- perf/core: Fix the mlock accounting, again (bnc#1012628).
- selftests, bpf: Fix test_tc_tunnel hanging (bnc#1012628).
- selftests, bpf: Workaround an alu32 sub-register spilling issue
(bnc#1012628).
- bnxt_en: Return proper error code for non-existent NVM variable
(bnc#1012628).
- net: phy: avoid matching all-ones clause 45 PHY IDs
(bnc#1012628).
- firmware_loader: Fix labels with comma for builtin firmware
(bnc#1012628).
- ASoC: Intel: bytcr_rt5640: Update quirk for Acer Switch 10
SW5-012 2-in-1 (bnc#1012628).
- x86/insn: Add some Intel instructions to the opcode map
(bnc#1012628).
- net-af_xdp: Use correct number of channels from ethtool
(bnc#1012628).
- brcmfmac: remove monitor interface when detaching (bnc#1012628).
- perf session: Fix decompression of PERF_RECORD_COMPRESSED
records (bnc#1012628).
- perf probe: Fix to show function entry line as probe-able
(bnc#1012628).
- s390/crypto: Fix unsigned variable compared with zero
(bnc#1012628).
- s390/kasan: support memcpy_real with TRACE_IRQFLAGS
(bnc#1012628).
- bnxt_en: Improve RX buffer error handling (bnc#1012628).
- iwlwifi: check kasprintf() return value (bnc#1012628).
- fbtft: Make sure string is NULL terminated (bnc#1012628).
- ASoC: soc-pcm: check symmetry before hw_params (bnc#1012628).
- net: ethernet: ti: ale: clean ale tbl on init and intf restart
(bnc#1012628).
- mt76: fix possible out-of-bound access in
mt7615_fill_txs/mt7603_fill_txs (bnc#1012628).
- s390/cpumf: Adjust registration of s390 PMU device drivers
(bnc#1012628).
- crypto: sun4i-ss - Fix 64-bit size_t warnings (bnc#1012628).
- crypto: sun4i-ss - Fix 64-bit size_t warnings on sun4i-ss-hash.c
(bnc#1012628).
- mac80211: consider QoS Null frames for STA_NULLFUNC_ACKED
(bnc#1012628).
- crypto: vmx - Avoid weird build failures (bnc#1012628).
- libtraceevent: Fix memory leakage in copy_filter_type
(bnc#1012628).
- mips: fix build when "48 bits virtual memory" is enabled
(bnc#1012628).
- drm/amdgpu: fix bad DMA from INTERRUPT_CNTL2 (bnc#1012628).
- ice: Only disable VF state when freeing each VF resources
(bnc#1012628).
- ice: Fix setting coalesce to handle DCB configuration
(bnc#1012628).
- net: phy: initialise phydev speed and duplex sanely
(bnc#1012628).
- tools, bpf: Fix build for 'make -s tools/bpf O=<dir>'
(bnc#1012628).
- RDMA/bnxt_re: Fix missing le16_to_cpu (bnc#1012628).
- RDMA/bnxt_re: Fix stat push into dma buffer on gen p5 devices
(bnc#1012628).
- bpf: Provide better register bounds after jmp32 instructions
(bnc#1012628).
- RDMA/bnxt_re: Fix chip number validation Broadcom's Gen P5
series (bnc#1012628).
- ibmvnic: Fix completion structure initialization (bnc#1012628).
- net: wireless: intel: iwlwifi: fix GRO_NORMAL packet stalling
(bnc#1012628).
- MIPS: futex: Restore \n after sync instructions (bnc#1012628).
- btrfs: don't prematurely free work in
reada_start_machine_worker() (bnc#1012628).
- btrfs: don't prematurely free work in
scrub_missing_raid56_worker() (bnc#1012628).
- Revert "mmc: sdhci: Fix incorrect switch to HS mode"
(bnc#1012628).
- mmc: mediatek: fix CMD_TA to 2 for MT8173 HS200/HS400 mode
(bnc#1012628).
- tpm_tis: reserve chip for duration of tpm_tis_core_init
(bnc#1012628).
- tpm: fix invalid locking in NONBLOCKING mode (bnc#1012628).
- iommu: fix KASAN use-after-free in iommu_insert_resv_region
(bnc#1012628).
- iommu: set group default domain before creating direct mappings
(bnc#1012628).
- iommu/vt-d: Fix dmar pte read access not set error
(bnc#1012628).
- iommu/vt-d: Set ISA bridge reserved region as relaxable
(bnc#1012628).
- iommu/vt-d: Allocate reserved region for ISA with correct
permission (bnc#1012628).
- can: xilinx_can: Fix missing Rx can packets on CANFD2.0
(bnc#1012628).
- can: m_can: tcan4x5x: add required delay after reset
(bnc#1012628).
- can: j1939: j1939_sk_bind(): take priv after lock is held
(bnc#1012628).
- can: flexcan: fix possible deadlock and out-of-order reception
after wakeup (bnc#1012628).
- can: flexcan: poll MCR_LPM_ACK instead of GPR ACK for stop
mode acknowledgment (bnc#1012628).
- can: kvaser_usb: kvaser_usb_leaf: Fix some info-leaks to USB
devices (bnc#1012628).
- selftests: net: tls: remove recv_rcvbuf test (bnc#1012628).
- spi: dw: Correct handling of native chipselect (bnc#1012628).
- spi: cadence: Correct handling of native chipselect
(bnc#1012628).
- usb: xhci: Fix build warning seen with CONFIG_PM=n
(bnc#1012628).
- drm/amdgpu: fix uninitialized variable pasid_mapping_needed
(bnc#1012628).
- ath10k: Revert "ath10k: add cleanup in ath10k_sta_state()"
(bnc#1012628).
- RDMA/siw: Fix post_recv QP state locking (bnc#1012628).
- md: avoid invalid memory access for array sb->dev_roles
(bnc#1012628).
- s390/ftrace: fix endless recursion in function_graph tracer
(bnc#1012628).
- ARM: dts: Fix vcsi regulator to be always-on for droid4 to
prevent hangs (bnc#1012628).
- can: flexcan: add low power enter/exit acknowledgment helper
(bnc#1012628).
- usbip: Fix receive error in vhci-hcd when using scatter-gather
(bnc#1012628).
- usbip: Fix error path of vhci_recv_ret_submit() (bnc#1012628).
- spi: fsl: don't map irq during probe (bnc#1012628).
- spi: fsl: use platform_get_irq() instead of of_irq_to_resource()
(bnc#1012628).
- efi/memreserve: Register reservations as 'reserved' in
/proc/iomem (bnc#1012628).
- cpufreq: Avoid leaving stale IRQ work items during CPU offline
(bnc#1012628).
- KEYS: asymmetric: return ENOMEM if akcipher_request_alloc()
fails (bnc#1012628).
- mm: vmscan: protect shrinker idr replace with CONFIG_MEMCG
(bnc#1012628).
- USB: EHCI: Do not return -EPIPE when hub is disconnected
(bnc#1012628).
- intel_th: pci: Add Comet Lake PCH-V support (bnc#1012628).
- intel_th: pci: Add Elkhart Lake SOC support (bnc#1012628).
- intel_th: Fix freeing IRQs (bnc#1012628).
- intel_th: msu: Fix window switching without windows
(bnc#1012628).
- platform/x86: hp-wmi: Make buffer for HPWMI_FEATURE2_QUERY
128 bytes (bnc#1012628).
- staging: comedi: gsc_hpdi: check dma_alloc_coherent() return
value (bnc#1012628).
- tty/serial: atmel: fix out of range clock divider handling
(bnc#1012628).
- serial: sprd: Add clearing break interrupt operation
(bnc#1012628).
- pinctrl: baytrail: Really serialize all register accesses
(bnc#1012628).
- clk: imx: clk-imx7ulp: Add missing sentinel of ulp_div_table
(bnc#1012628).
- clk: imx: clk-composite-8m: add lock to gate/mux (bnc#1012628).
- clk: imx: pll14xx: fix clk_pll14xx_wait_lock (bnc#1012628).
- ext4: fix ext4_empty_dir() for directories with holes
(bnc#1012628).
- ext4: check for directory entries too close to block end
(bnc#1012628).
- ext4: unlock on error in ext4_expand_extra_isize()
(bnc#1012628).
- ext4: validate the debug_want_extra_isize mount option at
parse time (bnc#1012628).
- iocost: over-budget forced IOs should schedule async delay
(bnc#1012628).
- KVM: PPC: Book3S HV: Fix regression on big endian hosts
(bnc#1012628).
- kvm: x86: Host feature SSBD doesn't imply guest feature
SPEC_CTRL_SSBD (bnc#1012628).
- kvm: x86: Host feature SSBD doesn't imply guest feature AMD_SSBD
(bnc#1012628).
- KVM: arm/arm64: Properly handle faulting of device mappings
(bnc#1012628).
- KVM: arm64: Ensure 'params' is initialised when looking up
sys register (bnc#1012628).
- x86/intel: Disable HPET on Intel Coffee Lake H platforms
(bnc#1012628).
- x86/MCE/AMD: Do not use rdmsr_safe_on_cpu() in smca_configure()
(bnc#1012628).
- x86/MCE/AMD: Allow Reserved types to be overwritten in
smca_banks[] (bnc#1012628).
- x86/mce: Fix possibly incorrect severity calculation on AMD
(bnc#1012628).
- powerpc/vcpu: Assume dedicated processors as non-preempt
(bnc#1012628).
- powerpc/irq: fix stack overflow verification (bnc#1012628).
- ocxl: Fix concurrent AFU open and device removal (bnc#1012628).
- mmc: sdhci-msm: Correct the offset and value for DDR_CONFIG
register (bnc#1012628).
- mmc: sdhci-of-esdhc: Revert "mmc: sdhci-of-esdhc: add erratum
A-009204 support" (bnc#1012628).
- mmc: sdhci: Update the tuning failed messages to pr_debug level
(bnc#1012628).
- mmc: sdhci-of-esdhc: fix P2020 errata handling (bnc#1012628).
- mmc: sdhci: Workaround broken command queuing on Intel GLK
(bnc#1012628).
- mmc: sdhci: Add a quirk for broken command queuing
(bnc#1012628).
- nbd: fix shutdown and recv work deadlock v2 (bnc#1012628).
- iwlwifi: pcie: move power gating workaround earlier in the flow
(bnc#1012628).
- Update config files.
CONFIG_SND_SOC_SOF_IMX8_SUPPORT=y to keep CONFIG_SND_SOC_SOF_IMX8=m.
- commit e7419a3
-------------------------------------------------------------------
Mon Dec 30 07:30:53 CET 2019 - mkubecek@suse.cz
- Update to 5.5-rc4
- refresh
patches.suse/vfs-add-super_operations-get_inode_dev
- commit 7e31c5e
-------------------------------------------------------------------
Sun Dec 29 17:18:15 CET 2019 - mkubecek@suse.cz
- config: refresh
Refresh with a new gcc version.
- commit 2d3adcc
-------------------------------------------------------------------
Sat Dec 28 19:16:51 CET 2019 - mbrugger@suse.com
- arm64: Add Allwinner crypto modules to config
Enabel SUN4I and SUN8I based HW crypto blocks.
- commit b496619
-------------------------------------------------------------------
Mon Dec 23 07:25:16 CET 2019 - mkubecek@suse.cz
- Update to 5.5-rc3
- refresh configs
- commit acd0797
-------------------------------------------------------------------
Sat Dec 21 12:09:26 CET 2019 - jslaby@suse.cz
- Linux 5.4.6 (bnc#1012628).
- ALSA: hda: Fix regression by strip mask fix (bnc#1012628).
- drm/amdgpu: add invalidate semaphore limit for SRIOV and
picasso in gmc9 (bnc#1012628).
- drm/amdgpu: avoid using invalidate semaphore for picasso
(bnc#1012628).
- drm/i915/gvt: Fix cmd length check for MI_ATOMIC (bnc#1012628).
- drm/amdgpu/gfx10: re-init clear state buffer after gpu reset
(bnc#1012628).
- drm/amdgpu/gfx10: explicitly wait for cp idle after halt/unhalt
(bnc#1012628).
- drm/amdgpu: invalidate mmhub semaphore workaround in gmc9/gmc10
(bnc#1012628).
- drm/amdgpu: initialize vm_inv_eng0_sem for gfxhub and mmhub
(bnc#1012628).
- drm/amd/display: add default clocks if not able to fetch them
(bnc#1012628).
- drm/amd/display: re-enable wait in pipelock, but add timeout
(bnc#1012628).
- drm/dp_mst: Correct the bug in drm_dp_update_payload_part1()
(bnc#1012628).
- drm/radeon: fix r1xx/r2xx register checker for POT textures
(bnc#1012628).
- drm/i915/fbc: Disable fbc by default on all glk+ (bnc#1012628).
- drm/nouveau/kms/nv50-: Limit MST BPC to 8 (bnc#1012628).
- drm/nouveau/kms/nv50-: Store the bpc we're using in
nv50_head_atom (bnc#1012628).
- drm/nouveau/kms/nv50-: Call outp_atomic_check_view() before
handling PBN (bnc#1012628).
- scsi: qla2xxx: Fix incorrect SFUB length used for Secure Flash
Update MB Cmd (bnc#1012628).
- scsi: qla2xxx: Correctly retrieve and interpret active flash
region (bnc#1012628).
- scsi: qla2xxx: Change discovery state before PLOGI
(bnc#1012628).
- scsi: qla2xxx: Added support for MPI and PEP regions for ISP28XX
(bnc#1012628).
- scsi: qla2xxx: Initialize free_work before flushing it
(bnc#1012628).
- scsi: qla2xxx: Ignore NULL pointer in tcm_qla2xxx_free_mcmd
(bnc#1012628).
- scsi: iscsi: Fix a potential deadlock in the timeout handler
(bnc#1012628).
- scsi: ufs: Disable autohibern8 feature in Cadence UFS
(bnc#1012628).
- dm thin: Flush data device before committing metadata
(bnc#1012628).
- dm thin metadata: Add support for a pre-commit callback
(bnc#1012628).
- dm clone: Flush destination device before committing metadata
(bnc#1012628).
- dm clone metadata: Use a two phase commit (bnc#1012628).
- dm clone metadata: Track exact changes per transaction
(bnc#1012628).
- dm btree: increase rebalance threshold in __rebalance2()
(bnc#1012628).
- dm mpath: remove harmful bio-based optimization (bnc#1012628).
- drm: meson: venc: cvbs: fix CVBS mode matching (bnc#1012628).
- drm/mgag200: Flag all G200 SE A machines as broken wrt
<startadd> (bnc#1012628).
- drm/mgag200: Add workaround for HW that does not support
'startadd' (bnc#1012628).
- drm/mgag200: Store flags from PCI driver data in device
structure (bnc#1012628).
- drm/mgag200: Extract device type from flags (bnc#1012628).
- drm/panfrost: Fix a race in panfrost_gem_free_object()
(bnc#1012628).
- drm/panfrost: Fix a BO leak in panfrost_ioctl_mmap_bo()
(bnc#1012628).
- drm/panfrost: Fix a race in panfrost_ioctl_madvise()
(bnc#1012628).
- dma-buf: Fix memory leak in sync_file_merge() (bnc#1012628).
- vfio/pci: call irq_bypass_unregister_producer() before freeing
irq (bnc#1012628).
- ARM: tegra: Fix FLOW_CTLR_HALT register clobbering by
tegra_resume() (bnc#1012628).
- ARM: dts: s3c64xx: Fix init order of clock providers
(bnc#1012628).
- cifs: Fix retrieval of DFS referrals in cifs_mount()
(bnc#1012628).
- CIFS: Fix NULL pointer dereference in mid callback
(bnc#1012628).
- CIFS: Do not miss cancelled OPEN responses (bnc#1012628).
- CIFS: Close open handle after interrupted close (bnc#1012628).
- CIFS: Respect O_SYNC and O_DIRECT flags during reconnect
(bnc#1012628).
- cifs: Don't display RDMA transport on reconnect (bnc#1012628).
- cifs: smbd: Return -ECONNABORTED when trasnport is not in
connected state (bnc#1012628).
- cifs: smbd: Return -EINVAL when the number of iovs exceeds
SMBDIRECT_MAX_SGE (bnc#1012628).
- cifs: smbd: Add messages on RDMA session destroy and
reconnection (bnc#1012628).
- cifs: smbd: Only queue work for error recovery on memory
registration (bnc#1012628).
- cifs: smbd: Return -EAGAIN when transport is reconnecting
(bnc#1012628).
- rpmsg: glink: Free pending deferred work on remove
(bnc#1012628).
- rpmsg: glink: Don't send pending rx_done during remove
(bnc#1012628).
- rpmsg: glink: Fix rpmsg_register_device err handling
(bnc#1012628).
- rpmsg: glink: Put an extra reference during cleanup
(bnc#1012628).
- rpmsg: glink: Fix use after free in open_ack TIMEOUT case
(bnc#1012628).
- rpmsg: glink: Fix reuse intents memory leak issue (bnc#1012628).
- rpmsg: glink: Set tail pointer to 0 at end of FIFO
(bnc#1012628).
- xtensa: fix syscall_set_return_value (bnc#1012628).
- xtensa: fix TLB sanity checker (bnc#1012628).
- gfs2: fix glock reference problem in gfs2_trans_remove_revoke
(bnc#1012628).
- gfs2: Multi-block allocations in gfs2_page_mkwrite
(bnc#1012628).
- xtensa: use MEMBLOCK_ALLOC_ANYWHERE for KASAN shadow map
(bnc#1012628).
- block: fix "check bi_size overflow before merge" (bnc#1012628).
- PM / QoS: Redefine FREQ_QOS_MAX_DEFAULT_VALUE to S32_MAX
(bnc#1012628).
- PCI: Apply Cavium ACS quirk to ThunderX2 and ThunderX3
(bnc#1012628).
- PCI: rcar: Fix missing MACCTLR register setting in
initialization sequence (bnc#1012628).
- PCI: Do not use bus number zero from EA capability
(bnc#1012628).
- PCI/MSI: Fix incorrect MSI-X masking on resume (bnc#1012628).
- PCI: Fix Intel ACS quirk UPDCR register address (bnc#1012628).
- PCI: pciehp: Avoid returning prematurely from sysfs requests
(bnc#1012628).
- PCI/PM: Always return devices to D0 when thawing (bnc#1012628).
- PCI/switchtec: Read all 64 bits of part_event_bitmap
(bnc#1012628).
- mmc: core: Re-work HW reset for SDIO cards (bnc#1012628).
- mmc: core: Drop check for mmc_card_is_removable() in
mmc_rescan() (bnc#1012628).
- mmc: block: Add CMD13 polling for MMC IOCTLS with R1B response
(bnc#1012628).
- mmc: block: Make card_busy_detect() a bit more generic
(bnc#1012628).
- USB: Fix incorrect DMA allocations for local memory pool drivers
(bnc#1012628).
- commit e5f8301
-------------------------------------------------------------------
Thu Dec 19 18:55:07 CET 2019 - mkubecek@suse.cz
- rpm/kernel-subpackage-spec: fix kernel-default-base build
There were some issues with recent changes to subpackage dependencies handling:
- a typo in %kernel_base_conflicts macro name
- copy/pasted "Recommends:" instead of "Provides:", "Obsoletes:" and
"Conflicts:
- missing escaping of backslashes in macro expansions
Fixes: f3b74b0ae86b ("rpm/kernel-subpackage-spec: Unify dependency handling.")
Fixes: 3fd22e219f77 ("rpm/kernel-subpackage-spec: Fix empty Recommends tag (bsc#1143959)")
- commit 762fd66
-------------------------------------------------------------------
Wed Dec 18 19:34:30 CET 2019 - jslaby@suse.cz
- Linux 5.4.5 (bnc#1012628).
- r8169: add missing RX enabling for WoL on RTL8125 (bnc#1012628).
- net: mscc: ocelot: unregister the PTP clock on deinit
(bnc#1012628).
- ionic: keep users rss hash across lif reset (bnc#1012628).
- xdp: obtain the mem_id mutex before trying to remove an entry
(bnc#1012628).
- page_pool: do not release pool until inflight == 0
(bnc#1012628).
- net/mlx5e: ethtool, Fix analysis of speed setting (bnc#1012628).
- net/mlx5e: Fix translation of link mode into speed
(bnc#1012628).
- net/mlx5e: Fix freeing flow with kfree() and not kvfree()
(bnc#1012628).
- net/mlx5e: Fix SFF 8472 eeprom length (bnc#1012628).
- act_ct: support asymmetric conntrack (bnc#1012628).
- net/mlx5e: Fix TXQ indices to be sequential (bnc#1012628).
- net: Fixed updating of ethertype in skb_mpls_push()
(bnc#1012628).
- hsr: fix a NULL pointer dereference in hsr_dev_xmit()
(bnc#1012628).
- Fixed updating of ethertype in function skb_mpls_pop
(bnc#1012628).
- gre: refetch erspan header from skb->data after pskb_may_pull()
(bnc#1012628).
- cls_flower: Fix the behavior using port ranges with hw-offload
(bnc#1012628).
- net: sched: allow indirect blocks to bind to clsact in TC
(bnc#1012628).
- net: core: rename indirect block ingress cb function
(bnc#1012628).
- tcp: Protect accesses to .ts_recent_stamp with
{READ,WRITE}_ONCE() (bnc#1012628).
- tcp: tighten acceptance of ACKs not matching a child socket
(bnc#1012628).
- tcp: fix rejected syncookies due to stale timestamps
(bnc#1012628).
- net: ipv6_stub: use ip6_dst_lookup_flow instead of
ip6_dst_lookup (bnc#1012628).
- net: ipv6: add net argument to ip6_dst_lookup_flow
(bnc#1012628).
- net/mlx5e: Query global pause state before setting prio2buffer
(bnc#1012628).
- tipc: fix ordering of tipc module init and exit routine
(bnc#1012628).
- tcp: md5: fix potential overestimation of TCP option space
(bnc#1012628).
- openvswitch: support asymmetric conntrack (bnc#1012628).
- net/tls: Fix return values to avoid ENOTSUPP (bnc#1012628).
- net: thunderx: start phy before starting autonegotiation
(bnc#1012628).
- net_sched: validate TCA_KIND attribute in tc_chain_tmplt_add()
(bnc#1012628).
- net: sched: fix dump qlen for sch_mq/sch_mqprio with NOLOCK
subqueues (bnc#1012628).
- net: ethernet: ti: cpsw: fix extra rx interrupt (bnc#1012628).
- net: dsa: fix flow dissection on Tx path (bnc#1012628).
- net: bridge: deny dev_set_mac_address() when unregistering
(bnc#1012628).
- mqprio: Fix out-of-bounds access in mqprio_dump (bnc#1012628).
- inet: protect against too small mtu values (bnc#1012628).
- commit 47eef04
-------------------------------------------------------------------
Wed Dec 18 12:45:43 CET 2019 - jslaby@suse.cz
- cpuidle: teo: Avoid using "early hits" incorrectly (git-fixes).
- commit 43b8f65
-------------------------------------------------------------------
Wed Dec 18 12:14:01 CET 2019 - msuchanek@suse.de
- rpm/kernel-subpackage-spec: Unify dependency handling.
- commit f3b74b0
-------------------------------------------------------------------
Tue Dec 17 22:30:35 CET 2019 - jslaby@suse.cz
- rpm/config.sh: set COMPRESS_VMLINUX to xz (bnc#1155921)
- commit 7fe1f4a
-------------------------------------------------------------------
Tue Dec 17 22:28:44 CET 2019 - jslaby@suse.cz
- Linux 5.4.4 (bnc#1012628).
- usb: gadget: configfs: Fix missing spin_lock_init()
(bnc#1012628).
- usb: gadget: pch_udc: fix use after free (bnc#1012628).
- nvme: Namepace identification descriptor list is optional
(bnc#1012628).
- Revert "nvme: Add quirk for Kingston NVME SSD running FW
E8FK11.T" (bnc#1012628).
- scsi: lpfc: Fix bad ndlp ptr in xri aborted handling
(bnc#1012628).
- scsi: zfcp: trace channel log even for FCP command responses
(bnc#1012628).
- scsi: qla2xxx: Do command completion on abort timeout
(bnc#1012628).
- scsi: qla2xxx: Fix driver unload hang (bnc#1012628).
- scsi: qla2xxx: Fix double scsi_done for abort path
(bnc#1012628).
- scsi: qla2xxx: Fix memory leak when sending I/O fails
(bnc#1012628).
- compat_ioctl: add compat_ptr_ioctl() (bnc#1012628).
- ceph: fix compat_ioctl for ceph_dir_operations (bnc#1012628).
- media: venus: remove invalid compat_ioctl32 handler
(bnc#1012628).
- USB: uas: honor flag to avoid CAPACITY16 (bnc#1012628).
- USB: uas: heed CAPACITY_HEURISTICS (bnc#1012628).
- USB: documentation: flags on usb-storage versus UAS
(bnc#1012628).
- usb: Allow USB device to be warm reset in suspended state
(bnc#1012628).
- usb: host: xhci-tegra: Correct phy enable sequence
(bnc#1012628).
- binder: fix incorrect calculation for num_valid (bnc#1012628).
- staging: exfat: fix multiple definition error of `rename_file'
(bnc#1012628).
- staging: rtl8188eu: fix interface sanity check (bnc#1012628).
- staging: rtl8712: fix interface sanity check (bnc#1012628).
- staging: vchiq: call unregister_chrdev_region() when driver
registration fails (bnc#1012628).
- staging: gigaset: fix general protection fault on probe
(bnc#1012628).
- staging: gigaset: fix illegal free on probe errors
(bnc#1012628).
- staging: gigaset: add endpoint-type sanity check (bnc#1012628).
- usb: xhci: only set D3hot for pci device (bnc#1012628).
- xhci: Fix memory leak in xhci_add_in_port() (bnc#1012628).
- xhci: fix USB3 device initiated resume race with roothub
autosuspend (bnc#1012628).
- xhci: Increase STS_HALT timeout in xhci_suspend() (bnc#1012628).
- xhci: handle some XHCI_TRUST_TX_LENGTH quirks cases as default
behaviour (bnc#1012628).
- xhci: make sure interrupts are restored to correct state
(bnc#1012628).
- interconnect: qcom: sdm845: Walk the list safely on node removal
(bnc#1012628).
- interconnect: qcom: qcs404: Walk the list safely on node removal
(bnc#1012628).
- usb: common: usb-conn-gpio: Don't log an error on probe deferral
(bnc#1012628).
- ARM: dts: pandora-common: define wl1251 as child node of mmc3
(bnc#1012628).
- iio: adis16480: Add debugfs_reg_access entry (bnc#1012628).
- iio: imu: st_lsm6dsx: fix ODR check in st_lsm6dsx_write_raw
(bnc#1012628).
- iio: adis16480: Fix scales factors (bnc#1012628).
- iio: humidity: hdc100x: fix IIO_HUMIDITYRELATIVE channel
reporting (bnc#1012628).
- iio: imu: inv_mpu6050: fix temperature reporting using bad unit
(bnc#1012628).
- iio: adc: ad7606: fix reading unnecessary data from device
(bnc#1012628).
- iio: adc: ad7124: Enable internal reference (bnc#1012628).
- USB: atm: ueagle-atm: add missing endpoint check (bnc#1012628).
- USB: idmouse: fix interface sanity checks (bnc#1012628).
- USB: serial: io_edgeport: fix epic endpoint lookup
(bnc#1012628).
- usb: roles: fix a potential use after free (bnc#1012628).
- USB: adutux: fix interface sanity check (bnc#1012628).
- usb: core: urb: fix URB structure initialization function
(bnc#1012628).
- usb: mon: Fix a deadlock in usbmon between mmap and read
(bnc#1012628).
- tpm: add check after commands attribs tab allocation
(bnc#1012628).
- tpm: Switch to platform_get_irq_optional() (bnc#1012628).
- EDAC/altera: Use fast register IO for S10 IRQs (bnc#1012628).
- brcmfmac: disable PCIe interrupts before bus reset
(bnc#1012628).
- mtd: spear_smi: Fix Write Burst mode (bnc#1012628).
- mtd: rawnand: Change calculating of position page containing
BBM (bnc#1012628).
- virt_wifi: fix use-after-free in virt_wifi_newlink()
(bnc#1012628).
- virtio-balloon: fix managed page counts when migrating pages
between zones (bnc#1012628).
- usb: dwc3: pci: add ID for the Intel Comet Lake -H variant
(bnc#1012628).
- usb: dwc3: gadget: Fix logical condition (bnc#1012628).
- usb: dwc3: gadget: Clear started flag for non-IOC (bnc#1012628).
- usb: dwc3: ep0: Clear started flag on completion (bnc#1012628).
- phy: renesas: rcar-gen3-usb2: Fix sysfs interface of "role"
(bnc#1012628).
- usb: typec: fix use after free in typec_register_port()
(bnc#1012628).
- iwlwifi: pcie: fix support for transmitting SKBs with fraglist
(bnc#1012628).
- btrfs: check page->mapping when loading free space cache
(bnc#1012628).
- btrfs: use btrfs_block_group_cache_done in update_block_group
(bnc#1012628).
- btrfs: use refcount_inc_not_zero in kill_all_nodes
(bnc#1012628).
- Btrfs: fix metadata space leak on fixup worker failure to set
range as delalloc (bnc#1012628).
- Btrfs: fix negative subv_writers counter and data space leak
after buffered write (bnc#1012628).
- btrfs: Avoid getting stuck during cyclic writebacks
(bnc#1012628).
- btrfs: Remove btrfs_bio::flags member (bnc#1012628).
- Btrfs: send, skip backreference walking for extents with many
references (bnc#1012628).
- btrfs: record all roots for rename exchange on a subvol
(bnc#1012628).
- rtlwifi: rtl8192de: Fix missing code to retrieve RX buffer
address (bnc#1012628).
- rtlwifi: rtl8192de: Fix missing callback that tests for hw
release of buffer (bnc#1012628).
- rtlwifi: rtl8192de: Fix missing enable interrupt flag
(bnc#1012628).
- lib: raid6: fix awk build warnings (bnc#1012628).
- ovl: fix lookup failure on multi lower squashfs (bnc#1012628).
- ovl: fix corner case of non-unique st_dev;st_ino (bnc#1012628).
- ovl: relax WARN_ON() on rename to self (bnc#1012628).
- hwrng: omap - Fix RNG wait loop timeout (bnc#1012628).
- dm writecache: handle REQ_FUA (bnc#1012628).
- dm zoned: reduce overhead of backing device checks
(bnc#1012628).
- workqueue: Fix spurious sanity check failures in
destroy_workqueue() (bnc#1012628).
- workqueue: Fix pwq ref leak in rescuer_thread() (bnc#1012628).
- ASoC: rt5645: Fixed buddy jack support (bnc#1012628).
- ASoC: rt5645: Fixed typo for buddy jack support (bnc#1012628).
- ASoC: Jack: Fix NULL pointer dereference in snd_soc_jack_report
(bnc#1012628).
- ASoC: fsl_audmix: Add spin lock to protect tdms (bnc#1012628).
- md: improve handling of bio with REQ_PREFLUSH in
md_flush_request() (bnc#1012628).
- blk-mq: avoid sysfs buffer overflow with too many CPU cores
(bnc#1012628).
- cgroup: pids: use atomic64_t for pids->limit (bnc#1012628).
- wil6210: check len before memcpy() calls (bnc#1012628).
- ar5523: check NULL before memcpy() in ar5523_cmd()
(bnc#1012628).
- s390/mm: properly clear _PAGE_NOEXEC bit when it is not
supported (bnc#1012628).
- media: hantro: Fix s_fmt for dynamic resolution changes
(bnc#1012628).
- media: hantro: Fix motion vectors usage condition (bnc#1012628).
- media: hantro: Fix picture order count table enable
(bnc#1012628).
- media: vimc: sen: remove unused kthread_sen field (bnc#1012628).
- media: bdisp: fix memleak on release (bnc#1012628).
- media: radio: wl1273: fix interrupt masking on release
(bnc#1012628).
- media: cec.h: CEC_OP_REC_FLAG_ values were swapped
(bnc#1012628).
- cpuidle: Do not unset the driver if it is there already
(bnc#1012628).
- cpuidle: teo: Ignore disabled idle states that are too deep
(bnc#1012628).
- cpuidle: teo: Rename local variable in teo_select()
(bnc#1012628).
- cpuidle: teo: Consider hits and misses metrics of disabled
states (bnc#1012628).
- cpuidle: teo: Fix "early hits" handling for disabled idle states
(bnc#1012628).
- cpuidle: use first valid target residency as poll time
(bnc#1012628).
- erofs: zero out when listxattr is called with no xattr
(bnc#1012628).
- perf tests: Fix out of bounds memory access (bnc#1012628).
- drm/panfrost: Open/close the perfcnt BO (bnc#1012628).
- powerpc/perf: Disable trace_imc pmu (bnc#1012628).
- intel_th: Fix a double put_device() in error path (bnc#1012628).
- intel_th: pci: Add Ice Lake CPU support (bnc#1012628).
- intel_th: pci: Add Tiger Lake CPU support (bnc#1012628).
- PM / devfreq: Lock devfreq in trans_stat_show (bnc#1012628).
- cpufreq: powernv: fix stack bloat and hard limit on number of
CPUs (bnc#1012628).
- ALSA: fireface: fix return value in error path of isochronous
resources reservation (bnc#1012628).
- ALSA: oxfw: fix return value in error path of isochronous
resources reservation (bnc#1012628).
- ALSA: hda/realtek - Line-out jack doesn't work on a Dell AIO
(bnc#1012628).
- ACPI / utils: Move acpi_dev_get_first_match_dev() under
CONFIG_ACPI (bnc#1012628).
- ACPI: LPSS: Add LNXVIDEO -> BYT I2C7 to lpss_device_links
(bnc#1012628).
- ACPI: LPSS: Add LNXVIDEO -> BYT I2C1 to lpss_device_links
(bnc#1012628).
- ACPI: LPSS: Add dmi quirk for skipping _DEP check for some
device-links (bnc#1012628).
- ACPI / hotplug / PCI: Allocate resources directly under the
non-hotplug bridge (bnc#1012628).
- ACPI: OSL: only free map once in osl.c (bnc#1012628).
- ACPI: bus: Fix NULL pointer check in acpi_bus_get_private_data()
(bnc#1012628).
- ACPI: EC: Rework flushing of pending work (bnc#1012628).
- ACPI: PM: Avoid attaching ACPI PM domain to certain devices
(bnc#1012628).
- pinctrl: rza2: Fix gpio name typos (bnc#1012628).
- pinctrl: armada-37xx: Fix irq mask access in
armada_37xx_irq_set_type() (bnc#1012628).
- pinctrl: samsung: Add of_node_put() before return in error path
(bnc#1012628).
- pinctrl: samsung: Fix device node refcount leaks in Exynos
wakeup controller init (bnc#1012628).
- pinctrl: samsung: Fix device node refcount leaks in S3C24xx
wakeup controller init (bnc#1012628).
- pinctrl: samsung: Fix device node refcount leaks in init code
(bnc#1012628).
- pinctrl: samsung: Fix device node refcount leaks in S3C64xx
wakeup controller init (bnc#1012628).
- mmc: host: omap_hsmmc: add code for special init of wl1251 to
get rid of pandora_wl1251_init_card (bnc#1012628).
- ARM: dts: omap3-tao3530: Fix incorrect MMC card detection GPIO
polarity (bnc#1012628).
- RDMA/core: Fix ib_dma_max_seg_size() (bnc#1012628).
- ppdev: fix PPGETTIME/PPSETTIME ioctls (bnc#1012628).
- stm class: Lose the protocol driver when dropping its reference
(bnc#1012628).
- coresight: Serialize enabling/disabling a link device
(bnc#1012628).
- powerpc: Allow 64bit VDSO __kernel_sync_dicache to work across
ranges >4GB (bnc#1012628).
- powerpc/xive: Prevent page fault issues in the machine crash
handler (bnc#1012628).
- powerpc: Allow flush_icache_range to work across ranges >4GB
(bnc#1012628).
- powerpc/xive: Skip ioremap() of ESB pages for LSI interrupts
(bnc#1012628).
- video/hdmi: Fix AVI bar unpack (bnc#1012628).
- quota: Check that quota is not dirty before release
(bnc#1012628).
- ext2: check err when partial != NULL (bnc#1012628).
- seccomp: avoid overflow in implicit constant conversion
(bnc#1012628).
- quota: fix livelock in dquot_writeback_dquots (bnc#1012628).
- ext4: Fix credit estimate for final inode freeing (bnc#1012628).
- reiserfs: fix extended attributes on the root directory
(bnc#1012628).
- scsi: qla2xxx: Fix SRB leak on switch command timeout
(bnc#1012628).
- scsi: qla2xxx: Fix a dma_pool_free() call (bnc#1012628).
- Revert "scsi: qla2xxx: Fix memory leak when sending I/O fails"
(bnc#1012628).
- iio: ad7949: kill pointless "readback"-handling code
(bnc#1012628).
- iio: ad7949: fix channels mixups (bnc#1012628).
- omap: pdata-quirks: revert pandora specific gpiod additions
(bnc#1012628).
- omap: pdata-quirks: remove openpandora quirks for mmc3 and
wl1251 (bnc#1012628).
- powerpc: Avoid clang warnings around setjmp and longjmp
(bnc#1012628).
- powerpc: Fix vDSO clock_getres() (bnc#1012628).
- mm, memfd: fix COW issue on MAP_PRIVATE and F_SEAL_FUTURE_WRITE
mappings (bnc#1012628).
- mfd: rk808: Fix RK818 ID template (bnc#1012628).
- mm: memcg/slab: wait for !root kmem_cache refcnt killing on
root kmem_cache destruction (bnc#1012628).
- ext4: work around deleting a file with i_nlink == 0 safely
(bnc#1012628).
- firmware: qcom: scm: Ensure 'a0' status code is treated as
signed (bnc#1012628).
- s390/smp,vdso: fix ASCE handling (bnc#1012628).
- s390/kaslr: store KASLR offset for early dumps (bnc#1012628).
- mm/shmem.c: cast the type of unmap_start to u64 (bnc#1012628).
- powerpc: Define arch_is_kernel_initmem_freed() for lockdep
(bnc#1012628).
- USB: dummy-hcd: increase max number of devices to 32
(bnc#1012628).
- rtc: disable uie before setting time and enable after
(bnc#1012628).
- splice: only read in as much information as there is pipe
buffer space (bnc#1012628).
- ext4: fix a bug in ext4_wait_for_tail_page_commit (bnc#1012628).
- ext4: fix leak of quota reservations (bnc#1012628).
- blk-mq: make sure that line break can be printed (bnc#1012628).
- workqueue: Fix missing kfree(rescuer) in destroy_workqueue()
(bnc#1012628).
- r8169: fix rtl_hw_jumbo_disable for RTL8168evl (bnc#1012628).
- commit 286f4fb
-------------------------------------------------------------------
Mon Dec 16 12:10:39 CET 2019 - tiwai@suse.de
- rpm/kernel-subpackage-spec: Fix empty Recommends tag (bsc#1143959)
- commit 3fd22e2
-------------------------------------------------------------------
Mon Dec 16 10:30:06 CET 2019 - bp@suse.de
- crypto: ccp - Release all allocated memory if sha type is
invalid (bsc#1156259 CVE-2019-18808).
- commit c8b14a1
-------------------------------------------------------------------
Mon Dec 16 06:31:13 CET 2019 - mkubecek@suse.cz
- Update to 5.5-rc2
- update configs
- CRYPTO_BLAKE2B=m
- commit 5d7acaa
-------------------------------------------------------------------
Fri Dec 13 12:10:13 CET 2019 - jslaby@suse.cz
- EDAC/ghes: Do not warn when incrementing refcount on 0
(git-fixes).
- commit e16f7d4
-------------------------------------------------------------------
Fri Dec 13 11:56:09 CET 2019 - jslaby@suse.cz
- Linux 5.4.3 (bnc#1012628).
- rsi: release skb if rsi_prepare_beacon fails (bnc#1012628).
- arm64: tegra: Fix 'active-low' warning for Jetson TX1 regulator
(bnc#1012628).
- arm64: tegra: Fix 'active-low' warning for Jetson Xavier
regulator (bnc#1012628).
- perf scripts python: exported-sql-viewer.py: Fix use of TRUE
with SQLite (bnc#1012628).
- sparc64: implement ioremap_uc (bnc#1012628).
- lp: fix sparc64 LPSETTIMEOUT ioctl (bnc#1012628).
- time: Zero the upper 32-bits in __kernel_timespec on 32-bit
(bnc#1012628).
- mailbox: tegra: Fix superfluous IRQ error message (bnc#1012628).
- staging/octeon: Use stubs for MIPS && !CAVIUM_OCTEON_SOC
(bnc#1012628).
- usb: gadget: u_serial: add missing port entry locking
(bnc#1012628).
- serial: 8250-mtk: Use platform_get_irq_optional() for optional
irq (bnc#1012628).
- tty: serial: fsl_lpuart: use the sg count from dma_map_sg
(bnc#1012628).
- tty: serial: msm_serial: Fix flow control (bnc#1012628).
- serial: pl011: Fix DMA ->flush_buffer() (bnc#1012628).
- serial: serial_core: Perform NULL checks for break_ctl ops
(bnc#1012628).
- serial: stm32: fix clearing interrupt error flags (bnc#1012628).
- serial: 8250_dw: Avoid double error messaging when IRQ absent
(bnc#1012628).
- serial: ifx6x60: add missed pm_runtime_disable (bnc#1012628).
- mwifiex: Re-work support for SDIO HW reset (bnc#1012628).
- io_uring: fix dead-hung for non-iter fixed rw (bnc#1012628).
- io_uring: transform send/recvmsg() -ERESTARTSYS to -EINTR
(bnc#1012628).
- fuse: fix leak of fuse_io_priv (bnc#1012628).
- fuse: verify nlink (bnc#1012628).
- fuse: verify write return (bnc#1012628).
- fuse: verify attributes (bnc#1012628).
- io_uring: fix missing kmap() declaration on powerpc
(bnc#1012628).
- io_uring: ensure req->submit is copied when req is deferred
(bnc#1012628).
- SUNRPC: Avoid RPC delays when exiting suspend (bnc#1012628).
- ALSA: hda/realtek - Enable internal speaker of ASUS UX431FLC
(bnc#1012628).
- ALSA: hda/realtek - Enable the headset-mic on a Xiaomi's laptop
(bnc#1012628).
- ALSA: hda/realtek - Dell headphone has noise on unmute for
ALC236 (bnc#1012628).
- ALSA: hda/realtek - Fix inverted bass GPIO pin on Acer 8951G
(bnc#1012628).
- ALSA: pcm: oss: Avoid potential buffer overflows (bnc#1012628).
- ALSA: hda - Add mute led support for HP ProBook 645 G4
(bnc#1012628).
- ALSA: hda: Modify stream stripe mask only when needed
(bnc#1012628).
- soc: mediatek: cmdq: fixup wrong input order of write api
(bnc#1012628).
- Input: synaptics - switch another X1 Carbon 6 to RMI/SMbus
(bnc#1012628).
- Input: synaptics-rmi4 - re-enable IRQs in f34v7_do_reflash
(bnc#1012628).
- Input: synaptics-rmi4 - don't increment rmiaddr for SMBus
transfers (bnc#1012628).
- Input: goodix - add upside-down quirk for Teclast X89 tablet
(bnc#1012628).
- coresight: etm4x: Fix input validation for sysfs (bnc#1012628).
- Input: Fix memory leak in psxpad_spi_probe (bnc#1012628).
- media: rc: mark input device as pointing stick (bnc#1012628).
- x86/mm/32: Sync only to VMALLOC_END in vmalloc_sync_all()
(bnc#1012628).
- x86/PCI: Avoid AMD FCH XHCI USB PME# from D0 defect
(bnc#1012628).
- CIFS: Fix NULL-pointer dereference in smb2_push_mandatory_locks
(bnc#1012628).
- CIFS: Fix SMB2 oplock break processing (bnc#1012628).
- tty: vt: keyboard: reject invalid keycodes (bnc#1012628).
- can: slcan: Fix use-after-free Read in slcan_open (bnc#1012628).
- nfsd: Ensure CLONE persists data and metadata changes to the
target file (bnc#1012628).
- nfsd: restore NFSv3 ACL support (bnc#1012628).
- kernfs: fix ino wrap-around detection (bnc#1012628).
- jbd2: Fix possible overflow in jbd2_log_space_left()
(bnc#1012628).
- drm/msm: fix memleak on release (bnc#1012628).
- drm: damage_helper: Fix race checking plane->state->fb
(bnc#1012628).
- drm/i810: Prevent underflow in ioctl (bnc#1012628).
- arm64: Validate tagged addresses in access_ok() called from
kernel threads (bnc#1012628).
- arm64: dts: exynos: Revert "Remove unneeded address space
mapping for soc node" (bnc#1012628).
- KVM: PPC: Book3S HV: XIVE: Free previous EQ page when setting
up a new one (bnc#1012628).
- KVM: PPC: Book3S HV: XIVE: Fix potential page leak on error path
(bnc#1012628).
- KVM: PPC: Book3S HV: XIVE: Set kvm->arch.xive when VPs are
allocated (bnc#1012628).
- KVM: nVMX: Always write vmcs02.GUEST_CR3 during nested VM-Enter
(bnc#1012628).
- KVM: arm/arm64: vgic: Don't rely on the wrong pending table
(bnc#1012628).
- KVM: x86: do not modify masked bits of shared MSRs
(bnc#1012628).
- KVM: x86: Grab KVM's srcu lock when setting nested state
(bnc#1012628).
- crypto: crypto4xx - fix double-free in crypto4xx_destroy_sdr
(bnc#1012628).
- crypto: atmel-aes - Fix IV handling when req->nbytes < ivsize
(bnc#1012628).
- crypto: af_alg - cast ki_complete ternary op to int
(bnc#1012628).
- crypto: geode-aes - switch to skcipher for cbc(aes) fallback
(bnc#1012628).
- crypto: ccp - fix uninitialized list head (bnc#1012628).
- crypto: ecdh - fix big endian bug in ECC library (bnc#1012628).
- crypto: user - fix memory leak in crypto_report (bnc#1012628).
- crypto: user - fix memory leak in crypto_reportstat
(bnc#1012628).
- spi: spi-fsl-qspi: Clear TDH bits in FLSHCR register
(bnc#1012628).
- spi: stm32-qspi: Fix kernel oops when unbinding driver
(bnc#1012628).
- spi: atmel: Fix CS high support (bnc#1012628).
- spi: Fix SPI_CS_HIGH setting when using native and GPIO CS
(bnc#1012628).
- spi: Fix NULL pointer when setting SPI_CS_HIGH for GPIO CS
(bnc#1012628).
- can: ucan: fix non-atomic allocation in completion handler
(bnc#1012628).
- RDMA/qib: Validate ->show()/store() callbacks before calling
them (bnc#1012628).
- rfkill: allocate static minor (bnc#1012628).
- bdev: Factor out bdev revalidation into a common helper
(bnc#1012628).
- bdev: Refresh bdev size for disks without partitioning
(bnc#1012628).
- iomap: Fix pipe page leakage during splicing (bnc#1012628).
- thermal: Fix deadlock in thermal thermal_zone_device_check
(bnc#1012628).
- vcs: prevent write access to vcsu devices (bnc#1012628).
- Revert "serial/8250: Add support for NI-Serial PXI/PXIe+485
devices" (bnc#1012628).
- binder: Fix race between mmap() and binder_alloc_print_pages()
(bnc#1012628).
- binder: Prevent repeated use of ->mmap() via NULL mapping
(bnc#1012628).
- binder: Handle start==NULL in binder_update_page_range()
(bnc#1012628).
- ALSA: hda - Fix pending unsol events at shutdown (bnc#1012628).
- cpufreq: imx-cpufreq-dt: Correct i.MX8MN's default speed grade
value (bnc#1012628).
- md/raid0: Fix an error message in raid0_make_request()
(bnc#1012628).
- drm/mcde: Fix an error handling path in 'mcde_probe()'
(bnc#1012628).
- watchdog: aspeed: Fix clock behaviour for ast2600 (bnc#1012628).
- EDAC/ghes: Fix locking and memory barrier issues (bnc#1012628).
- perf script: Fix invalid LBR/binary mismatch error
(bnc#1012628).
- kselftest: Fix NULL INSTALL_PATH for TARGETS runlist
(bnc#1012628).
- commit 9f4d3b5
-------------------------------------------------------------------
Thu Dec 12 18:57:42 CET 2019 - nsaenzjulienne@suse.de
- hwrng: iproc-rng200 - Add support for BCM2711 (jsc#SLE-7772).
- commit 9e9a23d
-------------------------------------------------------------------
Wed Dec 11 17:24:32 CET 2019 - jroedel@suse.de
- KVM: x86: Remove a spurious export of a static function
(CVE-2019-19338 bsc#1158954).
- KVM: x86: fix presentation of TSX feature in ARCH_CAPABILITIES
(CVE-2019-19338 bsc#1158954).
- commit e830a48
-------------------------------------------------------------------
Wed Dec 11 11:51:37 CET 2019 - jroedel@suse.de
- KVM: x86: fix out-of-bounds write in KVM_GET_EMULATED_CPUID
(CVE-2019-19332) (CVE-2019-19332 bsc#1158827).
- commit bdbc1dc
-------------------------------------------------------------------
Mon Dec 9 07:59:18 CET 2019 - mkubecek@suse.cz
- Update to 5.5-rc1
- eliminated 175 patches (114 stable, 61 other)
- ARM configs need update
- refresh
patches.suse/0001-usb-Add-Xen-pvUSB-protocol-description.patch
patches.suse/kernel-add-product-identifying-information-to-kernel-build.patch
patches.suse/linux-log2-h-add-roundup-rounddown_pow_two64-family-of-functions.patch
patches.suse/setuid-dumpable-wrongdir
patches.suse/supported-flag
patches.suse/supported-flag-external
- new config options:
- Processor type and features
- X86_IOPL_IOPERM=y
- X86_UMIP=y
- Power management
- BYTCRC_PMIC_OPREGION=y
- CHTCRC_PMIC_OPREGION=y
- Networking
- TLS_TOE=n
- TIPC_CRYPTO=y
- NET_DSA_TAG_OCELOT=m
- NFC_PN532_UART=m
- Cryptographic API
- CRYPTO_CURVE25519=m
- CRYPTO_CURVE25519_X86=m
- CRYPTO_BLAKE2B=m
- CRYPTO_BLAKE2S=m
- CRYPTO_BLAKE2S_X86=m
- CRYPTO_LIB_BLAKE2S=m
- CRYPTO_LIB_CHACHA=m
- CRYPTO_LIB_CURVE25519=m
- CRYPTO_LIB_POLY1305=m
- CRYPTO_LIB_CHACHA20POLY1305=m
- CRYPTO_DEV_AMLOGIC_GXL=m
- Kernel hacking
- SYMBOLIC_ERRNAME=y
- TRACE_EVENT_INJECT=n
- KUNIT=n
- Kernel Testing and Coverage
- HYPERV_TESTING=n
- Networking drivers
- CAIF_DRIVERS=y
- NET_DSA_MSCC_FELIX=m
- DP83869_PHY=m
- Hardware Monitoring
- SENSORS_LTC2947_I2C=m
- SENSORS_LTC2947_SPI=m
- SENSORS_BEL_PFE=m
- SENSORS_TMP513=m
- Multimedia
- VIDEO_HI556=m
- VIDEO_IMX290=m
- Graphics
- DRM_DEBUG_DP_MST_TOPOLOGY_REFS=n
- DRM_AMD_DC_HDCP=y
- DRM_I915_HEARTBEAT_INTERVAL=2500
- DRM_I915_PREEMPT_TIMEOUT=640
- DRM_I915_SPIN_REQUEST=5
- DRM_I915_STOP_TIMEOUT=100
- DRM_I915_TIMESLICE_DURATION=1
- BACKLIGHT_QCOM_WLED=m
- FB_TFT=n
- Sound
- SND_SOC_INTEL_GLK_DA7219_MAX98357A_MACH=m
- SND_SOC_INTEL_SOF_CML_RT1011_RT5682_MACH=m
- SND_SOC_SOF_DEVELOPER_SUPPORT=n
- SND_SOC_SOF_JASPERLAKE_SUPPORT=y
- SND_SOC_SOF_HDA_COMMON_HDMI_CODEC=y
- SND_SOC_ADAU7118_HW=n
- SND_SOC_ADAU7118_I2C=n
- SND_SOC_TAS2562=n
- SND_SOC_TAS2770=n
- USB
- TYPEC_HD3SS3220=m
- Industrial I/O
- AD7292=n
- FXOS8700_I2C=n
- FXOS8700_SPI=n
- ADUX1020=n
- VEML6030=n
- LTC2983=n
- Misc drivers
- EFI_SOFT_RESERVE=y
- FW_CACHE=y
- TCG_TIS_SPI_CR50=y
- PTP_1588_CLOCK_IDTCM=m
- PINCTRL_TIGERLAKE=m
- PINCTRL_EQUILIBRIUM=m
- W1_SLAVE_DS2430=m
- SF_PDMA=m
- NVME_HWMON=y
- WFX=m
- SYSTEM76_ACPI=m
- CROS_EC_SENSORHUB=m
- DEV_DAX_HMEM=m
- ppc64(le):
- PPC_UV=n
- */debug:
- TRACE_EVENT_INJECT=y
- x86_64/debug:
- HYPERV_TESTING=y
- commit 6af0b1c
-------------------------------------------------------------------
Thu Dec 5 16:48:24 CET 2019 - nsaenzjulienne@suse.de
- supported.conf: Support Broadcom's Genet Ethernet driver (bsc#158563)
- commit 5e42d26
-------------------------------------------------------------------
Thu Dec 5 08:09:38 CET 2019 - jslaby@suse.cz
- Linux 5.4.2 (bnc#1012628).
- platform/x86: hp-wmi: Fix ACPI errors caused by passing 0 as
input size (bnc#1012628).
- platform/x86: hp-wmi: Fix ACPI errors caused by too small buffer
(bnc#1012628).
- HID: core: check whether Usage Page item is after Usage ID items
(bnc#1012628).
- crypto: talitos - Fix build error by selecting LIB_DES
(bnc#1012628).
- Revert "jffs2: Fix possible null-pointer dereferences in
jffs2_add_frag_to_fragtree()" (bnc#1012628).
- ext4: add more paranoia checking in ext4_expand_extra_isize
handling (bnc#1012628).
- r8169: fix resume on cable plug-in (bnc#1012628).
- r8169: fix jumbo configuration for RTL8168evl (bnc#1012628).
- selftests: pmtu: use -oneline for ip route list cache
(bnc#1012628).
- tipc: fix link name length check (bnc#1012628).
- selftests: bpf: correct perror strings (bnc#1012628).
- selftests: bpf: test_sockmap: handle file creation failures
gracefully (bnc#1012628).
- net/tls: use sg_next() to walk sg entries (bnc#1012628).
- net/tls: remove the dead inplace_crypto code (bnc#1012628).
- selftests/tls: add a test for fragmented messages (bnc#1012628).
- net: skmsg: fix TLS 1.3 crash with full sk_msg (bnc#1012628).
- net/tls: free the record on encryption error (bnc#1012628).
- net/tls: take into account that bpf_exec_tx_verdict() may free
the record (bnc#1012628).
- openvswitch: remove another BUG_ON() (bnc#1012628).
- openvswitch: drop unneeded BUG_ON() in ovs_flow_cmd_build_info()
(bnc#1012628).
- sctp: cache netns in sctp_ep_common (bnc#1012628).
- slip: Fix use-after-free Read in slip_open (bnc#1012628).
- sctp: Fix memory leak in sctp_sf_do_5_2_4_dupcook (bnc#1012628).
- openvswitch: fix flow command message size (bnc#1012628).
- net: sched: fix `tc -s class show` no bstats on class with
nolock subqueues (bnc#1012628).
- net: psample: fix skb_over_panic (bnc#1012628).
- net: macb: add missed tasklet_kill (bnc#1012628).
- net: dsa: sja1105: fix sja1105_parse_rgmii_delays()
(bnc#1012628).
- mdio_bus: don't use managed reset-controller (bnc#1012628).
- macvlan: schedule bc_work even if error (bnc#1012628).
- gve: Fix the queue page list allocated pages count
(bnc#1012628).
- x86/fpu: Don't cache access to fpu_fpregs_owner_ctx
(bnc#1012628).
- thunderbolt: Power cycle the router if NVM authentication fails
(bnc#1012628).
- mei: me: add comet point V device id (bnc#1012628).
- mei: bus: prefix device names on bus with the bus name
(bnc#1012628).
- USB: serial: ftdi_sio: add device IDs for U-Blox C099-F9P
(bnc#1012628).
- staging: rtl8723bs: Add 024c:0525 to the list of SDIO device-ids
(bnc#1012628).
- staging: rtl8723bs: Drop ACPI device ids (bnc#1012628).
- staging: rtl8192e: fix potential use after free (bnc#1012628).
- staging: wilc1000: fix illegal memory access in
wilc_parse_join_bss_param() (bnc#1012628).
- usb: dwc2: use a longer core rest timeout in dwc2_core_reset()
(bnc#1012628).
- driver core: platform: use the correct callback type for
bus_find_device (bnc#1012628).
- crypto: inside-secure - Fix stability issue with Macchiatobin
(bnc#1012628).
- net: disallow ancillary data for __sys_{send,recv}msg_file()
(bnc#1012628).
- net: separate out the msghdr copy from ___sys_{send,recv}msg()
(bnc#1012628).
- io_uring: async workers should inherit the user creds
(bnc#1012628).
- commit 9df353f
-------------------------------------------------------------------
Wed Dec 4 17:07:42 CET 2019 - nsaenzjulienne@suse.de
- supported.conf: support gpio-regulator used by Raspberry Pi 4
(bsc#1158451)
- commit d0225c2
-------------------------------------------------------------------
Tue Dec 3 16:32:56 CET 2019 - mbrugger@suse.com
- arm64: Update config files.
Bump CONFIG_NODES_SHIFT from 2 to 6
- commit 476eb27
-------------------------------------------------------------------
Tue Dec 3 14:29:18 CET 2019 - tiwai@suse.de
- rpm/kernel-subpackage-spec: Exclude kernel-firmware recommends (bsc#1143959)
For reducing the dependency on kernel-firmware in sub packages
- commit d950271
-------------------------------------------------------------------
Fri Nov 29 12:45:38 CET 2019 - msuchanek@suse.de
- Update config files.
ppc64 is lats architecture without PRINTK_TIME. Align with the rest.
- commit f46c056
-------------------------------------------------------------------
Fri Nov 29 12:41:15 CET 2019 - jslaby@suse.cz
- blacklist.conf: add one invalid commit
- commit 4c2d405
-------------------------------------------------------------------
Fri Nov 29 12:26:11 CET 2019 - jslaby@suse.cz
- Linux 5.4.1 (bnc#1012628).
- Bluetooth: Fix invalid-free in bcsp_close() (bnc#1012628).
- ath9k_hw: fix uninitialized variable data (bnc#1012628).
- ath10k: Fix HOST capability QMI incompatibility (bnc#1012628).
- ath10k: restore QCA9880-AR1A (v1) detection (bnc#1012628).
- Revert "Bluetooth: hci_ll: set operational frequency earlier"
(bnc#1012628).
- Revert "dm crypt: use WQ_HIGHPRI for the IO and crypt
workqueues" (bnc#1012628).
- md/raid10: prevent access of uninitialized resync_pages offset
(bnc#1012628).
- x86/insn: Fix awk regexp warnings (bnc#1012628).
- x86/speculation: Fix incorrect MDS/TAA mitigation status
(bnc#1012628).
- x86/speculation: Fix redundant MDS mitigation message
(bnc#1012628).
- nbd: prevent memory leak (bnc#1012628).
- x86/stackframe/32: Repair 32-bit Xen PV (bnc#1012628).
- x86/xen/32: Make xen_iret_crit_fixup() independent of frame
layout (bnc#1012628).
- x86/xen/32: Simplify ring check in xen_iret_crit_fixup()
(bnc#1012628).
- x86/doublefault/32: Fix stack canaries in the double fault
handler (bnc#1012628).
- x86/pti/32: Size initial_page_table correctly (bnc#1012628).
- x86/cpu_entry_area: Add guard page for entry stack on 32bit
(bnc#1012628).
- x86/entry/32: Fix IRET exception (bnc#1012628).
- x86/entry/32: Use %ss segment where required (bnc#1012628).
- x86/entry/32: Move FIXUP_FRAME after pushing %fs in SAVE_ALL
(bnc#1012628).
- x86/entry/32: Unwind the ESPFIX stack earlier on exception entry
(bnc#1012628).
- x86/entry/32: Fix NMI vs ESPFIX (bnc#1012628).
- selftests/x86/mov_ss_trap: Fix the SYSENTER test (bnc#1012628).
- selftests/x86/sigreturn/32: Invalidate DS and ES when abusing
the kernel (bnc#1012628).
- x86/pti/32: Calculate the various PTI cpu_entry_area sizes
correctly, make the CPU_ENTRY_AREA_PAGES assert precise
(bnc#1012628).
- x86/entry/32: Fix FIXUP_ESPFIX_STACK with user CR3
(bnc#1012628).
- futex: Prevent robust futex exit race (bnc#1012628).
- ALSA: usb-audio: Fix NULL dereference at parsing BADD
(bnc#1012628).
- ALSA: usb-audio: Fix Scarlett 6i6 Gen 2 port data (bnc#1012628).
- media: vivid: Set vid_cap_streaming and vid_out_streaming to
true (bnc#1012628).
- media: vivid: Fix wrong locking that causes race conditions
on streaming stop (bnc#1012628).
- media: usbvision: Fix invalid accesses after device disconnect
(bnc#1012628).
- media: usbvision: Fix races among open, close, and disconnect
(bnc#1012628).
- cpufreq: Add NULL checks to show() and store() methods of
cpufreq (bnc#1012628).
- futex: Move futex exit handling into futex code (bnc#1012628).
- futex: Replace PF_EXITPIDONE with a state (bnc#1012628).
- exit/exec: Seperate mm_release() (bnc#1012628).
- futex: Split futex_mm_release() for exit/exec (bnc#1012628).
- futex: Set task::futex_state to DEAD right after handling
futex exit (bnc#1012628).
- futex: Mark the begin of futex exit explicitly (bnc#1012628).
- futex: Sanitize exit state handling (bnc#1012628).
- futex: Provide state handling for exec() as well (bnc#1012628).
- futex: Add mutex around futex exit (bnc#1012628).
- futex: Provide distinct return value when owner is exiting
(bnc#1012628).
- futex: Prevent exit livelock (bnc#1012628).
- media: uvcvideo: Fix error path in control parsing failure
(bnc#1012628).
- media: b2c2-flexcop-usb: add sanity checking (bnc#1012628).
- media: cxusb: detect cxusb_ctrl_msg error in query
(bnc#1012628).
- media: imon: invalid dereference in imon_touch_event
(bnc#1012628).
- media: mceusb: fix out of bounds read in MCE receiver buffer
(bnc#1012628).
- ALSA: hda - Disable audio component for legacy Nvidia HDMI
codecs (bnc#1012628).
- USBIP: add config dependency for SGL_ALLOC (bnc#1012628).
- usbip: tools: fix fd leakage in the function of
read_attr_usbip_status (bnc#1012628).
- usbip: Fix uninitialized symbol 'nents' in
stub_recv_cmd_submit() (bnc#1012628).
- usb-serial: cp201x: support Mark-10 digital force gauge
(bnc#1012628).
- USB: chaoskey: fix error case of a timeout (bnc#1012628).
- appledisplay: fix error handling in the scheduled work
(bnc#1012628).
- USB: serial: mos7840: add USB ID to support Moxa UPort 2210
(bnc#1012628).
- USB: serial: mos7720: fix remote wakeup (bnc#1012628).
- USB: serial: mos7840: fix remote wakeup (bnc#1012628).
- USB: serial: option: add support for DW5821e with eSIM support
(bnc#1012628).
- USB: serial: option: add support for Foxconn T77W968 LTE modules
(bnc#1012628).
- staging: comedi: usbduxfast: usbduxfast_ai_cmdtest rounding
error (bnc#1012628).
- powerpc/book3s64: Fix link stack flush on context switch
(bnc#1012628).
- KVM: PPC: Book3S HV: Flush link stack on guest exit to host
kernel (bnc#1012628).
- commit 694287b
-------------------------------------------------------------------
Fri Nov 29 11:06:11 CET 2019 - ptesarik@suse.cz
- Update config files (bsc#1158055 LTC#182629).
- commit 632b250
-------------------------------------------------------------------
Thu Nov 28 15:35:38 CET 2019 - mkubecek@suse.cz
- series.conf: cleanup
- update mainline reference:
patches.suse/net-bcmgenet-Add-BCM2711-support.patch
patches.suse/net-bcmgenet-Add-RGMII_RXID-support.patch
patches.suse/net-bcmgenet-Add-a-shutdown-callback.patch
patches.suse/net-bcmgenet-Avoid-touching-non-existent-interrupt.patch
patches.suse/net-bcmgenet-Fix-error-handling-on-IRQ-retrieval.patch
patches.suse/net-bcmgenet-Generate-a-random-MAC-if-none-is-valid.patch
patches.suse/net-bcmgenet-Refactor-register-access-in-bcmgenet_mi.patch
- commit fd0f02f
-------------------------------------------------------------------
Wed Nov 27 18:30:22 CET 2019 - nsaenzjulienne@suse.de
- PCI: brcmstb: add MSI capability (jsc#SLE-7772).
- PCI: brcmstb: add Broadcom STB PCIe host controller driver
(jsc#SLE-7772).
- supported.conf: Add pcie-brcmstb
- Update config files: build pcie-brcmstb as module
- linux/log2.h: Add roundup/rounddown_pow_two64() family of
functions (jsc#SLE-7772).
- dma-mapping: treat dev->bus_dma_mask as a DMA limit
(jsc#SLE-7772).
- dma-direct: exclude dma_direct_map_resource from the min_low_pfn
check (jsc#SLE-7772).
- dma-direct: avoid a forward declaration for phys_to_dma
(jsc#SLE-7772).
- dma-direct: unify the dma_capable definitions (jsc#SLE-7772).
- x86/PCI: sta2x11: use default DMA address translation
(jsc#SLE-7772).
- dma-direct: check for overflows on 32 bit DMA addresses
(jsc#SLE-7772).
- of: Make of_dma_get_range() work on bus nodes (jsc#SLE-7772).
- of/address: Fix of_pci_range_parser_one translation of DMA
addresses (jsc#SLE-7772).
- of/address: Translate 'dma-ranges' for parent nodes missing
'dma-ranges' (jsc#SLE-7772).
- of: Factor out #{addr,size}-cells parsing (jsc#SLE-7772).
- of: address: Follow DMA parent for "dma-coherent"
(jsc#SLE-7772).
- of/address: Introduce of_get_next_dma_parent() helper
(jsc#SLE-7772).
- PCI: rcar: Use inbound resources for setup (jsc#SLE-7772).
- PCI: iproc: Use inbound resources for setup (jsc#SLE-7772).
- PCI: xgene: Use inbound resources for setup (jsc#SLE-7772).
- PCI: v3-semi: Use inbound resources for setup (jsc#SLE-7772).
- PCI: ftpci100: Use inbound resources for setup (jsc#SLE-7772).
- PCI: of: Add inbound resource parsing to helpers (jsc#SLE-7772).
- PCI: versatile: Enable COMPILE_TEST (jsc#SLE-7772).
- PCI: versatile: Remove usage of PHYS_OFFSET (jsc#SLE-7772).
- PCI: versatile: Use pci_parse_request_of_pci_ranges()
(jsc#SLE-7772).
- PCI: xilinx-nwl: Use pci_parse_request_of_pci_ranges()
(jsc#SLE-7772).
- PCI: xilinx: Use pci_parse_request_of_pci_ranges()
(jsc#SLE-7772).
- PCI: xgene: Use pci_parse_request_of_pci_ranges()
(jsc#SLE-7772).
- PCI: v3-semi: Use pci_parse_request_of_pci_ranges()
(jsc#SLE-7772).
- PCI: rockchip: Drop storing driver private outbound resource
data (jsc#SLE-7772).
- PCI: rockchip: Use pci_parse_request_of_pci_ranges()
(jsc#SLE-7772).
- PCI: mobiveil: Use pci_parse_request_of_pci_ranges()
(jsc#SLE-7772).
- PCI: mediatek: Use pci_parse_request_of_pci_ranges()
(jsc#SLE-7772).
- PCI: iproc: Use pci_parse_request_of_pci_ranges()
(jsc#SLE-7772).
- PCI: faraday: Use pci_parse_request_of_pci_ranges()
(jsc#SLE-7772).
- PCI: dwc: Use pci_parse_request_of_pci_ranges() (jsc#SLE-7772).
- PCI: altera: Use pci_parse_request_of_pci_ranges()
(jsc#SLE-7772).
- PCI: aardvark: Use pci_parse_request_of_pci_ranges()
(jsc#SLE-7772).
- PCI: Export pci_parse_request_of_pci_ranges() (jsc#SLE-7772).
- resource: Add a resource_list_first_type helper (jsc#SLE-7772).
- commit 1c7ba00
-------------------------------------------------------------------
Tue Nov 26 10:19:06 CET 2019 - mbrugger@suse.com
- net: bcmgenet: Add RGMII_RXID support (jsc#SLE-7772).
- net: bcmgenet: Refactor register access in bcmgenet_mii_config (jsc#SLE-7772).
- net: bcmgenet: Fix error handling on IRQ retrieval (jsc#SLE-7772).
- net: bcmgenet: Avoid touching non-existent interrupt (jsc#SLE-7772).
- net: bcmgenet: Add BCM2711 support (jsc#SLE-7772).
- net: bcmgenet: Add a shutdown callback (jsc#SLE-7772).
- net: bcmgenet: Generate a random MAC if none is valid (jsc#SLE-7772).
- commit 9d632e7
-------------------------------------------------------------------
Mon Nov 25 10:07:09 CET 2019 - jslaby@suse.cz
- Refresh patches.suse/net-ath10k-Fix-a-NULL-ptr-deref-bug.patch.
Update upstream status.
- commit b33144f
-------------------------------------------------------------------
Mon Nov 25 10:06:24 CET 2019 - jslaby@suse.cz
- drm/amdgpu: Add DC feature mask to disable fractional pwm
(bsc#1154010).
- ata: make qc_prep return ata_completion_errors (bnc#1110252).
- ata: define AC_ERR_OK (bnc#1110252).
- ata: sata_mv, avoid trigerrable BUG_ON (bnc#1110252).
- libertas: fix a potential NULL pointer dereference
(CVE-2019-16232,bsc#1150465).
- commit 42674e2
-------------------------------------------------------------------
Mon Nov 25 09:39:22 CET 2019 - jslaby@suse.cz
- mm: refresh ZONE_DMA and ZONE_DMA32 comments in 'enum zone_type'
(jsc#SLE-7772).
Port all non-upstream patches from stable to master.
- arm64: use both ZONE_DMA and ZONE_DMA32 (jsc#SLE-7772).
- Update config files.
Config options taken from commit 4f2941cd44a2.
- arm64: rename variables used to calculate ZONE_DMA32's size
(jsc#SLE-7772).
- arm64: mm: use arm64_dma_phys_limit instead of calling
max_zone_dma_phys() (jsc#SLE-7772).
- arm64: dts: broadcom: Add reference to RPi 4 B (jsc#SLE-7772).
- ARM: dts: Add minimal Raspberry Pi 4 support (jsc#SLE-7772).
- ARM: bcm: Add support for BCM2711 SoC (jsc#SLE-7772).
- dt-bindings: arm: bcm2835: Add Raspberry Pi 4 to DT schema
(jsc#SLE-7772).
- dt-bindings: arm: Convert BCM2835 board/soc bindings to
json-schema (jsc#SLE-7772).
- ARM: dts: bcm283x: Move BCM2835/6/7 specific to
bcm2835-common.dtsi (jsc#SLE-7772).
- ARM: dts: bcm283x: Remove brcm, bcm2835-pl011 compatible
(jsc#SLE-7772).
- ARM: dts: bcm283x: Remove simple-bus from fixed clocks
(jsc#SLE-7772).
- bluetooth: hci_bcm: Fix RTS handling during startup
(jsc#SLE-7772).
- commit 19bad9e
-------------------------------------------------------------------
Mon Nov 25 09:30:17 CET 2019 - jslaby@suse.cz
- Refresh
patches.suse/V4-01-10-bluetooth-hci_bcm-Fix-RTS-handling-during-startup.patch.
- Refresh
patches.suse/V4-02-10-ARM-dts-bcm283x-Remove-simple-bus-from-fixed-clocks.patch.
- Refresh
patches.suse/V4-03-10-ARM-dts-bcm283x-Remove-brcm-bcm2835-pl011-compatible.patch.
- Refresh
patches.suse/V4-04-10-ARM-dts-bcm283x-Move-BCM2835-6-7-specific-to-bcm2835-common.dtsi.patch.
- Refresh
patches.suse/V4-05-10-dt-bindings-arm-Convert-BCM2835-board-soc-bindings-to-json-schema.patch.
- Refresh
patches.suse/V4-06-10-dt-bindings-arm-bcm2835-Add-Raspberry-Pi-4-to-DT-schema.patch.
- Refresh
patches.suse/V4-07-10-ARM-bcm-Add-support-for-BCM2711-SoC.patch.
- Refresh
patches.suse/V4-08-10-ARM-dts-Add-minimal-Raspberry-Pi-4-support.patch.
- Refresh
patches.suse/V4-09-10-arm64-dts-broadcom-Add-reference-to-RPi-4-B.patch.
- Refresh
patches.suse/v6-1-4-arm64-mm-use-arm64_dma_phys_limit-instead-of-calling-max_zone_dma_phys.patch.
- Refresh
patches.suse/v6-2-4-arm64-rename-variables-used-to-calculate-ZONE_DMA32-s-size.patch.
- Refresh
patches.suse/v6-3-4-arm64-use-both-ZONE_DMA-and-ZONE_DMA32.patch.
- Refresh
patches.suse/v6-4-4-mm-refresh-ZONE_DMA-and-ZONE_DMA32-comments-in-enum-zone_type.patch.
- Refresh
patches.suse/drm-amdgpu-Add-DC-feature-mask-to-disable-fractional.patch.
- Refresh patches.suse/net-ath10k-Fix-a-NULL-ptr-deref-bug.patch.
Update upsream status.
- commit 60a2268
-------------------------------------------------------------------
Mon Nov 25 08:10:04 CET 2019 - jslaby@suse.cz
- Refresh
patches.suse/0001-ARM-bcm283x-Reduce-register-ranges-for-UART-SPI-and-.patch.
- Refresh
patches.suse/0001-ARM-dts-bcm283x-Define-MMC-interfaces-at-board-level.patch.
- Refresh
patches.suse/0001-ARM-dts-bcm283x-Define-memory-at-board-level.patch.
- Refresh
patches.suse/0001-ARM-dts-bcm283x-Enable-HDMI-at-board-level.patch.
- Refresh
patches.suse/0001-clk-bcm2835-Add-BCM2711_CLOCK_EMMC2-support.patch.
- Refresh
patches.suse/0001-clk-bcm2835-Introduce-SoC-specific-clock-registratio.patch.
- Refresh
patches.suse/0001-clk-bcm2835-Mark-PLLD_PER-as-CRITICAL.patch.
- Refresh
patches.suse/0001-dt-bindings-bcm2835-cprman-Add-bcm2711-support.patch.
- Refresh
patches.suse/0001-dt-bindings-i2c-bcm2835-Add-brcm-bcm2711-compatible.patch.
- Refresh
patches.suse/0001-dt-bindings-mmc-sdhci-iproc-Add-brcm-bcm2711-emmc2.patch.
- Refresh
patches.suse/0001-i2c-bcm2835-Add-full-name-of-devicetree-node-to-adap.patch.
- Refresh
patches.suse/0001-i2c-bcm2835-Avoid-clk-stretch-quirk-for-BCM2711.patch.
- Refresh
patches.suse/0001-mmc-bcm2835-Take-SWIOTLB-memory-size-limitation-into.patch.
- Refresh
patches.suse/0001-mmc-sdhci-iproc-Add-support-for-emmc2-of-the-BCM2711.patch.
- Refresh
patches.suse/0001-pinctrl-bcm2835-Add-brcm-bcm2711-compatible.patch.
- Refresh
patches.suse/0001-pinctrl-bcm2835-Add-support-for-BCM2711-pull-up-func.patch.
- Refresh
patches.suse/V4-10-10-MAINTAINERS-Add-BCM2711-to-BCM2835-ARCH.patch.
- Refresh
patches.suse/v2-mmc-sdhci-iproc-fix-spurious-interrupts-on-Multiblock-reads-with-bcm2711.patch.
Update upstream status.
- commit 29acd1d
-------------------------------------------------------------------
Mon Nov 25 05:10:33 CET 2019 - mkubecek@suse.cz
- Update to 5.4 final
- refresh configs (only update headers)
- commit 4c9cd0f
-------------------------------------------------------------------
Sun Nov 24 21:41:27 CET 2019 - mkubecek@suse.cz
- config: disable SECURITY_DMESG_RESTRICT (bsc#1157066)
Enabling this option which restricts access to dmesg was not intentional
and happened accidentally as part of a commit aiming to enable features
which were enabled in SLE. We might restrict dmesg in the future if there
is a consensus but for now the proper action is to revert this accidental
change which only affected some architectures anyway.
- commit 5c1d459
-------------------------------------------------------------------
Thu Nov 21 08:46:18 CET 2019 - lpechacek@suse.com
- Add crypto modules required by tcrypt (FIPS)
References: bsc#1153192
- commit 0bc5cd1
-------------------------------------------------------------------
Thu Nov 21 08:21:20 CET 2019 - jslaby@suse.cz
- Linux 5.3.12 (bnc#1151927).
- slcan: Fix memory leak in error path (bnc#1151927).
- mmc: sdhci-of-at91: fix quirk2 overwrite (bnc#1151927).
- mm/page_io.c: do not free shared swap slots (bnc#1151927).
- mm/memory_hotplug: fix try_offline_node() (bnc#1151927).
- mm: slub: really fix slab walking for init_on_free
(bnc#1151927).
- mm: hugetlb: switch to css_tryget() in
hugetlb_cgroup_charge_cgroup() (bnc#1151927).
- mm: memcg: switch to css_tryget() in get_mem_cgroup_from_mm()
(bnc#1151927).
- mm: mempolicy: fix the wrong return value and potential pages
leak of mbind (bnc#1151927).
- iommu/vt-d: Fix QI_DEV_IOTLB_PFSID and QI_DEV_EIOTLB_PFSID
macros (bnc#1151927).
- net: ethernet: dwmac-sun8i: Use the correct function in exit
path (bnc#1151927).
- ntp/y2038: Remove incorrect time_t truncation (bnc#1151927).
- Revert "drm/i915/ehl: Update MOCS table for EHL" (bnc#1151927).
- drm/i915: update rawclk also on resume (bnc#1151927).
- io_uring: ensure registered buffer import returns the IO length
(bnc#1151927).
- ecryptfs_lookup_interpose(): lower_dentry->d_parent is not
stable either (bnc#1151927).
- ecryptfs_lookup_interpose(): lower_dentry->d_inode is not stable
(bnc#1151927).
- x86/quirks: Disable HPET on Intel Coffe Lake platforms
(bnc#1151927).
- i2c: acpi: Force bus speed to 400KHz if a Silead touchscreen
is present (bnc#1151927).
- IB/hfi1: Use a common pad buffer for 9B and 16B packets
(bnc#1151927).
- IB/hfi1: Ensure full Gen3 speed in a Gen4 system (bnc#1151927).
- IB/hfi1: TID RDMA WRITE should not return
IB_WC_RNR_RETRY_EXC_ERR (bnc#1151927).
- IB/hfi1: Calculate flow weight based on QP MTU for TID RDMA
(bnc#1151927).
- IB/hfi1: Ensure r_tid_ack is valid before building TID RDMA
ACK packet (bnc#1151927).
- KVM: MMU: Do not treat ZONE_DEVICE pages as being reserved
(bnc#1151927).
- Input: synaptics-rmi4 - destroy F54 poller workqueue when
removing (bnc#1151927).
- Input: synaptics-rmi4 - clear IRQ enables for F54 (bnc#1151927).
- Input: synaptics-rmi4 - do not consume more data than we have
(F11, F12) (bnc#1151927).
- Input: synaptics-rmi4 - disable the relative position IRQ in
the F12 driver (bnc#1151927).
- Input: synaptics-rmi4 - fix video buffer size (bnc#1151927).
- Input: ff-memless - kill timer in destroy() (bnc#1151927).
- cgroup: freezer: call cgroup_enter_frozen() with preemption
disabled in ptrace_stop() (bnc#1151927).
- Btrfs: fix log context list corruption after rename exchange
operation (bnc#1151927).
- ALSA: usb-audio: Fix incorrect size check for
processing/extension units (bnc#1151927).
- ALSA: usb-audio: Fix incorrect NULL check in
create_yamaha_midi_quirk() (bnc#1151927).
- ALSA: usb-audio: not submit urb for stopped endpoint
(bnc#1151927).
- ALSA: usb-audio: Fix missing error check at mixer resolution
test (bnc#1151927).
- net/smc: fix refcount non-blocking connect() -part 2
(bnc#1151927).
- devlink: Add method for time-stamp on reporter's dump
(bnc#1151927).
- dpaa2-eth: free already allocated channels on probe defer
(bnc#1151927).
- tcp: remove redundant new line from tcp_event_sk_skb
(bnc#1151927).
- slip: Fix memory leak in slip_open error path (bnc#1151927).
- net: usb: qmi_wwan: add support for Foxconn T77W968 LTE modules
(bnc#1151927).
- net/smc: fix fastopen for non-blocking connect() (bnc#1151927).
- net: gemini: add missed free_netdev (bnc#1151927).
- mlxsw: core: Enable devlink reload only on probe (bnc#1151927).
- ipmr: Fix skb headroom in ipmr_get_route() (bnc#1151927).
- devlink: disallow reload operation during device cleanup
(bnc#1151927).
- ax88172a: fix information leak on short answers (bnc#1151927).
- scsi: core: Handle drivers which set sg_tablesize to zero
(bnc#1151927).
- commit a6f6081
-------------------------------------------------------------------
Mon Nov 18 05:12:22 CET 2019 - mkubecek@suse.cz
- Update to 5.4-rc8
- refresh
patches.suse/vfs-add-super_operations-get_inode_dev
- update configs
- add X86_INTEL_TSX_MODE_OFF=y
- drop VBOXSF_FS (driver dropped for now)
- commit 97aef18
-------------------------------------------------------------------
Thu Nov 14 10:52:44 CET 2019 - guillaume.gardet@arm.com
- arm64: Enable CONFIG_IR_GPIO_CIR (boo#1156748)
- commit f47e51f
-------------------------------------------------------------------
Thu Nov 14 09:46:24 CET 2019 - mbrugger@suse.com
- arm64: Update config files.
Enable HW_RANDOM_OMAP used by machiattobin (bsc#1156466).
- commit 1402755
-------------------------------------------------------------------
Tue Nov 12 19:53:23 CET 2019 - jslaby@suse.cz
- Linux 5.3.11 (bnc#1151927).
- bonding: fix state transition issue in link monitoring
(bnc#1151927).
- CDC-NCM: handle incomplete transfer of MTU (bnc#1151927).
- ipv4: Fix table id reference in fib_sync_down_addr
(bnc#1151927).
- net: ethernet: octeon_mgmt: Account for second possible VLAN
header (bnc#1151927).
- net: fix data-race in neigh_event_send() (bnc#1151927).
- net: qualcomm: rmnet: Fix potential UAF when unregistering
(bnc#1151927).
- net/tls: fix sk_msg trim on fallback to copy mode (bnc#1151927).
- net: usb: qmi_wwan: add support for DW5821e with eSIM support
(bnc#1151927).
- NFC: fdp: fix incorrect free object (bnc#1151927).
- nfc: netlink: fix double device reference drop (bnc#1151927).
- NFC: st21nfca: fix double free (bnc#1151927).
- qede: fix NULL pointer deref in __qede_remove() (bnc#1151927).
- net: mscc: ocelot: don't handle netdev events for other netdevs
(bnc#1151927).
- net: mscc: ocelot: fix NULL pointer on LAG slave removal
(bnc#1151927).
- net/tls: don't pay attention to sk_write_pending when pushing
partial records (bnc#1151927).
- net/tls: add a TX lock (bnc#1151927).
- selftests/tls: add test for concurrent recv and send
(bnc#1151927).
- ipv6: fixes rt6_probe() and fib6_nh->last_probe init
(bnc#1151927).
- net: hns: Fix the stray netpoll locks causing deadlock in NAPI
path (bnc#1151927).
- net: prevent load/store tearing on sk->sk_stamp (bnc#1151927).
- net: sched: prevent duplicate flower rules from tcf_proto
destroy race (bnc#1151927).
- net/smc: fix ethernet interface refcounting (bnc#1151927).
- vsock/virtio: fix sock refcnt holding during the shutdown
(bnc#1151927).
- r8169: fix page read in r8168g_mdio_read (bnc#1151927).
- ALSA: timer: Fix incorrectly assigned timer instance
(bnc#1151927).
- ALSA: bebob: fix to detect configured source of sampling clock
for Focusrite Saffire Pro i/o series (bnc#1151927).
- mm: memcontrol: fix NULL-ptr deref in percpu stats flush
(bnc#1151927).
- mm: memcontrol: fix network errors from failing __GFP_ATOMIC
charges (bnc#1151927).
- mm, meminit: recalculate pcpu batch and high limits after init
completes (bnc#1151927).
- mm: thp: handle page cache THP correctly in PageTransCompoundMap
(bnc#1151927).
- mm, vmstat: hide /proc/pagetypeinfo from normal users
(bnc#1151927).
- dump_stack: avoid the livelock of the dump_lock (bnc#1151927).
- mm: slab: make page_cgroup_ino() to recognize non-compound
slab pages properly (bnc#1151927).
- btrfs: Consider system chunk array size for new SYSTEM chunks
(bnc#1151927).
- btrfs: tree-checker: Fix wrong check on max devid (bnc#1151927).
- btrfs: save i_size to avoid double evaluation of i_size_read
in compress_file_range (bnc#1151927).
- tools: gpio: Use !building_out_of_srctree to determine srctree
(bnc#1151927).
- pinctrl: intel: Avoid potential glitches if pin is in GPIO mode
(bnc#1151927).
- perf tools: Fix time sorting (bnc#1151927).
- perf map: Use zalloc for map_groups (bnc#1151927).
- drm/radeon: fix si_enable_smc_cac() failed issue (bnc#1151927).
- HID: wacom: generic: Treat serial number and related fields
as unsigned (bnc#1151927).
- mm/khugepaged: fix might_sleep() warn with CONFIG_HIGHPTE=y
(bnc#1151927).
- soundwire: depend on ACPI (bnc#1151927).
- soundwire: depend on ACPI || OF (bnc#1151927).
- soundwire: bus: set initial value to port_status (bnc#1151927).
- blkcg: make blkcg_print_stat() print stats only for online blkgs
(bnc#1151927).
- arm64: Do not mask out PTE_RDONLY in pte_same() (bnc#1151927).
- ASoC: rsnd: dma: fix SSI9 4/5/6/7 busif dma address
(bnc#1151927).
- ceph: fix use-after-free in __ceph_remove_cap() (bnc#1151927).
- ceph: fix RCU case handling in ceph_d_revalidate()
(bnc#1151927).
- ceph: add missing check in d_revalidate snapdir handling
(bnc#1151927).
- ceph: don't try to handle hashed dentries in non-O_CREAT
atomic_open (bnc#1151927).
- ceph: don't allow copy_file_range when stripe_count != 1
(bnc#1151927).
- iio: adc: stm32-adc: fix stopping dma (bnc#1151927).
- iio: imu: adis16480: make sure provided frequency is positive
(bnc#1151927).
- iio: imu: inv_mpu6050: fix no data on MPU6050 (bnc#1151927).
- iio: srf04: fix wrong limitation in distance measuring
(bnc#1151927).
- ARM: sunxi: Fix CPU powerdown on A83T (bnc#1151927).
- ARM: dts: imx6-logicpd: Re-enable SNVS power key (bnc#1151927).
- cpufreq: intel_pstate: Fix invalid EPB setting (bnc#1151927).
- clone3: validate stack arguments (bnc#1151927).
- netfilter: nf_tables: Align nft_expr private data to 64-bit
(bnc#1151927).
- netfilter: ipset: Fix an error code in ip_set_sockfn_get()
(bnc#1151927).
- intel_th: gth: Fix the window switching sequence (bnc#1151927).
- intel_th: pci: Add Comet Lake PCH support (bnc#1151927).
- intel_th: pci: Add Jasper Lake PCH support (bnc#1151927).
- x86/dumpstack/64: Don't evaluate exception stacks before setup
(bnc#1151927).
- x86/apic/32: Avoid bogus LDR warnings (bnc#1151927).
- SMB3: Fix persistent handles reconnect (bnc#1151927).
- can: usb_8dev: fix use-after-free on disconnect (bnc#1151927).
- can: flexcan: disable completely the ECC mechanism
(bnc#1151927).
- can: c_can: c_can_poll(): only read status register after
status IRQ (bnc#1151927).
- can: peak_usb: fix a potential out-of-sync while decoding
packets (bnc#1151927).
- can: rx-offload: can_rx_offload_queue_sorted(): fix error
handling, avoid skb mem leak (bnc#1151927).
- can: gs_usb: gs_can_open(): prevent memory leak (bnc#1151927).
- can: dev: add missing of_node_put() after calling
of_get_child_by_name() (bnc#1151927).
- can: mcba_usb: fix use-after-free on disconnect (bnc#1151927).
- can: peak_usb: fix slab info leak (bnc#1151927).
- configfs: fix a deadlock in configfs_symlink() (bnc#1151927).
- ALSA: usb-audio: More validations of descriptor units
(bnc#1151927).
- ALSA: usb-audio: Simplify parse_audio_unit() (bnc#1151927).
- ALSA: usb-audio: Unify the release of usb_mixer_elem_info
objects (bnc#1151927).
- ALSA: usb-audio: Remove superfluous bLength checks
(bnc#1151927).
- ALSA: usb-audio: Clean up check_input_term() (bnc#1151927).
- ALSA: usb-audio: Fix possible NULL dereference at
create_yamaha_midi_quirk() (bnc#1151927).
- ALSA: usb-audio: remove some dead code (bnc#1151927).
- ALSA: usb-audio: Fix copy&paste error in the validator
(bnc#1151927).
- usbip: Implement SG support to vhci-hcd and stub driver
(bnc#1151927).
- HID: google: add magnemite/masterball USB ids (bnc#1151927).
- dmaengine: sprd: Fix the link-list pointer register
configuration issue (bnc#1151927).
- bpf: lwtunnel: Fix reroute supplying invalid dst (bnc#1151927).
- dmaengine: xilinx_dma: Fix 64-bit simple AXIDMA transfer
(bnc#1151927).
- dmaengine: xilinx_dma: Fix control reg update in
vdma_channel_set_config (bnc#1151927).
- dmaengine: sprd: Fix the possible memory leak issue
(bnc#1151927).
- HID: intel-ish-hid: fix wrong error handling in
ishtp_cl_alloc_tx_ring() (bnc#1151927).
- powerpc/32s: fix allow/prevent_user_access() when crossing
segment boundaries (bnc#1151927).
- RDMA/mlx5: Clear old rate limit when closing QP (bnc#1151927).
- iw_cxgb4: fix ECN check on the passive accept (bnc#1151927).
- RDMA/siw: free siw_base_qp in kref release routine
(bnc#1151927).
- RDMA/qedr: Fix reported firmware version (bnc#1151927).
- IB/core: Use rdma_read_gid_l2_fields to compare GID L2 fields
(bnc#1151927).
- net/mlx5e: Tx, Fix assumption of single WQEBB of NOP in cleanup
flow (bnc#1151927).
- net/mlx5e: kTLS, Release reference on DUMPed fragments in
shutdown flow (bnc#1151927).
- net/mlx5e: TX, Fix consumer index of error cqe dump
(bnc#1151927).
- net/mlx5: prevent memory leak in mlx5_fpga_conn_create_cq
(bnc#1151927).
- net/mlx5: fix memory leak in mlx5_fw_fatal_reporter_dump
(bnc#1151927).
- selftests/bpf: More compatible nc options in test_tc_edt
(bnc#1151927).
- scsi: qla2xxx: fixup incorrect usage of host_byte (bnc#1151927).
- scsi: lpfc: Check queue pointer before use (bnc#1151927).
- scsi: ufs-bsg: Wake the device before sending raw upiu commands
(bnc#1151927).
- ARC: [plat-hsdk]: Enable on-board SPI NOR flash IC
(bnc#1151927).
- RDMA/uverbs: Prevent potential underflow (bnc#1151927).
- bpf: Fix use after free in subprog's jited symbol removal
(bnc#1151927).
- net: stmmac: Fix the problem of tso_xmit (bnc#1151927).
- net: openvswitch: free vport unless register_netdevice()
succeeds (bnc#1151927).
- scsi: lpfc: Honor module parameter lpfc_use_adisc (bnc#1151927).
- scsi: qla2xxx: Initialized mailbox to prevent driver load
failure (bnc#1151927).
- bpf: Fix use after free in bpf_get_prog_name (bnc#1151927).
- iwlwifi: pcie: fix PCI ID 0x2720 configs that should be soc
(bnc#1151927).
- iwlwifi: pcie: fix all 9460 entries for qnj (bnc#1151927).
- iwlwifi: pcie: 0x2720 is qu and 0x30DC is not (bnc#1151927).
- netfilter: nf_flow_table: set timeout before insertion into
hashes (bnc#1151927).
- drm/v3d: Fix memory leak in v3d_submit_cl_ioctl (bnc#1151927).
- xsk: Fix registration of Rx-only sockets (bnc#1151927).
- net: phy: smsc: LAN8740: add PHY_RST_AFTER_CLK_EN flag
(bnc#1151927).
- ipvs: don't ignore errors in case refcounting ip_vs module fails
(bnc#1151927).
- ipvs: move old_secure_tcp into struct netns_ipvs (bnc#1151927).
- netfilter: nft_payload: fix missing check for matching length
in offloads (bnc#1151927).
- RDMA/nldev: Skip counter if port doesn't match (bnc#1151927).
- bonding: fix unexpected IFF_BONDING bit unset (bnc#1151927).
- bonding: use dynamic lockdep key instead of subclass
(bnc#1151927).
- macsec: fix refcnt leak in module exit routine (bnc#1151927).
- virt_wifi: fix refcnt leak in module exit routine (bnc#1151927).
- scsi: sd: define variable dif as unsigned int instead of bool
(bnc#1151927).
- usb: dwc3: select CONFIG_REGMAP_MMIO (bnc#1151927).
- usb: fsl: Check memory resource before releasing it
(bnc#1151927).
- usb: gadget: udc: atmel: Fix interrupt storm in FIFO mode
(bnc#1151927).
- usb: gadget: composite: Fix possible double free memory bug
(bnc#1151927).
- usb: gadget: configfs: fix concurrent issue between composite
APIs (bnc#1151927).
- usb: dwc3: remove the call trace of USBx_GFLADJ (bnc#1151927).
- perf/x86/amd/ibs: Fix reading of the IBS OpData register and
thus precise RIP validity (bnc#1151927).
- perf/x86/amd/ibs: Handle erratum #420 only on the affected
CPU family (10h) (bnc#1151927).
- perf/x86/uncore: Fix event group support (bnc#1151927).
- USB: Skip endpoints with 0 maxpacket length (bnc#1151927).
- USB: ldusb: use unsigned size format specifiers (bnc#1151927).
- usbip: tools: Fix read_usb_vudc_device() error path handling
(bnc#1151927).
- RDMA/iw_cxgb4: Avoid freeing skb twice in arp failure case
(bnc#1151927).
- RDMA/hns: Prevent memory leaks of eq->buf_list (bnc#1151927).
- hwmon: (ina3221) Fix read timeout issue (bnc#1151927).
- scsi: qla2xxx: stop timer in shutdown path (bnc#1151927).
- sched/topology: Don't try to build empty sched domains
(bnc#1151927).
- sched/topology: Allow sched_asym_cpucapacity to be disabled
(bnc#1151927).
- nvme-multipath: fix possible io hang after ctrl reconnect
(bnc#1151927).
- net: hisilicon: Fix "Trying to free already-free IRQ"
(bnc#1151927).
- wimax: i2400: Fix memory leak in i2400m_op_rfkill_sw_toggle
(bnc#1151927).
- net: mscc: ocelot: fix vlan_filtering when enslaving to bridge
before link is up (bnc#1151927).
- net: mscc: ocelot: refuse to overwrite the port's native vlan
(bnc#1151927).
- iommu/amd: Apply the same IVRS IOAPIC workaround to Acer Aspire
A315-41 (bnc#1151927).
- mt76: dma: fix buffer unmap with non-linear skbs (bnc#1151927).
- drm/amdgpu/sdma5: do not execute 0-sized IBs (v2) (bnc#1151927).
- drm/sched: Set error to s_fence if HW job submission failed
(bnc#1151927).
- drm/amdgpu: If amdgpu_ib_schedule fails return back the error
(bnc#1151927).
- drm/amd/display: do not synchronize "drr" displays
(bnc#1151927).
- drm/amd/display: add 50us buffer as WA for pstate switch in
active (bnc#1151927).
- drm/amd/display: Passive DP->HDMI dongle detection fix
(bnc#1151927).
- dc.c:use kzalloc without test (bnc#1151927).
- SUNRPC: The TCP back channel mustn't disappear while requests
are outstanding (bnc#1151927).
- SUNRPC: The RDMA back channel mustn't disappear while requests
are outstanding (bnc#1151927).
- SUNRPC: Destroy the back channel when we destroy the host
transport (bnc#1151927).
- hv_netvsc: Fix error handling in netvsc_attach() (bnc#1151927).
- efi/tpm: Return -EINVAL when determining tpm final events log
size fails (bnc#1151927).
- efi: libstub/arm: Account for firmware reserved memory at the
base of RAM (bnc#1151927).
- x86, efi: Never relocate kernel below lowest acceptable address
(bnc#1151927).
- arm64: cpufeature: Enable Qualcomm Falkor errata 1009 for Kryo
(bnc#1151927).
- usb: dwc3: gadget: fix race when disabling ep with cancelled
xfers (bnc#1151927).
- arm64: apply ARM64_ERRATUM_845719 workaround for Brahma-B53 core
(bnc#1151927).
- arm64: Brahma-B53 is SSB and spectre v2 safe (bnc#1151927).
- arm64: apply ARM64_ERRATUM_843419 workaround for Brahma-B53 core
(bnc#1151927).
- NFSv4: Don't allow a cached open with a revoked delegation
(bnc#1151927).
- net: ethernet: arc: add the missed clk_disable_unprepare
(bnc#1151927).
- igb: Fix constant media auto sense switching when no cable is
connected (bnc#1151927).
- e1000: fix memory leaks (bnc#1151927).
- gve: Fixes DMA synchronization (bnc#1151927).
- ocfs2: protect extent tree in ocfs2_prepare_inode_for_write()
(bnc#1151927).
- pinctrl: cherryview: Fix irq_valid_mask calculation
(bnc#1151927).
- clk: imx8m: Use SYS_PLL1_800M as intermediate parent of CLK_ARM
(bnc#1151927).
- timekeeping/vsyscall: Update VDSO data unconditionally
(bnc#1151927).
- mm/filemap.c: don't initiate writeback if mapping has no dirty
pages (bnc#1151927).
- cgroup,writeback: don't switch wbs immediately on dead wbs if
the memcg is dead (bnc#1151927).
- ARM: dts: stm32: change joystick pinctrl definition on
stm32mp157c-ev1 (bnc#1151927).
- ASoC: SOF: Intel: hda-stream: fix the CONFIG_ prefix missing
(bnc#1151927).
- usbip: Fix free of unallocated memory in vhci tx (bnc#1151927).
- bonding: fix using uninitialized mode_lock (bnc#1151927).
- netfilter: ipset: Copy the right MAC address in hash:ip,mac
IPv6 sets (bnc#1151927).
- arm64: errata: Update stale comment (bnc#1151927).
- net/ibmvnic: unlock rtnl_lock in reset so linkwatch_event can
run (bnc#1151927).
- drm/i915: Rename gen7 cmdparser tables (bnc#1151927).
- drm/i915: Disable Secure Batches for gen6+ (bnc#1151927).
- drm/i915: Remove Master tables from cmdparser (bnc#1151927).
- drm/i915: Add support for mandatory cmdparsing (bnc#1151927).
- drm/i915: Support ro ppgtt mapped cmdparser shadow buffers
(bnc#1151927).
- drm/i915: Allow parsing of unsized batches (bnc#1151927).
- drm/i915: Add gen9 BCS cmdparsing (bnc#1151927).
- drm/i915/cmdparser: Use explicit goto for error paths
(bnc#1151927).
- drm/i915/cmdparser: Add support for backward jumps
(bnc#1151927).
- drm/i915/cmdparser: Ignore Length operands during command
matching (bnc#1151927).
- drm/i915: Lower RM timeout to avoid DSI hard hangs
(bnc#1151927).
- drm/i915/gen8+: Add RC6 CTX corruption WA (bnc#1151927).
- drm/i915/cmdparser: Fix jump whitelist clearing (bnc#1151927).
- x86/msr: Add the IA32_TSX_CTRL MSR (bnc#1151927).
- x86/cpu: Add a helper function x86_read_arch_cap_msr()
(bnc#1151927).
- x86/cpu: Add a "tsx=" cmdline option with TSX disabled by
default (bnc#1151927).
- x86/speculation/taa: Add mitigation for TSX Async Abort
(bnc#1151927).
- x86/speculation/taa: Add sysfs reporting for TSX Async Abort
(bnc#1151927).
- kvm/x86: Export MDS_NO=0 to guests when TSX is enabled
(bnc#1151927).
- x86/tsx: Add "auto" option to the tsx= cmdline parameter
(bnc#1151927).
- x86/speculation/taa: Add documentation for TSX Async Abort
(bnc#1151927).
- x86/tsx: Add config options to set tsx=on|off|auto
(bnc#1151927).
- x86/speculation/taa: Fix printing of TAA_MSG_SMT on IBRS_ALL
CPUs (bnc#1151927).
- x86/bugs: Add ITLB_MULTIHIT bug infrastructure (bnc#1151927).
- x86/cpu: Add Tremont to the cpu vulnerability whitelist
(bnc#1151927).
- cpu/speculation: Uninline and export CPU mitigations helpers
(bnc#1151927).
- Documentation: Add ITLB_MULTIHIT documentation (bnc#1151927).
- kvm: x86, powerpc: do not allow clearing largepages debugfs
entry (bnc#1151927).
- kvm: mmu: ITLB_MULTIHIT mitigation (bnc#1151927).
- kvm: Add helper function for creating VM worker threads
(bnc#1151927).
- kvm: x86: mmu: Recovery of shattered NX large pages
(bnc#1151927).
- Update config files.
Set X86_INTEL_TSX_MODE_OFF=y (the default)
- commit 0a195a8
-------------------------------------------------------------------
Mon Nov 11 12:47:33 CET 2019 - tiwai@suse.de
- usb: dwc3: pci: prevent memory leak in dwc3_pci_probe
(CVE-2019-18813,bsc#1156278).
- ASoC: SOF: Fix memory leak in sof_dfsentry_write
(CVE-2019-18812,bsc#1156277).
- commit 8a126f1
-------------------------------------------------------------------
Mon Nov 11 11:25:37 CET 2019 - jslaby@suse.cz
- Refresh
patches.suse/stacktrace-don-t-skip-first-entry-on-noncurrent-task.patch.
Update upstream status.
- commit baac78a
-------------------------------------------------------------------
Mon Nov 11 05:31:25 CET 2019 - mkubecek@suse.cz
- Update to 5.4-rc7
- eliminated 1 patch
- new config option
- VBOXSF_FS=m (x86 only)
- commit c59faac
-------------------------------------------------------------------
Sun Nov 10 18:24:05 CET 2019 - jslaby@suse.cz
- Linux 5.3.10 (bnc#1151927).
- usb: gadget: udc: core: Fix segfault if udc_bind_to_driver()
for pending driver fails (bnc#1151927).
- arm64: dts: ti: k3-am65-main: Fix gic-its node unit-address
(bnc#1151927).
- ASoC: pcm3168a: The codec does not support S32_LE (bnc#1151927).
- selftests/powerpc: Fix compile error on tlbie_test due to
newer gcc (bnc#1151927).
- selftests/powerpc: Add test case for tlbie vs mtpidr ordering
issue (bnc#1151927).
- CIFS: Fix retry mid list corruption on reconnects (bnc#1151927).
- platform/x86: pmc_atom: Add Siemens SIMATIC IPC227E to
critclk_systems DMI table (bnc#1151927).
- net/flow_dissector: switch to siphash (bnc#1151927).
- net: bcmgenet: reset 40nm EPHY on energy detect (bnc#1151927).
- net: phy: bcm7xxx: define soft_reset for 40nm EPHY
(bnc#1151927).
- net: netem: correct the parent's backlog when corrupted packet
was dropped (bnc#1151927).
- r8152: add device id for Lenovo ThinkPad USB-C Dock Gen 2
(bnc#1151927).
- net: usb: lan78xx: Connect PHY before registering MAC
(bnc#1151927).
- net: reorder 'struct net' fields to avoid false sharing
(bnc#1151927).
- net: netem: fix error path for corrupted GSO frames
(bnc#1151927).
- net: hns3: fix mis-counting IRQ vector numbers issue
(bnc#1151927).
- net: ensure correct skb->tstamp in various fragmenters
(bnc#1151927).
- net: dsa: fix switch tree list (bnc#1151927).
- net: dsa: b53: Do not clear existing mirrored port mask
(bnc#1151927).
- net: bcmgenet: don't set phydev->link from MAC (bnc#1151927).
- ipv4: fix IPSKB_FRAG_PMTU handling with fragmentation
(bnc#1151927).
- net/mlx5e: Fix handling of compressed CQEs in case of low NAPI
budget (bnc#1151927).
- net/mlx5e: Fix ethtool self test: link speed (bnc#1151927).
- r8169: fix wrong PHY ID issue with RTL8168dp (bnc#1151927).
- mlxsw: core: Unpublish devlink parameters during reload
(bnc#1151927).
- net/mlx5: Fix rtable reference leak (bnc#1151927).
- net/smc: fix refcounting for non-blocking connect()
(bnc#1151927).
- net/mlx5: Fix flow counter list auto bits struct (bnc#1151927).
- net/mlx5e: Initialize on stack link modes bitmap (bnc#1151927).
- net/mlx5e: Remove incorrect match criteria assignment line
(bnc#1151927).
- net/mlx5e: Determine source port properly for vlan push action
(bnc#1151927).
- rxrpc: Fix handling of last subpacket of jumbo packet
(bnc#1151927).
- net: phylink: Fix phylink_dbg() macro (bnc#1151927).
- keys: Fix memory leak in copy_net_ns (bnc#1151927).
- net/smc: keep vlan_id for SMC-R in smc_listen_work()
(bnc#1151927).
- net/smc: fix closing of fallback SMC sockets (bnc#1151927).
- selftests: fib_tests: add more tests for metric update
(bnc#1151927).
- ipv4: fix route update on metric change (bnc#1151927).
- net: add READ_ONCE() annotation in __skb_wait_for_more_packets()
(bnc#1151927).
- net: use skb_queue_empty_lockless() in busy poll contexts
(bnc#1151927).
- net: use skb_queue_empty_lockless() in poll() handlers
(bnc#1151927).
- udp: use skb_queue_empty_lockless() (bnc#1151927).
- net: add skb_queue_empty_lockless() (bnc#1151927).
- vxlan: check tun_info options_len properly (bnc#1151927).
- udp: fix data-race in udp_set_dev_scratch() (bnc#1151927).
- selftests: net: reuseport_dualstack: fix uninitalized parameter
(bnc#1151927).
- net: Zeroing the structure ethtool_wolinfo in ethtool_get_wol()
(bnc#1151927).
- net: usb: lan78xx: Disable interrupts before calling
generic_handle_irq() (bnc#1151927).
- net: rtnetlink: fix a typo fbd -> fdb (bnc#1151927).
- netns: fix GFP flags in rtnl_net_notifyid() (bnc#1151927).
- net/mlx4_core: Dynamically set guaranteed amount of counters
per VF (bnc#1151927).
- net: hisilicon: Fix ping latency when deal with high throughput
(bnc#1151927).
- net: fix sk_page_frag() recursion from memory reclaim
(bnc#1151927).
- net: ethernet: ftgmac100: Fix DMA coherency issue with SW
checksum (bnc#1151927).
- net: dsa: bcm_sf2: Fix IMP setup for port different than 8
(bnc#1151927).
- net: annotate lockless accesses to sk->sk_napi_id (bnc#1151927).
- net: annotate accesses to sk->sk_incoming_cpu (bnc#1151927).
- inet: stop leaking jiffies on the wire (bnc#1151927).
- erspan: fix the tun_info options_len check for erspan
(bnc#1151927).
- dccp: do not leak jiffies on the wire (bnc#1151927).
- cxgb4: request the TX CIDX updates to status page (bnc#1151927).
- cxgb4: fix panic when attaching to ULD fail (bnc#1151927).
- nbd: handle racing with error'ed out commands (bnc#1151927).
- nbd: protect cmd->status with cmd->lock (bnc#1151927).
- irqchip/sifive-plic: Skip contexts except supervisor in
plic_init() (bnc#1151927).
- cifs: Fix cifsInodeInfo lock_sem deadlock when reconnect occurs
(bnc#1151927).
- i2c: stm32f7: remove warning when compiling with W=1
(bnc#1151927).
- i2c: stm32f7: fix a race in slave mode with arbitration loss
irq (bnc#1151927).
- i2c: stm32f7: fix first byte to send in slave mode
(bnc#1151927).
- i2c: mt65xx: fix NULL ptr dereference (bnc#1151927).
- irqchip/gic-v3-its: Use the exact ITSList for VMOVP
(bnc#1151927).
- MIPS: bmips: mark exception vectors as char arrays
(bnc#1151927).
- of: unittest: fix memory leak in unittest_data_add
(bnc#1151927).
- ALSA: hda: Add Tigerlake/Jasperlake PCI ID (bnc#1151927).
- selftests: kvm: fix sync_regs_test with newer gccs
(bnc#1151927).
- selftests: kvm: vmx_set_nested_state_test: don't check for
VMX support twice (bnc#1151927).
- ARM: 8926/1: v7m: remove register save to stack before svc
(bnc#1151927).
- drm/komeda: Don't flush inactive pipes (bnc#1151927).
- i2c: aspeed: fix master pending state handling (bnc#1151927).
- ARM: dts: bcm2837-rpi-cm3: Avoid leds-gpio probing issue
(bnc#1151927).
- tracing: Fix "gfp_t" format for synthetic events (bnc#1151927).
- ASoC: SOF: control: return true when kcontrol values change
(bnc#1151927).
- ASoC: Intel: sof-rt5682: add a check for devm_clk_get
(bnc#1151927).
- scsi: hpsa: add missing hunks in reset-patch (bnc#1151927).
- scsi: target: core: Do not overwrite CDB byte 1 (bnc#1151927).
- drm/amdgpu: fix error handling in amdgpu_bo_list_create
(bnc#1151927).
- drm/amdgpu: fix potential VM faults (bnc#1151927).
- ARM: davinci: dm365: Fix McBSP dma_slave_map entry
(bnc#1151927).
- perf kmem: Fix memory leak in compact_gfp_flags() (bnc#1151927).
- 8250-men-mcb: fix error checking when get_num_ports returns
-ENODEV (bnc#1151927).
- perf c2c: Fix memory leak in build_cl_output() (bnc#1151927).
- perf tools: Fix resource leak of closedir() on the error paths
(bnc#1151927).
- arm64: dts: imx8mm: Use correct clock for usdhc's ipg clk
(bnc#1151927).
- arm64: dts: imx8mq: Use correct clock for usdhc's ipg clk
(bnc#1151927).
- ARM: dts: imx7s: Correct GPT's ipg clock source (bnc#1151927).
- ARM: dts: vf610-zii-scu4-aib: Specify 'i2c-mux-idle-disconnect'
(bnc#1151927).
- ARM: dts: imx6q-logicpd: Re-Enable SNVS power key (bnc#1151927).
- arm64: dts: lx2160a: Correct CPU core idle state name
(bnc#1151927).
- arm64: dts: rockchip: Fix usb-c on Hugsun X99 TV Box
(bnc#1151927).
- arm64: dts: rockchip: fix RockPro64 sdmmc settings
(bnc#1151927).
- ARM: 8914/1: NOMMU: Fix exc_ret for XIP (bnc#1151927).
- ARM: 8908/1: add __always_inline to functions called from
__get_user_check() (bnc#1151927).
- scsi: fix kconfig dependency warning related to 53C700_LE_ON_BE
(bnc#1151927).
- scsi: sni_53c710: fix compilation error (bnc#1151927).
- scsi: scsi_dh_alua: handle RTPG sense code correctly during
state transitions (bnc#1151927).
- scsi: qla2xxx: fix a potential NULL pointer dereference
(bnc#1151927).
- ARM: mm: fix alignment handler faults under memory pressure
(bnc#1151927).
- ARM: dts: Use level interrupt for omap4 & 5 wlcore
(bnc#1151927).
- ASoC: simple_card_utils.h: Fix potential multiple redefinition
error (bnc#1151927).
- ASoC: msm8916-wcd-digital: add missing MIX2 path for RX1/2
(bnc#1151927).
- ARM: dts: am3874-iceboard: Fix 'i2c-mux-idle-disconnect' usage
(bnc#1151927).
- arm64: dts: zii-ultra: fix ARM regulator states (bnc#1151927).
- pinctrl: stmfx: fix null pointer on remove (bnc#1151927).
- pinctrl: ns2: Fix off by one bugs in ns2_pinmux_enable()
(bnc#1151927).
- arm64: dts: rockchip: fix RockPro64 sdhci settings
(bnc#1151927).
- arm64: dts: rockchip: fix RockPro64 vdd-log regulator settings
(bnc#1151927).
- ARM: dts: logicpd-torpedo-som: Remove twl_keypad (bnc#1151927).
- arm64: dts: rockchip: fix Rockpro64 RK808 interrupt line
(bnc#1151927).
- ASoc: rockchip: i2s: Fix RPM imbalance (bnc#1151927).
- ASoC: wm_adsp: Don't generate kcontrols without READ flags
(bnc#1151927).
- regulator: pfuze100-regulator: Variable "val" in
pfuze100_regulator_probe() could be uninitialized (bnc#1151927).
- ASoC: intel: bytcr_rt5651: add null check to
support_button_press (bnc#1151927).
- ASoC: intel: sof_rt5682: add remove function to disable jack
(bnc#1151927).
- ASoC: rt5682: add NULL handler to set_jack function
(bnc#1151927).
- ASoC: SOF: Intel: hda: Disable DMI L1 entry during capture
(bnc#1151927).
- Update config files.
CONFIG_SND_SOC_SOF_HDA_ALWAYS_ENABLE_DMI_L1=n as per default.
- ASoC: SOF: Intel: initialise and verify FW crash dump data
(bnc#1151927).
- ASoC: SOF: Intel: hda: fix warnings during FW load
(bnc#1151927).
- ASoC: SOF: topology: fix parse fail issue for byte/bool tuple
types (bnc#1151927).
- ASoC: SOF: loader: fix kernel oops on firmware boot failure
(bnc#1151927).
- pinctrl: intel: Allocate IRQ chip dynamic (bnc#1151927).
- regulator: ti-abb: Fix timeout in
ti_abb_wait_txdone/ti_abb_clear_all_txdone (bnc#1151927).
- arm64: dts: Fix gpio to pinmux mapping (bnc#1151927).
- arm64: dts: allwinner: a64: sopine-baseboard: Add PHY regulator
delay (bnc#1151927).
- arm64: dts: allwinner: a64: Drop PMU node (bnc#1151927).
- arm64: dts: allwinner: a64: pine64-plus: Add PHY regulator delay
(bnc#1151927).
- ASoC: topology: Fix a signedness bug in
soc_tplg_dapm_widget_create() (bnc#1151927).
- regulator: da9062: fix suspend_enable/disable preparation
(bnc#1151927).
- ASoC: wm8994: Do not register inapplicable controls for WM1811
(bnc#1151927).
- ASoC: samsung: arndale: Add missing OF node dereferencing
(bnc#1151927).
- regulator: of: fix suspend-min/max-voltage parsing
(bnc#1151927).
- commit eedf3c5
-------------------------------------------------------------------
Fri Nov 8 15:28:46 CET 2019 - tiwai@suse.de
- fjes: Handle workqueue allocation failure
(CVE-2019-16231,bsc#1150466).
- commit 3bf0c5a
-------------------------------------------------------------------
Thu Nov 7 08:06:36 CET 2019 - jslaby@suse.cz
- Linux 5.3.9 (bnc#11519).
- io_uring: fix up O_NONBLOCK handling for sockets (bnc#1151927).
- dm snapshot: introduce account_start_copy() and
account_end_copy() (bnc#1151927).
- dm snapshot: rework COW throttling to fix deadlock
(bnc#1151927).
- Btrfs: fix inode cache block reserve leak on failure to allocate
data space (bnc#1151927).
- btrfs: qgroup: Always free PREALLOC META reserve in
btrfs_delalloc_release_extents() (bnc#1151927).
- iio: adc: meson_saradc: Fix memory allocation order
(bnc#1151927).
- iio: fix center temperature of bmc150-accel-core (bnc#1151927).
- libsubcmd: Make _FORTIFY_SOURCE defines dependent on the feature
(bnc#1151927).
- perf tests: Avoid raising SEGV using an obvious NULL dereference
(bnc#1151927).
- perf map: Fix overlapped map handling (bnc#1151927).
- perf script brstackinsn: Fix recovery from LBR/binary mismatch
(bnc#1151927).
- perf jevents: Fix period for Intel fixed counters (bnc#1151927).
- perf tools: Propagate get_cpuid() error (bnc#1151927).
- perf annotate: Propagate perf_env__arch() error (bnc#1151927).
- perf annotate: Fix the signedness of failure returns
(bnc#1151927).
- perf annotate: Propagate the symbol__annotate() error return
(bnc#1151927).
- perf annotate: Fix arch specific ->init() failure errors
(bnc#1151927).
- perf annotate: Return appropriate error code for allocation
failures (bnc#1151927).
- perf annotate: Don't return -1 for error when doing BPF
disassembly (bnc#1151927).
- staging: rtl8188eu: fix null dereference when kzalloc fails
(bnc#1151927).
- RDMA/siw: Fix serialization issue in write_space()
(bnc#1151927).
- RDMA/hfi1: Prevent memory leak in sdma_init (bnc#1151927).
- RDMA/iw_cxgb4: fix SRQ access from dump_qp() (bnc#1151927).
- RDMA/iwcm: Fix a lock inversion issue (bnc#1151927).
- HID: hyperv: Use in-place iterator API in the channel callback
(bnc#1151927).
- kselftest: exclude failed TARGETS from runlist (bnc#1151927).
- selftests/kselftest/runner.sh: Add 45 second timeout per test
(bnc#1151927).
- nfs: Fix nfsi->nrequests count error on nfs_inode_remove_request
(bnc#1151927).
- arm64: cpufeature: Effectively expose FRINT capability to
userspace (bnc#1151927).
- arm64: Fix incorrect irqflag restore for priority masking for
compat (bnc#1151927).
- arm64: ftrace: Ensure synchronisation in PLT setup for
Neoverse-N1 #1542419 (bnc#1151927).
- tty: serial: owl: Fix the link time qualifier of
'owl_uart_exit()' (bnc#1151927).
- tty: serial: rda: Fix the link time qualifier of
'rda_uart_exit()' (bnc#1151927).
- serial/sifive: select SERIAL_EARLYCON (bnc#1151927).
- tty: n_hdlc: fix build on SPARC (bnc#1151927).
- misc: fastrpc: prevent memory leak in fastrpc_dma_buf_attach
(bnc#1151927).
- RDMA/core: Fix an error handling path in 'res_get_common_doit()'
(bnc#1151927).
- RDMA/cm: Fix memory leak in cm_add/remove_one (bnc#1151927).
- RDMA/nldev: Reshuffle the code to avoid need to rebind QP in
error path (bnc#1151927).
- RDMA/mlx5: Do not allow rereg of a ODP MR (bnc#1151927).
- RDMA/mlx5: Order num_pending_prefetch properly with
synchronize_srcu (bnc#1151927).
- RDMA/mlx5: Add missing synchronize_srcu() for MW cases
(bnc#1151927).
- gpio: max77620: Use correct unit for debounce times
(bnc#1151927).
- fs: cifs: mute -Wunused-const-variable message (bnc#1151927).
- arm64: vdso32: Fix broken compat vDSO build warnings
(bnc#1151927).
- arm64: vdso32: Detect binutils support for dmb ishld
(bnc#1151927).
- serial: mctrl_gpio: Check for NULL pointer (bnc#1151927).
- serial: 8250_omap: Fix gpio check for auto RTS/CTS
(bnc#1151927).
- arm64: Default to building compat vDSO with clang when
CONFIG_CC_IS_CLANG (bnc#1151927).
- arm64: vdso32: Don't use KBUILD_CPPFLAGS unconditionally
(bnc#1151927).
- efi/cper: Fix endianness of PCIe class code (bnc#1151927).
- efi/x86: Do not clean dummy variable in kexec path
(bnc#1151927).
- MIPS: include: Mark __cmpxchg as __always_inline (bnc#1151927).
- riscv: avoid kernel hangs when trapped in BUG() (bnc#1151927).
- riscv: avoid sending a SIGTRAP to a user thread trapped in
WARN() (bnc#1151927).
- riscv: Correct the handling of unexpected ebreak in
do_trap_break() (bnc#1151927).
- x86/xen: Return from panic notifier (bnc#1151927).
- ocfs2: clear zero in unaligned direct IO (bnc#1151927).
- fs: ocfs2: fix possible null-pointer dereferences in
ocfs2_xa_prepare_entry() (bnc#1151927).
- fs: ocfs2: fix a possible null-pointer dereference in
ocfs2_write_end_nolock() (bnc#1151927).
- fs: ocfs2: fix a possible null-pointer dereference in
ocfs2_info_scan_inode_alloc() (bnc#1151927).
- btrfs: silence maybe-uninitialized warning in clone_range
(bnc#1151927).
- arm64: armv8_deprecated: Checking return value for memory
allocation (bnc#1151927).
- x86/cpu: Add Comet Lake to the Intel CPU models header
(bnc#1151927).
- sched/fair: Scale bandwidth quota and period without losing
quota/period ratio precision (bnc#1151927).
- sched/vtime: Fix guest/system mis-accounting on task switch
(bnc#1151927).
- perf/core: Rework memory accounting in perf_mmap()
(bnc#1151927).
- perf/core: Fix corner case in perf_rotate_context()
(bnc#1151927).
- perf/x86/amd: Change/fix NMI latency mitigation to use a
timestamp (bnc#1151927).
- drm/amdgpu: fix memory leak (bnc#1151927).
- iio: imu: adis16400: release allocated memory on failure
(bnc#1151927).
- iio: imu: adis16400: fix memory leak (bnc#1151927).
- iio: imu: st_lsm6dsx: fix waitime for st_lsm6dsx i2c controller
(bnc#1151927).
- MIPS: include: Mark __xchg as __always_inline (bnc#1151927).
- MIPS: fw: sni: Fix out of bounds init of o32 stack
(bnc#1151927).
- s390/cio: fix virtio-ccw DMA without PV (bnc#1151927).
- virt: vbox: fix memory leak in hgcm_call_preprocess_linaddr
(bnc#1151927).
- nbd: fix possible sysfs duplicate warning (bnc#1151927).
- NFSv4: Fix leak of clp->cl_acceptor string (bnc#1151927).
- SUNRPC: fix race to sk_err after xs_error_report (bnc#1151927).
- s390/uaccess: avoid (false positive) compiler warnings
(bnc#1151927).
- tracing: Initialize iter->seq after zeroing in
tracing_read_pipe() (bnc#1151927).
- perf annotate: Fix multiple memory and file descriptor leaks
(bnc#1151927).
- perf/aux: Fix tracking of auxiliary trace buffer allocation
(bnc#1151927).
- USB: legousbtower: fix a signedness bug in tower_probe()
(bnc#1151927).
- nbd: verify socket is supported during setup (bnc#1151927).
- arm64: dts: qcom: Add Lenovo Miix 630 (bnc#1151927).
- arm64: dts: qcom: Add HP Envy x2 (bnc#1151927).
- arm64: dts: qcom: Add Asus NovaGo TP370QL (bnc#1151927).
- rtw88: Fix misuse of GENMASK macro (bnc#1151927).
- s390/pci: fix MSI message data (bnc#1151927).
- thunderbolt: Correct path indices for PCIe tunnel (bnc#1151927).
- thunderbolt: Use 32-bit writes when writing ring
producer/consumer (bnc#1151927).
- fuse: flush dirty data/metadata before non-truncate setattr
(bnc#1151927).
- fuse: truncate pending writes on O_TRUNC (bnc#1151927).
- ALSA: bebob: Fix prototype of helper function to return negative
value (bnc#1151927).
- ALSA: timer: Fix mutex deadlock at releasing card (bnc#1151927).
- ALSA: hda/realtek - Fix 2 front mics of codec 0x623
(bnc#1151927).
- ALSA: hda/realtek - Add support for ALC623 (bnc#1151927).
- ath10k: fix latency issue for QCA988x (bnc#1151927).
- UAS: Revert commit 3ae62a42090f ("UAS: fix alignment of
scatter/gather segments") (bnc#1151927).
- nl80211: fix validation of mesh path nexthop (bnc#1151927).
- USB: gadget: Reject endpoints with 0 maxpacket value
(bnc#1151927).
- usb-storage: Revert commit 747668dbc061 ("usb-storage: Set
virt_boundary_mask to avoid SG overflows") (bnc#1151927).
- USB: ldusb: fix ring-buffer locking (bnc#1151927).
- USB: ldusb: fix control-message timeout (bnc#1151927).
- usb: xhci: fix Immediate Data Transfer endianness (bnc#1151927).
- usb: xhci: fix __le32/__le64 accessors in debugfs code
(bnc#1151927).
- USB: serial: whiteheat: fix potential slab corruption
(bnc#1151927).
- USB: serial: whiteheat: fix line-speed endianness (bnc#1151927).
- xhci: Fix use-after-free regression in xhci clear hub TT
implementation (bnc#1151927).
- scsi: qla2xxx: Fix partial flash write of MBI (bnc#1151927).
- scsi: target: cxgbit: Fix cxgbit_fw4_ack() (bnc#1151927).
- HID: i2c-hid: add Trekstor Primebook C11B to descriptor override
(bnc#1151927).
- HID: Fix assumption that devices have inputs (bnc#1151927).
- HID: fix error message in hid_open_report() (bnc#1151927).
- HID: logitech-hidpp: split g920_get_config() (bnc#1151927).
- HID: logitech-hidpp: rework device validation (bnc#1151927).
- HID: logitech-hidpp: do all FF cleanup in hidpp_ff_destroy()
(bnc#1151927).
- um-ubd: Entrust re-queue to the upper layers (bnc#1151927).
- s390/unwind: fix mixing regs and sp (bnc#1151927).
- s390/cmm: fix information leak in cmm_timeout_handler()
(bnc#1151927).
- s390/idle: fix cpu idle time calculation (bnc#1151927).
- ARC: perf: Accommodate big-endian CPU (bnc#1151927).
- IB/hfi1: Avoid excessive retry for TID RDMA READ request
(bnc#1151927).
- arm64: Ensure VM_WRITE|VM_SHARED ptes are clean by default
(bnc#1151927).
- arm64: cpufeature: Enable Qualcomm Falkor/Kryo errata 1003
(bnc#1151927).
- virtio_ring: fix stalls for packed rings (bnc#1151927).
- rtlwifi: rtl_pci: Fix problem of too small skb->len
(bnc#1151927).
- KVM: vmx, svm: always run with EFER.NXE=1 when shadow paging
is active (bnc#1151927).
- dmaengine: qcom: bam_dma: Fix resource leak (bnc#1151927).
- dmaengine: tegra210-adma: fix transfer failure (bnc#1151927).
- dmaengine: imx-sdma: fix size check for sdma script_number
(bnc#1151927).
- dmaengine: cppi41: Fix cppi41_dma_prep_slave_sg() when idle
(bnc#1151927).
- drm/amdgpu/gmc10: properly set BANK_SELECT and FRAGMENT_SIZE
(bnc#1151927).
- drm/i915: Fix PCH reference clock for FDI on HSW/BDW
(bnc#1151927).
- drm/amdgpu/gfx10: update gfx golden settings (bnc#1151927).
- drm/amdgpu/powerplay/vega10: allow undervolting in p7
(bnc#1151927).
- drm/amdgpu: Fix SDMA hang when performing VKexample test
(bnc#1151927).
- NFS: Fix an RCU lock leak in nfs4_refresh_delegation_stateid()
(bnc#1151927).
- io_uring: ensure we clear io_kiocb->result before each issue
(bnc#1151927).
- iommu/vt-d: Fix panic after kexec -p for kdump (bnc#1151927).
- batman-adv: Avoid free/alloc race when handling OGM buffer
(bnc#1151927).
- llc: fix sk_buff leak in llc_sap_state_process() (bnc#1151927).
- llc: fix sk_buff leak in llc_conn_service() (bnc#1151927).
- rxrpc: Fix call ref leak (bnc#1151927).
- rxrpc: rxrpc_peer needs to hold a ref on the rxrpc_local record
(bnc#1151927).
- rxrpc: Fix trace-after-put looking at the put peer record
(bnc#1151927).
- NFC: pn533: fix use-after-free and memleaks (bnc#1151927).
- bonding: fix potential NULL deref in bond_update_slave_arr
(bnc#1151927).
- netfilter: conntrack: avoid possible false sharing
(bnc#1151927).
- net: usb: sr9800: fix uninitialized local variable
(bnc#1151927).
- sch_netem: fix rcu splat in netem_enqueue() (bnc#1151927).
- net: sched: sch_sfb: don't call qdisc_put() while holding tree
lock (bnc#1151927).
- iwlwifi: exclude GEO SAR support for 3168 (bnc#1151927).
- sched/fair: Fix low cpu usage with high throttling by removing
expiration of cpu-local slices (bnc#1151927).
- ALSA: usb-audio: DSD auto-detection for Playback Designs
(bnc#1151927).
- ALSA: usb-audio: Update DSD support quirks for Oppo and Rotel
(bnc#1151927).
- ALSA: usb-audio: Add DSD support for Gustard U16/X26 USB
Interface (bnc#1151927).
- RDMA/mlx5: Use irq xarray locking for mkey_table (bnc#1151927).
- sched/fair: Fix -Wunused-but-set-variable warnings
(bnc#1151927).
- powerpc/powernv: Fix CPU idle to be called with IRQs disabled
(bnc#1151927).
- Revert "nvme: allow 64-bit results in passthru commands"
(bnc#1151927).
- Revert "ALSA: hda: Flush interrupts on disabling" (bnc#1151927).
- commit b0d4923
-------------------------------------------------------------------
Wed Nov 6 12:09:42 CET 2019 - tiwai@suse.de
- rpm/kernel-source.spec.in: Fix dependency of kernel-devel (bsc#1154043)
- commit ceb9273
-------------------------------------------------------------------
Wed Nov 6 11:56:45 CET 2019 - afaerber@suse.com
- config: armv7hl: Update to 5.4-rc6
- commit be38a7b
-------------------------------------------------------------------
Wed Nov 6 11:07:07 CET 2019 - jslaby@suse.cz
- rpm/kernel-binary.spec.in: add COMPRESS_VMLINUX (bnc#1155921)
Let COMPRESS_VMLINUX determine the compression used for vmlinux. By
default (historically), it is gz.
- commit c8b2d9f
-------------------------------------------------------------------
Wed Nov 6 09:45:34 CET 2019 - mkubecek@suse.cz
- config: refresh armv6hl/vanilla
- commit 152052e
-------------------------------------------------------------------
Tue Nov 5 16:35:34 CET 2019 - afaerber@suse.com
- config: armv6hl: Update to 5.4-rc6
- commit e45bb5a
-------------------------------------------------------------------
Tue Nov 5 14:55:44 CET 2019 - tiwai@suse.de
- ALSA: hda/ca0132 - Fix possible workqueue stall (bsc#1155836).
- commit 98ead79
-------------------------------------------------------------------
Tue Nov 5 13:53:10 CET 2019 - mbrugger@suse.com
- rpm/mkspec-dtb: add mt76 based dtb package
- commit 8ff92d0
-------------------------------------------------------------------
Tue Nov 5 09:07:56 CET 2019 - jslaby@suse.cz
- stacktrace: Don't skip first entry on noncurrent tasks
(bnc#1154866).
- commit 897b65b
-------------------------------------------------------------------
Tue Nov 5 09:02:35 CET 2019 - jslaby@suse.cz
- stacktrace: Don't skip first entry on noncurrent tasks
(bnc#1154866).
Update upstream status.
- commit f4d9b5e
-------------------------------------------------------------------
Mon Nov 4 14:29:51 CET 2019 - msuchanek@suse.de
- rpm/kernel-subpackage-spec: Mention debuginfo in the subpackage
description (bsc#1149119).
- commit 525ec92
-------------------------------------------------------------------
Mon Nov 4 10:45:31 CET 2019 - jslaby@suse.cz
- ata: make qc_prep return ata_completion_errors (bnc#1110252).
- ata: define AC_ERR_OK (bnc#1110252).
- ata: sata_mv, avoid trigerrable BUG_ON (bnc#1110252).
- commit 8bf663b
-------------------------------------------------------------------
Mon Nov 4 05:13:36 CET 2019 - mkubecek@suse.cz
- Update to 5.4-rc6
- eliminated 1 patch
- refresh configs
- commit 816e8ae
-------------------------------------------------------------------
Thu Oct 31 18:53:53 CET 2019 - afaerber@suse.de
- config: arm64: Re-enable default flavor, too
- commit c8cf7fe
-------------------------------------------------------------------
Wed Oct 30 08:18:53 CET 2019 - mkubecek@suse.cz
- config: refresh also arm64/vanilla
- commit a48c425
-------------------------------------------------------------------
Wed Oct 30 08:12:05 CET 2019 - jslaby@suse.cz
- Refresh
patches.suse/stacktrace-don-t-skip-first-entry-on-noncurrent-task.patch.
v3 of the patch
- commit ea4c828
-------------------------------------------------------------------
Wed Oct 30 06:49:32 CET 2019 - mkubecek@suse.cz
- config: refresh
- commit cb090f9
-------------------------------------------------------------------
Tue Oct 29 23:27:58 CET 2019 - afaerber@suse.de
- config: arm64: Update to 5.4-rc5
- commit 22182c8
-------------------------------------------------------------------
Tue Oct 29 10:02:07 CET 2019 - jslaby@suse.cz
- Linux 5.3.8 (bnc#1151927).
- drm: Free the writeback_job when it with an empty fb
(bnc#1151927).
- drm: Clear the fence pointer when writeback job signaled
(bnc#1151927).
- clk: ti: dra7: Fix mcasp8 clock bits (bnc#1151927).
- ARM: dts: Fix wrong clocks for dra7 mcasp (bnc#1151927).
- nvme-pci: Fix a race in controller removal (bnc#1151927).
- scsi: ufs: skip shutdown if hba is not powered (bnc#1151927).
- scsi: megaraid: disable device when probe failed after enabled
device (bnc#1151927).
- scsi: qla2xxx: Silence fwdump template message (bnc#1151927).
- scsi: qla2xxx: Fix unbound sleep in fcport delete path
(bnc#1151927).
- scsi: qla2xxx: Fix stale mem access on driver unload
(bnc#1151927).
- scsi: qla2xxx: Fix N2N link reset (bnc#1151927).
- scsi: qla2xxx: Fix N2N link up fail (bnc#1151927).
- ARM: dts: Fix gpio0 flags for am335x-icev2 (bnc#1151927).
- ARM: OMAP2+: Fix missing reset done flag for am3 and am43
(bnc#1151927).
- ARM: OMAP2+: Add missing LCDC midlemode for am335x
(bnc#1151927).
- ARM: OMAP2+: Fix warnings with broken omap2_set_init_voltage()
(bnc#1151927).
- nvme-tcp: fix wrong stop condition in io_work (bnc#1151927).
- nvme-pci: Save PCI state before putting drive into deepest state
(bnc#1151927).
- nvme: fix an error code in nvme_init_subsystem() (bnc#1151927).
- nvme-rdma: Fix max_hw_sectors calculation (bnc#1151927).
- Added QUIRKs for ADATA XPG SX8200 Pro 512GB (bnc#1151927).
- nvme: Add quirk for Kingston NVME SSD running FW E8FK11.T
(bnc#1151927).
- nvme: allow 64-bit results in passthru commands (bnc#1151927).
- drm/komeda: prevent memory leak in komeda_wb_connector_add
(bnc#1151927).
- nvme-rdma: fix possible use-after-free in connect timeout
(bnc#1151927).
- blk-mq: honor IO scheduler for multiqueue devices (bnc#1151927).
- ieee802154: ca8210: prevent memory leak (bnc#1151927).
- ARM: dts: am4372: Set memory bandwidth limit for DISPC
(bnc#1151927).
- net: dsa: qca8k: Use up to 7 ports for all operations
(bnc#1151927).
- MIPS: dts: ar9331: fix interrupt-controller size (bnc#1151927).
- xen/efi: Set nonblocking callbacks (bnc#1151927).
- loop: change queue block size to match when using DIO
(bnc#1151927).
- nl80211: fix null pointer dereference (bnc#1151927).
- mac80211: fix txq null pointer dereference (bnc#1151927).
- netfilter: nft_connlimit: disable bh on garbage collection
(bnc#1151927).
- net: mscc: ocelot: add missing of_node_put after calling
of_get_child_by_name (bnc#1151927).
- net: dsa: rtl8366rb: add missing of_node_put after calling
of_get_child_by_name (bnc#1151927).
- net: stmmac: xgmac: Not all Unicast addresses may be available
(bnc#1151927).
- net: stmmac: dwmac4: Always update the MAC Hash Filter
(bnc#1151927).
- net: stmmac: Correctly take timestamp for PTPv2 (bnc#1151927).
- net: stmmac: Do not stop PHY if WoL is enabled (bnc#1151927).
- net: ag71xx: fix mdio subnode support (bnc#1151927).
- RISC-V: Clear load reservations while restoring hart contexts
(bnc#1151927).
- riscv: Fix memblock reservation for device tree blob
(bnc#1151927).
- drm/amdgpu: fix multiple memory leaks in acp_hw_init
(bnc#1151927).
- drm/amd/display: memory leak (bnc#1151927).
- mips: Loongson: Fix the link time qualifier of 'serial_exit()'
(bnc#1151927).
- net: hisilicon: Fix usage of uninitialized variable in function
mdio_sc_cfg_reg_write() (bnc#1151927).
- net: stmmac: Avoid deadlock on suspend/resume (bnc#1151927).
- selftests: kvm: Fix libkvm build error (bnc#1151927).
- lib: textsearch: fix escapes in example code (bnc#1151927).
- s390/mm: fix -Wunused-but-set-variable warnings (bnc#1151927).
- r8152: Set macpassthru in reset_resume callback (bnc#1151927).
- net: phy: allow for reset line to be tied to a sleepy GPIO
controller (bnc#1151927).
- net: phy: fix write to mii-ctrl1000 register (bnc#1151927).
- namespace: fix namespace.pl script to support relative paths
(bnc#1151927).
- Convert filldir[64]() from __put_user() to unsafe_put_user()
(bnc#1151927).
- elf: don't use MAP_FIXED_NOREPLACE for elf executable mappings
(bnc#1151927).
- Make filldir[64]() verify the directory entry filename is valid
(bnc#1151927).
- uaccess: implement a proper unsafe_copy_to_user() and switch
filldir over to it (bnc#1151927).
- filldir[64]: remove WARN_ON_ONCE() for bad directory entries
(bnc#1151927).
- net_sched: fix backward compatibility for TCA_KIND
(bnc#1151927).
- net_sched: fix backward compatibility for TCA_ACT_KIND
(bnc#1151927).
- libata/ahci: Fix PCS quirk application (bnc#1151927).
- md/raid0: fix warning message for parameter default_layout
(bnc#1151927).
- Revert "drm/radeon: Fix EEH during kexec" (bnc#1151927).
- ocfs2: fix panic due to ocfs2_wq is null (bnc#1151927).
- nvme-pci: Set the prp2 correctly when using more than 4k page
(bnc#1151927).
- ipv4: fix race condition between route lookup and invalidation
(bnc#1151927).
- ipv4: Return -ENETUNREACH if we can't create route but saddr
is valid (bnc#1151927).
- net: avoid potential infinite loop in tc_ctl_action()
(bnc#1151927).
- net: bcmgenet: Fix RGMII_MODE_EN value for GENET v1/2/3
(bnc#1151927).
- net: bcmgenet: Set phydev->dev_flags only for internal PHYs
(bnc#1151927).
- net: i82596: fix dma_alloc_attr for sni_82596 (bnc#1151927).
- net/ibmvnic: Fix EOI when running in XIVE mode (bnc#1151927).
- net: ipv6: fix listify ip6_rcv_finish in case of forwarding
(bnc#1151927).
- net: stmmac: disable/enable ptp_ref_clk in suspend/resume flow
(bnc#1151927).
- rxrpc: Fix possible NULL pointer access in ICMP handling
(bnc#1151927).
- sched: etf: Fix ordering of packets with same txtime
(bnc#1151927).
- sctp: change sctp_prot .no_autobind with true (bnc#1151927).
- net: aquantia: temperature retrieval fix (bnc#1151927).
- net: aquantia: when cleaning hw cache it should be toggled
(bnc#1151927).
- net: aquantia: do not pass lro session with invalid tcp checksum
(bnc#1151927).
- net: aquantia: correctly handle macvlan and multicast
coexistence (bnc#1151927).
- net: phy: micrel: Discern KSZ8051 and KSZ8795 PHYs
(bnc#1151927).
- net: phy: micrel: Update KSZ87xx PHY name (bnc#1151927).
- net: avoid errors when trying to pop MLPS header on non-MPLS
packets (bnc#1151927).
- net/sched: fix corrupted L2 header with MPLS 'push' and 'pop'
actions (bnc#1151927).
- netdevsim: Fix error handling in nsim_fib_init and nsim_fib_exit
(bnc#1151927).
- net: ethernet: broadcom: have drivers select DIMLIB as needed
(bnc#1151927).
- net: phy: Fix "link partner" information disappear issue
(bnc#1151927).
- LSM: SafeSetID: Stop releasing uninitialized ruleset
(bnc#1151927).
- rxrpc: use rcu protection while reading sk->sk_user_data
(bnc#1151927).
- io_uring: fix bad inflight accounting for
SETUP_IOPOLL|SETUP_SQTHREAD (bnc#1151927).
- io_uring: Fix corrupted user_data (bnc#1151927).
- USB: legousbtower: fix memleak on disconnect (bnc#1151927).
- ALSA: hda/realtek - Add support for ALC711 (bnc#1151927).
- ALSA: hda/realtek - Enable headset mic on Asus MJ401TA
(bnc#1151927).
- ALSA: usb-audio: Disable quirks for BOSS Katana amplifiers
(bnc#1151927).
- ALSA: hda - Force runtime PM on Nvidia HDMI codecs
(bnc#1151927).
- usb: udc: lpc32xx: fix bad bit shift operation (bnc#1151927).
- USB: serial: ti_usb_3410_5052: fix port-close races
(bnc#1151927).
- USB: ldusb: fix memleak on disconnect (bnc#1151927).
- USB: usblp: fix use-after-free on disconnect (bnc#1151927).
- USB: ldusb: fix read info leaks (bnc#1151927).
- binder: Don't modify VMA bounds in ->mmap handler (bnc#1151927).
- MIPS: tlbex: Fix build_restore_pagemask KScratch restore
(bnc#1151927).
- staging: wlan-ng: fix exit return when sme->key_idx >=
NUM_WEPKEYS (bnc#1151927).
- scsi: zfcp: fix reaction on bit error threshold notification
(bnc#1151927).
- scsi: sd: Ignore a failure to sync cache due to lack of
authorization (bnc#1151927).
- scsi: core: save/restore command resid for error handling
(bnc#1151927).
- scsi: core: try to get module before removing device
(bnc#1151927).
- scsi: ch: Make it possible to open a ch device multiple times
again (bnc#1151927).
- Revert "Input: elantech - enable SMBus on new (2018+) systems"
(bnc#1151927).
- Input: da9063 - fix capability and drop KEY_SLEEP (bnc#1151927).
- Input: synaptics-rmi4 - avoid processing unknown IRQs
(bnc#1151927).
- Input: st1232 - fix reporting multitouch coordinates
(bnc#1151927).
- ASoC: rsnd: Reinitialize bit clock inversion flag for every
format setting (bnc#1151927).
- ACPI: CPPC: Set pcc_data[pcc_ss_id] to NULL in
acpi_cppc_processor_exit() (bnc#1151927).
- ACPI: NFIT: Fix unlock on error in scrub_show() (bnc#1151927).
- iwlwifi: pcie: change qu with jf devices to use qu configuration
(bnc#1151927).
- mac80211: Reject malformed SSID elements (bnc#1151927).
- drm/edid: Add 6 bpc quirk for SDC panel in Lenovo G50
(bnc#1151927).
- drm/ttm: Restore ttm prefaulting (bnc#1151927).
- drm/panfrost: Handle resetting on timeout better (bnc#1151927).
- drm/amdgpu: Bail earlier when amdgpu.cik_/si_support is not
set to 1 (bnc#1151927).
- drm/amdgpu/sdma5: fix mask value of POLL_REGMEM packet for
pipe sync (bnc#1151927).
- drm/i915/userptr: Never allow userptr into the mappable GGTT
(bnc#1151927).
- drm/i915: Favor last VBT child device with conflicting AUX
ch/DDC pin (bnc#1151927 bnc#1152971 fdo#112028).
- drm/amdgpu/vce: fix allocation size in enc ring test
(bnc#1151927).
- drm/amdgpu/vcn: fix allocation size in enc ring test
(bnc#1151927).
- drm/amdgpu/uvd6: fix allocation size in enc ring test (v2)
(bnc#1151927).
- drm/amdgpu/uvd7: fix allocation size in enc ring test (v2)
(bnc#1151927).
- drm/amdgpu: user pages array memory leak fix (bnc#1151927).
- drivers/base/memory.c: don't access uninitialized memmaps in
soft_offline_page_store() (bnc#1151927).
- fs/proc/page.c: don't access uninitialized memmaps in
fs/proc/page.c (bnc#1151927).
- io_uring: Fix broken links with offloading (bnc#1151927).
- io_uring: Fix race for sqes with userspace (bnc#1151927).
- io_uring: used cached copies of sq->dropped and cq->overflow
(bnc#1151927).
- mmc: mxs: fix flags passed to dmaengine_prep_slave_sg
(bnc#1151927).
- mmc: cqhci: Commit descriptors before setting the doorbell
(bnc#1151927).
- mmc: sdhci-omap: Fix Tuning procedure for temperatures < -20C
(bnc#1151927).
- mm/memory-failure.c: don't access uninitialized memmaps in
memory_failure() (bnc#1151927).
- mm/slub: fix a deadlock in show_slab_objects() (bnc#1151927).
- mm/page_owner: don't access uninitialized memmaps when reading
/proc/pagetypeinfo (bnc#1151927).
- mm/memunmap: don't access uninitialized memmap in
memunmap_pages() (bnc#1151927).
- mm: memcg/slab: fix panic in __free_slab() caused by premature
memcg pointer release (bnc#1151927).
- mm, compaction: fix wrong pfn handling in
__reset_isolation_pfn() (bnc#1151927).
- mm: memcg: get number of pages on the LRU list in memcgroup
base on lru_zone_size (bnc#1151927).
- mm: memblock: do not enforce current limit for memblock_phys*
family (bnc#1151927).
- hugetlbfs: don't access uninitialized memmaps in
pfn_range_valid_gigantic() (bnc#1151927).
- mm/memory-failure: poison read receives SIGKILL instead of
SIGBUS if mmaped more than once (bnc#1151927).
- zram: fix race between backing_dev_show and backing_dev_store
(bnc#1151927).
- xtensa: drop EXPORT_SYMBOL for outs*/ins* (bnc#1151927).
- xtensa: fix change_bit in exclusive access option (bnc#1151927).
- s390/zcrypt: fix memleak at release (bnc#1151927).
- s390/kaslr: add support for R_390_GLOB_DAT relocation type
(bnc#1151927).
- lib/vdso: Make clock_getres() POSIX compliant again
(bnc#1151927).
- parisc: Fix vmap memory leak in ioremap()/iounmap()
(bnc#1151927).
- EDAC/ghes: Fix Use after free in ghes_edac remove path
(bnc#1151927).
- arm64: KVM: Trap VM ops when ARM64_WORKAROUND_CAVIUM_TX2_219_TVM
is set (bnc#1151927).
- arm64: Avoid Cavium TX2 erratum 219 when switching TTBR
(bnc#1151927).
- arm64: Enable workaround for Cavium TX2 erratum 219 when
running SMT (bnc#1151927).
- arm64: Allow CAVIUM_TX2_ERRATUM_219 to be selected
(bnc#1151927).
- CIFS: avoid using MID 0xFFFF (bnc#1151927).
- cifs: Fix missed free operations (bnc#1151927).
- CIFS: Fix use after free of file info structures (bnc#1151927).
- perf/aux: Fix AUX output stopping (bnc#1151927).
- tracing: Fix race in perf_trace_buf initialization
(bnc#1151927).
- fs/dax: Fix pmd vs pte conflict detection (bnc#1151927).
- dm cache: fix bugs when a GFP_NOWAIT allocation fails
(bnc#1151927).
- irqchip/sifive-plic: Switch to fasteoi flow (bnc#1151927).
- x86/apic/x2apic: Fix a NULL pointer deref when handling a
dying cpu (bnc#1151927).
- x86/hyperv: Make vapic support x2apic mode (bnc#1151927).
- pinctrl: cherryview: restore Strago DMI workaround for all
versions (bnc#1151927).
- pinctrl: armada-37xx: fix control of pins 32 and up
(bnc#1151927).
- pinctrl: armada-37xx: swap polarity on LED group (bnc#1151927).
- btrfs: block-group: Fix a memory leak due to missing
btrfs_put_block_group() (bnc#1151927).
- Btrfs: add missing extents release on file extent cluster
relocation error (bnc#1151927).
- btrfs: don't needlessly create extent-refs kernel thread
(bnc#1151927).
- Btrfs: fix qgroup double free after failure to reserve metadata
for delalloc (bnc#1151927).
- Btrfs: check for the full sync flag while holding the inode
lock during fsync (bnc#1151927).
- btrfs: tracepoints: Fix wrong parameter order for qgroup events
(bnc#1151927).
- btrfs: tracepoints: Fix bad entry members of qgroup events
(bnc#1151927).
- KVM: PPC: Book3S HV: XIVE: Ensure VP isn't already in use
(bnc#1151927).
- memstick: jmb38x_ms: Fix an error handling path in
'jmb38x_ms_probe()' (bnc#1151927).
- cpufreq: Avoid cpufreq_suspend() deadlock on system shutdown
(bnc#1151927).
- ceph: just skip unrecognized info in ceph_reply_info_extra
(bnc#1151927).
- xen/netback: fix error path of xenvif_connect_data()
(bnc#1151927).
- PCI: PM: Fix pci_power_up() (bnc#1151927).
- opp: of: drop incorrect lockdep_assert_held() (bnc#1151927).
- of: reserved_mem: add missing of_node_put() for proper
ref-counting (bnc#1151927).
- blk-rq-qos: fix first node deletion of rq_qos_del()
(bnc#1151927).
- RDMA/cxgb4: Do not dma memory off of the stack (bnc#1151927).
- Delete
patches.suse/Revert-drm-i915-bios-make-child-device-order-the-pri.patch.
- Update config files.
CAVIUM_TX2_ERRATUM_219=y (default)
- commit f0ffcb7
-------------------------------------------------------------------
Tue Oct 29 09:45:57 CET 2019 - jslaby@suse.cz
- x86/boot/64: Make level2_kernel_pgt pages invalid outside
kernel area (bnc#1153969).
- x86/boot/64: Round memory hole size up to next PMD page
(bnc#1153969).
- commit 3501dc2
-------------------------------------------------------------------
Mon Oct 28 11:19:05 CET 2019 - tiwai@suse.de
- drm/amdgpu: Add DC feature mask to disable fractional pwm
(bsc#1154010).
- commit 6ffba6e
-------------------------------------------------------------------
Sun Oct 27 19:12:02 CET 2019 - mkubecek@suse.cz
- Update to 4.5-rc5
- New config option:
- SND_SOC_SOF_HDA_ALWAYS_ENABLE_DMI_L1=n (x86 only)
- commit 13dfd5f
-------------------------------------------------------------------
Fri Oct 25 08:50:31 CEST 2019 - jslaby@suse.cz
- stacktrace: don't skip first entry on noncurrent tasks
(bnc#1154866).
- commit 387f2bb
-------------------------------------------------------------------
Wed Oct 23 07:03:59 CEST 2019 - mkubecek@suse.cz
- series.conf: cleanup
Move two submitted wireless patches to "on the way to mainline" section.
No effect on expanded tree.
- commit 21fb44d
-------------------------------------------------------------------
Tue Oct 22 11:25:46 CEST 2019 - msuchanek@suse.de
- kernel-binary.spec.in: Fix build of non-modular kernels (boo#1154578).
- commit 7f1e881
-------------------------------------------------------------------
Tue Oct 22 10:03:24 CEST 2019 - clin@suse.com
- ARM: 8904/1: skip nomap memblocks while finding the
lowmem/highmem boundary (bsc#1122614).
- commit 9f59038
-------------------------------------------------------------------
Tue Oct 22 09:21:56 CEST 2019 - acho@suse.com
- rtlwifi: Fix potential overflow on P2P code (bsc#1154372
CVE-2019-17666).
- cfg80211: wext: avoid copying malformed SSIDs (bsc#1153158
CVE-2019-17133).
- commit e229e3d
-------------------------------------------------------------------
Tue Oct 22 08:44:08 CEST 2019 - acho@suse.com
- rtlwifi: Fix potential overflow on P2P code (bsc#1154372
CVE-2019-17666).
- commit 6257f3c
-------------------------------------------------------------------
Mon Oct 21 18:11:29 CEST 2019 - tiwai@suse.de
- libertas: fix a potential NULL pointer dereference
(CVE-2019-16232,bsc#1150465).
- iwlwifi: pcie: fix rb_allocator workqueue allocation
(CVE-2019-16234,bsc#1150452).
- commit 23c6b73
-------------------------------------------------------------------
Mon Oct 21 16:00:22 CEST 2019 - msuchanek@suse.de
- kernel-binary.spec.in: Obsolete kgraft packages only when not building
them.
- commit 25f7690
-------------------------------------------------------------------
Mon Oct 21 15:21:10 CEST 2019 - msuchanek@suse.de
- kernel-subpackage-build: create zero size ghost for uncompressed vmlinux
(bsc#1154354).
It is not strictly necessary to uncompress it so maybe the ghost file
can be 0 size in this case.
- commit 4bf73c8
-------------------------------------------------------------------
Mon Oct 21 06:54:15 CEST 2019 - mkubecek@suse.cz
- Update to 5.4-rc4
- Eliminated 1 patch
- commit bdcace5
-------------------------------------------------------------------
Fri Oct 18 18:59:45 CEST 2019 - jslaby@suse.cz
- Linux 5.3.7 (bnc#1151927).
- efi/tpm: Fix sanity check of unsigned tbl_size being less than
zero (bnc#1151927).
- io_uring: only flush workqueues on fileset removal
(bnc#1151927).
- x86/asm: Fix MWAITX C-state hint value (bnc#1151927).
- mtd: rawnand: au1550nd: Fix au_read_buf16() prototype
(bnc#1151927).
- hwmon: Fix HWMON_P_MIN_ALARM mask (bnc#1151927).
- tracing: Get trace_array reference for available_tracers files
(bnc#1151927).
- ftrace: Get a reference counter for the trace_array on filter
files (bnc#1151927).
- tracing/hwlat: Don't ignore outer-loop duration when calculating
max_latency (bnc#1151927).
- tracing/hwlat: Report total time spent in all NMIs during the
sample (bnc#1151927).
- arm64/sve: Fix wrong free for task->thread.sve_state
(bnc#1151927).
- media: stkwebcam: fix runtime PM after driver unbind
(bnc#1151927).
- drm/msm: Use the correct dma_sync calls harder (bnc#1151927).
- drm/i915: Mark contents as dirty on a write fault (bnc#1151927).
- drm/i915: Whitelist COMMON_SLICE_CHICKEN2 (bnc#1151927).
- drm/i915: Bump skl+ max plane width to 5k for linear/x-tiled
(bnc#1151927).
- Fix the locking in dcache_readdir() and friends (bnc#1151927).
- iio: light: fix vcnl4000 devicetree hooks (bnc#1151927).
- arm64: topology: Use PPTT to determine if PE is a thread
(bnc#1151927).
- ACPI/PPTT: Add support for ACPI 6.3 thread flag (bnc#1151927).
- RDMA/vmw_pvrdma: Free SRQ only once (bnc#1151927).
- MIPS: elf_hwcap: Export userspace ASEs (bnc#1151927).
- MIPS: Disable Loongson MMI instructions for kernel build
(bnc#1151927).
- NFS: Fix O_DIRECT accounting of number of bytes read/written
(bnc#1151927).
- btrfs: fix uninitialized ret in ref-verify (bnc#1151927).
- btrfs: fix incorrect updating of log root tree (bnc#1151927).
- Btrfs: fix memory leak due to concurrent append writes with
fiemap (bnc#1151927).
- btrfs: fix balance convert to single on 32-bit host CPUs
(bnc#1151927).
- btrfs: allocate new inode in NOFS context (bnc#1151927).
- btrfs: relocation: fix use-after-free on dead relocation roots
(bnc#1151927).
- gpiolib: don't clear FLAG_IS_OUT when emulating
open-drain/open-source (bnc#1151927).
- gpio: fix getting nonexclusive gpiods from DT (bnc#1151927).
- firmware: google: increment VPD key_len properly (bnc#1151927).
- IB/core: Fix wrong iterating on ports (bnc#1151927).
- mm/vmpressure.c: fix a signedness bug in
vmpressure_register_event() (bnc#1151927).
- mm/page_alloc.c: fix a crash in free_pages_prepare()
(bnc#1151927).
- mm/z3fold.c: claim page in the beginning of free (bnc#1151927).
- kernel/sysctl.c: do not override max_threads provided by
userspace (bnc#1151927).
- cifs: use cifsInodeInfo->open_file_lock while iterating to
avoid a panic (bnc#1151927).
- CIFS: Force reval dentry if LOOKUP_REVAL flag is set
(bnc#1151927).
- CIFS: Force revalidate inode when dentry is stale (bnc#1151927).
- CIFS: Gracefully handle QueryInfo errors during open
(bnc#1151927).
- selinux: fix context string corruption in convert_context()
(bnc#1151927).
- blk-wbt: fix performance regression in wbt scale_up/scale_down
(bnc#1151927).
- drm/i915: Perform GGTT restore much earlier during resume
(bnc#1151927).
- perf inject jit: Fix JIT_CODE_MOVE filename (bnc#1151927).
- perf llvm: Don't access out-of-scope array (bnc#1151927).
- efi/tpm: Only set 'efi_tpm_final_log_size' after successful
event log parsing (bnc#1151927).
- efi/tpm: Don't traverse an event log with no events
(bnc#1151927).
- efi/tpm: Don't access event->count when it isn't mapped
(bnc#1151927).
- efivar/ssdt: Don't iterate over EFI vars if no SSDT override
was specified (bnc#1151927).
- iio: accel: adxl372: Perform a reset at start up (bnc#1151927).
- iio: accel: adxl372: Fix push to buffers lost samples
(bnc#1151927).
- iio: accel: adxl372: Fix/remove limitation for FIFO samples
(bnc#1151927).
- iio: light: add missing vcnl4040 of_compatible (bnc#1151927).
- iio: light: opt3001: fix mutex unlock race (bnc#1151927).
- iio: adc: stm32-adc: fix a race when using several adcs with
dma and irq (bnc#1151927).
- iio: adc: stm32-adc: move registers definitions (bnc#1151927).
- iio: adc: axp288: Override TS pin bias current for some models
(bnc#1151927).
- iio: adc: ad799x: fix probe error handling (bnc#1151927).
- iio: adc: hx711: fix bug in sampling of data (bnc#1151927).
- staging: vt6655: Fix memory leak in vt6655_probe (bnc#1151927).
- staging: rtl8188eu: fix HighestRate check in
odm_ARFBRefresh_8188E() (bnc#1151927).
- Staging: fbtft: fix memory leak in fbtft_framebuffer_alloc
(bnc#1151927).
- staging: bcm2835-audio: Fix draining behavior regression
(bnc#1151927).
- staging/fbtft: Depend on OF (bnc#1151927).
- gpio: eic: sprd: Fix the incorrect EIC offset when toggling
(bnc#1151927).
- mei: avoid FW version request on Ibex Peak and earlier
(bnc#1151927).
- mei: me: add comet point (lake) LP device ids (bnc#1151927).
- USB: legousbtower: fix use-after-free on release (bnc#1151927).
- USB: legousbtower: fix open after failed reset request
(bnc#1151927).
- USB: legousbtower: fix potential NULL-deref on disconnect
(bnc#1151927).
- USB: legousbtower: fix deadlock on disconnect (bnc#1151927).
- USB: legousbtower: fix slab info leak at probe (bnc#1151927).
- usb: typec: ucsi: displayport: Fix for the mode entering routine
(bnc#1151927).
- usb: typec: ucsi: ccg: Remove run_isr flag (bnc#1151927).
- usb: typec: tcpm: usb: typec: tcpm: Fix a signedness bug in
tcpm_fw_get_caps() (bnc#1151927).
- usb: renesas_usbhs: gadget: Fix usb_ep_set_{halt,wedge}()
behavior (bnc#1151927).
- usb: renesas_usbhs: gadget: Do not discard queues in
usb_ep_set_{halt,wedge}() (bnc#1151927).
- USB: dummy-hcd: fix power budget for SuperSpeed mode
(bnc#1151927).
- USB: microtek: fix info-leak at probe (bnc#1151927).
- USB: usblcd: fix I/O after disconnect (bnc#1151927).
- USB: serial: fix runtime PM after driver unbind (bnc#1151927).
- USB: serial: option: add support for Cinterion CLS8 devices
(bnc#1151927).
- USB: serial: option: add Telit FN980 compositions (bnc#1151927).
- USB: serial: ftdi_sio: add device IDs for Sienna and Echelon
PL-20 (bnc#1151927).
- USB: serial: keyspan: fix NULL-derefs on open() and write()
(bnc#1151927).
- serial: uartps: Fix uartps_major handling (bnc#1151927).
- serial: uartlite: fix exit path null pointer (bnc#1151927).
- USB: ldusb: fix NULL-derefs on driver unbind (bnc#1151927).
- USB: chaoskey: fix use-after-free on release (bnc#1151927).
- USB: usblp: fix runtime PM after driver unbind (bnc#1151927).
- USB: iowarrior: fix use-after-free after driver unbind
(bnc#1151927).
- USB: iowarrior: fix use-after-free on release (bnc#1151927).
- USB: iowarrior: fix use-after-free on disconnect (bnc#1151927).
- USB: adutux: fix use-after-free on release (bnc#1151927).
- USB: adutux: fix NULL-derefs on disconnect (bnc#1151927).
- USB: adutux: fix use-after-free on disconnect (bnc#1151927).
- xhci: Fix NULL pointer dereference in
xhci_clear_tt_buffer_complete() (bnc#1151927).
- xhci: Increase STS_SAVE timeout in xhci_suspend() (bnc#1151927).
- xhci: Prevent deadlock when xhci adapter breaks during init
(bnc#1151927).
- usb: xhci: wait for CNR controller not ready bit in xhci resume
(bnc#1151927).
- xhci: Fix USB 3.1 capability detection on early xHCI 1.1 spec
based hosts (bnc#1151927).
- xhci: Check all endpoints for LPM timeout (bnc#1151927).
- xhci: Prevent device initiated U1/U2 link pm if exit latency
is too long (bnc#1151927).
- xhci: Fix false warning message about wrong bounce buffer
write length (bnc#1151927).
- USB: usb-skeleton: fix NULL-deref on disconnect (bnc#1151927).
- USB: usb-skeleton: fix runtime PM after driver unbind
(bnc#1151927).
- USB: yurex: fix NULL-derefs on disconnect (bnc#1151927).
- USB: yurex: Don't retry on unexpected errors (bnc#1151927).
- USB: rio500: Remove Rio 500 kernel driver (bnc#1151927).
- panic: ensure preemption is disabled during panic()
(bnc#1151927).
- commit f52c7f6
-------------------------------------------------------------------
Thu Oct 17 20:59:54 CEST 2019 - jslaby@suse.cz
- Revert "drm/i915/bios: make child device order the priority
order" (bnc#1152971 fdo#112028).
- commit 3eea5a9
-------------------------------------------------------------------
Thu Oct 17 11:59:28 CEST 2019 - acho@suse.com
- cfg80211: wext: avoid copying malformed SSIDs (bsc#1153158
CVE-2019-17133).
- commit fd3ccf8
-------------------------------------------------------------------
Wed Oct 16 15:28:54 CEST 2019 - mkubecek@suse.cz
- Update patches.suse/supported-flag references (add bsc#974406).
- commit df31cdf
-------------------------------------------------------------------
Wed Oct 16 11:54:09 CEST 2019 - lpechacek@suse.com
- Squash module-Inform-user-when-loading-externally-supported.patch from
SLE into supported-flag (bsc#974406).
- commit 3fd4e95
-------------------------------------------------------------------
Tue Oct 15 14:54:58 CEST 2019 - jslaby@suse.cz
- Update
patches.kernel.org/5.3.4-063-sched-core-Fix-CPU-controller-for-RT_GROUP_SCHE.patch
(bnc#1151927 bnc#1135646).
Add a bnc ref.
- commit d6c109d
-------------------------------------------------------------------
Tue Oct 15 14:21:35 CEST 2019 - jslaby@suse.cz
- Update config files.
Disable CONFIG_RT_GROUP_SCHED again (bnc#950955 bnc#1153228).
- commit a55f3f6
-------------------------------------------------------------------
Tue Oct 15 14:18:53 CEST 2019 - jslaby@suse.cz
- Update config files.
Just refresh (RTC_DRV_BD70528 dismissed).
- commit 418ca4c
-------------------------------------------------------------------
Tue Oct 15 14:16:20 CEST 2019 - jslaby@suse.cz
- Update config files.
Disable CONFIG_RT_GROUP_SCHED again (bnc#950955 bnc#1153228).
- commit 53769fe
-------------------------------------------------------------------
Mon Oct 14 06:51:20 CEST 2019 - mkubecek@suse.cz
- Update to 5.4-rc3
- Refresh configs
- commit 2309d7d
-------------------------------------------------------------------
Sun Oct 13 09:18:38 CEST 2019 - jslaby@suse.cz
- Linux 5.3.6 (bnc#1151927).
- libnvdimm: prevent nvdimm from requesting key when security
is disabled (bnc#1151927).
- staging: erofs: detect potential multiref due to corrupted
images (bnc#1151927).
- staging: erofs: avoid endless loop of invalid lookback distance
0 (bnc#1151927).
- staging: erofs: add two missing erofs_workgroup_put for
corrupted images (bnc#1151927).
- staging: erofs: some compressed cluster should be submitted
for corrupted images (bnc#1151927).
- staging: erofs: fix an error handling in erofs_readdir()
(bnc#1151927).
- coresight: etm4x: Use explicit barriers on enable/disable
(bnc#1151927).
- vfs: Fix EOVERFLOW testing in put_compat_statfs64 (bnc#1151927).
- riscv: Avoid interrupts being erroneously enabled in
handle_exception() (bnc#1151927).
- perf stat: Reset previous counts on repeat with interval
(bnc#1151927).
- tick: broadcast-hrtimer: Fix a race in bc_set_next
(bnc#1151927).
- KVM: nVMX: Fix consistency check on injected exception error
code (bnc#1151927).
- Btrfs: fix selftests failure due to uninitialized i_mode in
test inodes (bnc#1151927).
- drm/radeon: Bail earlier when radeon.cik_/si_support=0 is passed
(bnc#1151927).
- nfp: abm: fix memory leak in nfp_abm_u32_knode_replace
(bnc#1151927).
- mlxsw: spectrum_flower: Fail in case user specifies multiple
mirror actions (bnc#1151927).
- perf unwind: Fix libunwind build failure on i386 systems
(bnc#1151927).
- i2c: qcom-geni: Disable DMA processing on the Lenovo Yoga C630
(bnc#1151927).
- net: dsa: microchip: Always set regmap stride to 1
(bnc#1151927).
- bpf: Fix bpf_event_output re-entry issue (bnc#1151927).
- blk-mq: move lockdep_assert_held() into elevator_exit
(bnc#1151927).
- libbpf: fix false uninitialized variable warning (bnc#1151927).
- kernel/elfcore.c: include proper prototypes (bnc#1151927).
- selftests/bpf: adjust strobemeta loop to satisfy latest clang
(bnc#1151927).
- include/trace/events/writeback.h: fix -Wstringop-truncation
warnings (bnc#1151927).
- perf build: Add detection of java-11-openjdk-devel package
(bnc#1151927).
- sched/core: Fix migration to invalid CPU in
__set_cpus_allowed_ptr() (bnc#1151927).
- sched/membarrier: Fix private expedited registration check
(bnc#1151927).
- sched/membarrier: Call sync_core only before usermode for same
mm (bnc#1151927).
- libnvdimm/nfit_test: Fix acpi_handle redefinition (bnc#1151927).
- fuse: fix memleak in cuse_channel_open (bnc#1151927).
- =?UTF-8?q?libnvdimm:=20Fix=20endian=20conversion=20issues?=
=?UTF-8?q?=C2=A0?= (bnc#1151927).
- libnvdimm/region: Initialize bad block for volatile namespaces
(bnc#1151927).
- iommu/amd: Fix downgrading default page-sizes in alloc_pte()
(bnc#1151927).
- thermal_hwmon: Sanitize thermal_zone type (bnc#1151927).
- thermal: Fix use-after-free when unregistering thermal zone
device (bnc#1151927).
- ntb: point to right memory window index (bnc#1151927).
- x86/purgatory: Disable the stackleak GCC plugin for the
purgatory (bnc#1151927).
- selftests/seccomp: fix build on older kernels (bnc#1151927).
- pwm: stm32-lp: Add check in case requested period cannot be
achieved (bnc#1151927).
- SUNRPC: Don't try to parse incomplete RPC messages
(bnc#1151927).
- pNFS: Ensure we do clear the return-on-close layout stateid
on fatal errors (bnc#1151927).
- perf probe: Fix to clear tev->nargs in clear_probe_trace_event()
(bnc#1151927).
- drm/amdgpu: Check for valid number of registers to read
(bnc#1151927).
- drm/amdgpu: Fix KFD-related kernel oops on Hawaii (bnc#1151927).
- netfilter: nf_tables: allow lookups in dynamic sets
(bnc#1151927).
- watchdog: aspeed: Add support for AST2600 (bnc#1151927).
- SUNRPC: RPC level errors should always set task->tk_rpc_status
(bnc#1151927).
- ceph: reconnect connection if session hang in opening state
(bnc#1151927).
- ceph: fetch cap_gen under spinlock in ceph_add_cap
(bnc#1151927).
- ceph: fix directories inode i_blkbits initialization
(bnc#1151927).
- fuse: fix request limit (bnc#1151927).
- xen/pci: reserve MCFG areas earlier (bnc#1151927).
- 9p: avoid attaching writeback_fid on mmap with type PRIVATE
(bnc#1151927).
- 9p: Transport error uninitialized (bnc#1151927).
- xprtrdma: Send Queue size grows after a reconnect (bnc#1151927).
- xprtrdma: Toggle XPRT_CONGESTED in xprtrdma's slot methods
(bnc#1151927).
- fs: nfs: Fix possible null-pointer dereferences in
encode_attrs() (bnc#1151927).
- ima: fix freeing ongoing ahash_request (bnc#1151927).
- ima: always return negative code for error (bnc#1151927).
- drivers: thermal: qcom: tsens: Fix memory leak from qfprom read
(bnc#1151927).
- cfg80211: initialize on-stack chandefs (bnc#1151927).
- cfg80211: validate SSID/MBSSID element ordering assumption
(bnc#1151927).
- nl80211: validate beacon head (bnc#1151927).
- ieee802154: atusb: fix use-after-free at disconnect
(bnc#1151927).
- xen/xenbus: fix self-deadlock after killing user process
(bnc#1151927).
- xen/balloon: Set pages PageOffline() in balloon_add_region()
(bnc#1151927).
- DTS: ARM: gta04: introduce legacy spi-cs-high to make display
work again (bnc#1151927).
- sched: Add __ASSEMBLY__ guards around struct clone_args
(bnc#1151927).
- libnvdimm/altmap: Track namespace boundaries in altmap
(bnc#1151927).
- Revert "locking/pvqspinlock: Don't wait if vCPU is preempted"
(bnc#1151927).
- mmc: sdhci: Let drivers define their DMA mask (bnc#1151927).
- mmc: sdhci-of-esdhc: set DMA snooping based on DMA coherence
(bnc#1151927).
- mmc: sdhci: improve ADMA error reporting (bnc#1151927).
- mmc: tegra: Implement ->set_dma_mask() (bnc#1151927).
- mac80211: keep BHs disabled while calling drv_tx_wake_queue()
(bnc#1151927).
- drm/i915: to make vgpu ppgtt notificaiton as atomic operation
(bnc#1151927).
- drm/i915/gvt: update vgpu workload head pointer correctly
(bnc#1151927).
- drm/amd/powerplay: change metrics update period from 1ms to
100ms (bnc#1151927).
- drm/nouveau/kms/nv50-: Don't create MSTMs for eDP connectors
(bnc#1151927).
- drm/msm/dsi: Fix return value check for clk_get_parent
(bnc#1151927).
- drm/omap: fix max fclk divider for omap36xx (bnc#1151927).
- drm: mali-dp: Mark expected switch fall-through (bnc#1151927).
- drm/atomic: Take the atomic toys away from X (bnc#1151927).
- drm/atomic: Reject FLIP_ASYNC unconditionally (bnc#1151927).
- drm/i915/dp: Fix dsc bpp calculations, v5 (bnc#1151927).
- perf stat: Fix a segmentation fault when using repeat forever
(bnc#1151927).
- perf tools: Fix segfault in cpu_cache_level__read()
(bnc#1151927).
- watchdog: imx2_wdt: fix min() calculation in
imx2_wdt_set_timeout (bnc#1151927).
- selftests: pidfd: Fix undefined reference to pthread_create()
(bnc#1151927).
- selftests/tpm2: Add the missing TEST_FILES assignment
(bnc#1151927).
- PCI: Restore Resizable BAR size bits correctly for 1MB BARs
(bnc#1151927).
- PCI: vmd: Fix shadow offsets to reflect spec changes
(bnc#1151927).
- PCI: hv: Avoid use of hv_pci_dev->pci_slot after freeing it
(bnc#1151927).
- PCI: vmd: Fix config addressing when using bus offsets
(bnc#1151927).
- timer: Read jiffies once when forwarding base clk (bnc#1151927).
- usercopy: Avoid HIGHMEM pfn warning (bnc#1151927).
- tracing: Make sure variable reference alias has correct
var_ref_idx (bnc#1151927).
- power: supply: sbs-battery: only return health when battery
present (bnc#1151927).
- power: supply: sbs-battery: use correct flags field
(bnc#1151927).
- MIPS: Treat Loongson Extensions as ASEs (bnc#1151927).
- crypto: ccree - use the full crypt length value (bnc#1151927).
- crypto: ccree - account for TEE not ready to report
(bnc#1151927).
- crypto: caam - fix concurrency issue in givencrypt descriptor
(bnc#1151927).
- crypto: caam/qi - fix error handling in ERN handler
(bnc#1151927).
- crypto: cavium/zip - Add missing single_release() (bnc#1151927).
- crypto: skcipher - Unmap pages after an external error
(bnc#1151927).
- crypto: qat - Silence smp_processor_id() warning (bnc#1151927).
- tools lib traceevent: Do not free tep->cmdlines in
add_new_comm() on failure (bnc#1151927).
- tools lib traceevent: Fix "robust" test of
do_generate_dynamic_list_file (bnc#1151927).
- can: mcp251x: mcp251x_hw_reset(): allow more time after a reset
(bnc#1151927).
- powerpc/mm: Fixup tlbie vs mtpidr/mtlpidr ordering issue on
POWER9 (bnc#1151927).
- powerpc/mm: Fix an Oops in kasan_mmu_init() (bnc#1151927).
- powerpc/mm: Add a helper to select PAGE_KERNEL_RO or
PAGE_READONLY (bnc#1151927).
- powerpc/book3s64/radix: Rename CPU_FTR_P9_TLBIE_BUG feature flag
(bnc#1151927).
- powerpc/book3s64/mm: Don't do tlbie fixup for some hardware
revisions (bnc#1151927).
- powerpc/kasan: Fix shadow area set up for modules (bnc#1151927).
- powerpc/kasan: Fix parallel loading of modules (bnc#1151927).
- powerpc/powernv/ioda: Fix race in TCE level allocation
(bnc#1151927).
- powerpc/pseries: Fix cpu_hotplug_lock acquisition in
resize_hpt() (bnc#1151927).
- powerpc/powernv: Restrict OPAL symbol map to only be readable
by root (bnc#1151927).
- powerpc/ptdump: Fix addresses display on PPC32 (bnc#1151927).
- powerpc/32s: Fix boot failure with DEBUG_PAGEALLOC without KASAN
(bnc#1151927).
- powerpc/603: Fix handling of the DIRTY flag (bnc#1151927).
- powerpc/mce: Schedule work from irq_work (bnc#1151927).
- powerpc/mce: Fix MCE handling for huge pages (bnc#1151927).
- powerpc/xive: Implement get_irqchip_state method for XIVE to
fix shutdown race (bnc#1151927).
- ASoC: sgtl5000: Improve VAG power and mute control
(bnc#1151927).
- ASoC: Define a set of DAPM pre/post-up events (bnc#1151927).
- PM / devfreq: tegra: Fix kHz to Hz conversion (bnc#1151927).
- nbd: fix max number of supported devs (bnc#1151927).
- KVM: X86: Fix userspace set invalid CR4 (bnc#1151927).
- KVM: PPC: Book3S HV: Don't lose pending doorbell request on
migration on P9 (bnc#1151927).
- KVM: PPC: Book3S HV: Check for MMU ready on piggybacked virtual
cores (bnc#1151927).
- KVM: PPC: Book3S HV: Fix race in re-enabling XIVE escalation
interrupts (bnc#1151927).
- KVM: PPC: Book3S HV: Don't push XIVE context when not using
XIVE device (bnc#1151927).
- KVM: PPC: Book3S HV: XIVE: Free escalation interrupts before
disabling the VP (bnc#1151927).
- KVM: PPC: Book3S: Enable XIVE native capability only if OPAL
has required functions (bnc#1151927).
- KVM: s390: fix __insn32_query() inline assembly (bnc#1151927).
- Revert "s390/dasd: Add discard support for ESE volumes"
(bnc#1151927).
- s390/dasd: Fix error handling during online processing
(bnc#1151927).
- s390/cio: exclude subchannels with no parent from pseudo check
(bnc#1151927).
- s390/cio: avoid calling strlen on null pointer (bnc#1151927).
- s390/topology: avoid firing events before kobjs are created
(bnc#1151927).
- KVM: s390: Test for bad access register and size at the start
of S390_MEM_OP (bnc#1151927).
- s390/sclp: Fix bit checked for has_sipl (bnc#1151927).
- s390/process: avoid potential reading of freed stack
(bnc#1151927).
- commit 519ea7b
-------------------------------------------------------------------
Tue Oct 8 08:38:40 CEST 2019 - jslaby@suse.cz
- Linux 5.3.5 (bnc#1151927).
- vfs: set fs_context::user_ns for reconfigure (bnc#1151927).
- 9p/cache.c: Fix memory leak in v9fs_cache_session_get_cookie
(bnc#1151927).
- KVM: hyperv: Fix Direct Synthetic timers assert an interrupt
w/o lapic_in_kernel (bnc#1151927).
- kexec: bail out upon SIGKILL when allocating memory
(bnc#1151927).
- NFC: fix attrs checks in netlink interface (bnc#1151927).
- dm zoned: fix invalid memory access (bnc#1151927).
- dm raid: fix updating of max_discard_sectors limit
(bnc#1151927).
- smack: use GFP_NOFS while holding inode_smack::smk_lock
(bnc#1151927).
- Smack: Don't ignore other bprm->unsafe flags if
LSM_UNSAFE_PTRACE is set (bnc#1151927).
- net: sched: taprio: Avoid division by zero on invalid link speed
(bnc#1151927).
- net: sched: cbs: Avoid division by zero when calculating the
port rate (bnc#1151927).
- net: socionext: netsec: always grab descriptor lock
(bnc#1151927).
- net: dsa: sja1105: Prevent leaking memory (bnc#1151927).
- net: dsa: sja1105: Ensure PTP time for rxtstamp reconstruction
is not in the past (bnc#1151927).
- ptp_qoriq: Initialize the registers' spinlock before calling
ptp_qoriq_settime (bnc#1151927).
- net: dsa: sja1105: Fix sleeping while atomic in
.port_hwtstamp_set (bnc#1151927).
- xen-netfront: do not use ~0U as error return value for
xennet_fill_frags() (bnc#1151927).
- net: dsa: sja1105: Initialize the meta_lock (bnc#1151927).
- net/rds: Fix error handling in rds_ib_add_one() (bnc#1151927).
- udp: only do GSO if # of segs > 1 (bnc#1151927).
- tcp: adjust rto_base in retransmits_timed_out() (bnc#1151927).
- net: dsa: rtl8366: Check VLAN ID and not ports (bnc#1151927).
- vsock: Fix a lockdep warning in __vsock_release() (bnc#1151927).
- udp: fix gso_segs calculations (bnc#1151927).
- tipc: fix unlimited bundling of small messages (bnc#1151927).
- sch_dsmark: fix potential NULL deref in dsmark_init()
(bnc#1151927).
- sch_cbq: validate TCA_CBQ_WRROPT to avoid crash (bnc#1151927).
- rxrpc: Fix rxrpc_recvmsg tracepoint (bnc#1151927).
- qmi_wwan: add support for Cinterion CLS8 devices (bnc#1151927).
- nfc: fix memory leak in llcp_sock_bind() (bnc#1151927).
- net: Unpublish sk from sk_reuseport_cb before call_rcu
(bnc#1151927).
- net: sched: taprio: Fix potential integer overflow in
taprio_set_picos_per_byte (bnc#1151927).
- net: qlogic: Fix memory leak in ql_alloc_large_buffers
(bnc#1151927).
- net: ipv4: avoid mixed n_redirects and rate_tokens usage
(bnc#1151927).
- ipv6: Handle missing host route in __ipv6_ifa_notify
(bnc#1151927).
- ipv6: drop incoming packets having a v4mapped source address
(bnc#1151927).
- hso: fix NULL-deref on tty open (bnc#1151927).
- erspan: remove the incorrect mtu limit for erspan (bnc#1151927).
- cxgb4:Fix out-of-bounds MSI-X info array access (bnc#1151927).
- arm: use STACK_TOP when computing mmap base address
(bnc#1151927).
- arm: properly account for stack randomization and stack guard
gap (bnc#1151927).
- mips: properly account for stack randomization and stack guard
gap (bnc#1151927).
- arm64: consider stack randomization for mmap base only when
necessary (bnc#1151927).
- kmemleak: increase DEBUG_KMEMLEAK_EARLY_LOG_SIZE default to 16K
(bnc#1151927).
- ocfs2: wait for recovering done after direct unlock request
(bnc#1151927).
- kbuild: clean compressed initramfs image (bnc#1151927).
- mm: add dummy can_do_mlock() helper (bnc#1151927).
- crypto: hisilicon - Fix double free in sec_free_hw_sgl()
(bnc#1151927).
- tools/power/x86/intel-speed-select: Fix high priority core
mask over count (bnc#1151927).
- hypfs: Fix error number left in struct pointer member
(bnc#1151927).
- pktcdvd: remove warning on attempting to register
non-passthrough dev (bnc#1151927).
- fat: work around race with userspace's read via blockdev while
mounting (bnc#1151927).
- block, bfq: push up injection only after setting service time
(bnc#1151927).
- i2c: tegra: Move suspend handling to NOIRQ phase (bnc#1151927).
- ARM: 8903/1: ensure that usable memory in bank 0 starts from
a PMD-aligned address (bnc#1151927).
- ARM: 8905/1: Emit __gnu_mcount_nc when using Clang 10.0.0 or
newer (bnc#1151927).
- PCI: Use static const struct, not const static struct
(bnc#1151927).
- security: smack: Fix possible null-pointer dereferences in
smack_socket_sock_rcv_skb() (bnc#1151927).
- PCI: exynos: Propagate errors for optional PHYs (bnc#1151927).
- PCI: imx6: Propagate errors for optional regulators
(bnc#1151927).
- PCI: histb: Propagate errors for optional regulators
(bnc#1151927).
- PCI: rockchip: Propagate errors for optional regulators
(bnc#1151927).
- HID: apple: Fix stuck function keys when using FN (bnc#1151927).
- PCI: Add pci_info_ratelimited() to ratelimit PCI separately
(bnc#1151927).
- selinux: fix residual uses of current_security() for the
SELinux blob (bnc#1151927).
- power: supply: register HWMON devices with valid names
(bnc#1151927).
- rtc: pcf85363/pcf85263: fix regmap error in set_time
(bnc#1151927).
- rtc: snvs: fix possible race condition (bnc#1151927).
- ARM: 8875/1: Kconfig: default to AEABI w/ Clang (bnc#1151927).
- PCI: mobiveil: Fix the CPU base address setup in inbound window
(bnc#1151927).
- soundwire: intel: fix channel number reported by hardware
(bnc#1151927).
- ARM: 8898/1: mm: Don't treat faults reported from cache
maintenance as writes (bnc#1151927).
- mips/atomic: Fix smp_mb__{before,after}_atomic() (bnc#1151927).
- livepatch: Nullify obj->mod in klp_module_coming()'s error path
(bnc#1151927).
- PCI: layerscape: Add the bar_fixed_64bit property to the
endpoint driver (bnc#1151927).
- PCI: pci-hyperv: Fix build errors on non-SYSFS config
(bnc#1151927).
- mips/atomic: Fix loongson_llsc_mb() wreckage (bnc#1151927).
- rtc: bd70528: fix driver dependencies (bnc#1151927).
- HID: wacom: Fix several minor compiler warnings (bnc#1151927).
- PCI: tegra: Fix OF node reference leak (bnc#1151927).
- mfd: intel-lpss: Remove D3cold delay (bnc#1151927).
- i2c-cht-wc: Fix lockdep warning (bnc#1151927).
- MIPS: tlbex: Explicitly cast _PAGE_NO_EXEC to a boolean
(bnc#1151927).
- MIPS: Don't use bc_false uninitialized in __mm_isBranchInstr
(bnc#1151927).
- MIPS: Ingenic: Disable broken BTB lookup optimization
(bnc#1151927).
- ext4: fix potential use after free after remounting with
noblock_validity (bnc#1151927).
- f2fs: fix to drop meta/node pages during umount (bnc#1151927).
- dma-buf/sw_sync: Synchronize signal vs syncpt free
(bnc#1151927).
- scsi: core: Reduce memory required for SCSI logging
(bnc#1151927).
- clk: sprd: add missing kfree (bnc#1151927).
- mbox: qcom: add APCS child device for QCS404 (bnc#1151927).
- powerpc: dump kernel log before carrying out fadump or kdump
(bnc#1151927).
- clk: Make clk_bulk_get_all() return a valid "id" (bnc#1151927).
- clk: imx: clk-pll14xx: unbypass PLL by default (bnc#1151927).
- clk: imx: pll14xx: avoid glitch when set rate (bnc#1151927).
- clk: at91: select parent if main oscillator or bypass is enabled
(bnc#1151927).
- arm64: fix unreachable code issue with cmpxchg (bnc#1151927).
- ARM: dts: dir685: Drop spi-cpol from the display (bnc#1151927).
- mailbox: mediatek: cmdq: clear the event in cmdq initial flow
(bnc#1151927).
- pinctrl: meson-gxbb: Fix wrong pinning definition for uart_c
(bnc#1151927).
- powerpc/pseries: correctly track irq state in default idle
(bnc#1151927).
- clk: qcom: gcc-sdm845: Use floor ops for sdcc clks
(bnc#1151927).
- powerpc/eeh: Clean up EEH PEs after recovery finishes
(bnc#1151927).
- pstore: fs superblock limits (bnc#1151927).
- powerpc/64s/exception: machine check use correct cfar for late
handler (bnc#1151927).
- drm/amdgpu/si: fix ASIC tests (bnc#1151927).
- kbuild: Do not enable -Wimplicit-fallthrough for clang for now
(bnc#1151927).
- selftests/powerpc: Retry on host facility unavailable
(bnc#1151927).
- drm/amd/display: fix trigger not generated for freesync
(bnc#1151927).
- drm/amd/display: fix MPO HUBP underflow with Scatter Gather
(bnc#1151927).
- drm/amd/powerpaly: fix navi series custom peak level value error
(bnc#1151927).
- drm/amd/display: support spdif (bnc#1151927).
- clk: renesas: cpg-mssr: Set GENPD_FLAG_ALWAYS_ON for clock
domain (bnc#1151927).
- clk: renesas: mstp: Set GENPD_FLAG_ALWAYS_ON for clock domain
(bnc#1151927).
- pinctrl: amd: disable spurious-firing GPIO IRQs (bnc#1151927).
- drm/nouveau/volt: Fix for some cards having 0 maximum voltage
(bnc#1151927).
- drm/nouveau/kms/tu102-: disable input lut when input is already
FP16 (bnc#1151927).
- drm/amdgpu/sdma5: fix number of sdma5 trap irq types for navi1x
(bnc#1151927).
- vfio_pci: Restore original state on release (bnc#1151927).
- powerpc/eeh: Clear stale EEH_DEV_NO_HANDLER flag (bnc#1151927).
- pinctrl: tegra: Fix write barrier placement in pmx_writel
(bnc#1151927).
- powerpc/perf: fix imc allocation failure handling (bnc#1151927).
- powerpc/pseries/mobility: use cond_resched when updating device
tree (bnc#1151927).
- powerpc/64s/radix: Fix memory hotplug section page table
creation (bnc#1151927).
- powerpc/futex: Fix warning: 'oldval' may be used uninitialized
in this function (bnc#1151927).
- powerpc/ptdump: fix walk_pagetables() address mismatch
(bnc#1151927).
- powerpc/rtas: use device model APIs and serialization during
LPM (bnc#1151927).
- powerpc/xmon: Check for HV mode when dumping XIVE info from OPAL
(bnc#1151927).
- clk: sunxi: Don't call clk_hw_get_name() on a hw that isn't
registered (bnc#1151927).
- clk: zx296718: Don't reference clk_init_data after registration
(bnc#1151927).
- clk: sprd: Don't reference clk_init_data after registration
(bnc#1151927).
- clk: meson: axg-audio: Don't reference clk_init_data after
registration (bnc#1151927).
- clk: sirf: Don't reference clk_init_data after registration
(bnc#1151927).
- clk: actions: Don't reference clk_init_data after registration
(bnc#1151927).
- powerpc/powernv/ioda2: Allocate TCE table levels on demand
for default DMA window (bnc#1151927).
- drm/amd/display: Register VUPDATE_NO_LOCK interrupts for DCN2
(bnc#1151927).
- drm/amd/display: reprogram VM config when system resume
(bnc#1151927).
- drm/amd/display: Fix frames_to_insert math (bnc#1151927).
- drm/amd/display: fix issue where 252-255 values are clipped
(bnc#1151927).
- clk: sunxi-ng: v3s: add missing clock slices for MMC2 module
clocks (bnc#1151927).
- clk: ingenic/jz4740: Fix "pll half" divider not read/written
properly (bnc#1151927).
- clk: qoriq: Fix -Wunused-const-variable (bnc#1151927).
- ipmi_si: Only schedule continuously in the thread in maintenance
mode (bnc#1151927).
- pinctrl: stmfx: update pinconf settings (bnc#1151927).
- PCI: rpaphp: Avoid a sometimes-uninitialized warning
(bnc#1151927).
- clk: imx8mq: Mark AHB clock as critical (bnc#1151927).
- gpu: drm: radeon: Fix a possible null-pointer dereference in
radeon_connector_set_property() (bnc#1151927).
- drm/radeon: Fix EEH during kexec (bnc#1151927).
- drm/amd/display: Use proper enum conversion functions
(bnc#1151927).
- drm/amdgpu: Fix hard hang for S/G display BOs (bnc#1151927).
- drm/rockchip: Check for fast link training before enabling psr
(bnc#1151927).
- drm/panel: check failure cases in the probe func (bnc#1151927).
- drm/bridge: sii902x: fix missing reference to mclk clock
(bnc#1151927).
- drm/stm: attach gem fence to atomic state (bnc#1151927).
- drm/tinydrm/Kconfig: drivers: Select BACKLIGHT_CLASS_DEVICE
(bnc#1151927).
- video: ssd1307fb: Start page range at page_offset (bnc#1151927).
- drm/amd/display: Copy GSL groups when committing a new context
(bnc#1151927).
- drm/amd/display: Clear FEC_READY shadow register if DPCD write
fails (bnc#1151927).
- drm/amd/display: fix not calling ppsmu to trigger PME
(bnc#1151927).
- drm/amd/display: Power-gate all DSCs at driver init time
(bnc#1151927).
- drm/amd/display: add monitor patch to add T7 delay
(bnc#1151927).
- drm/panel: simple: fix AUO g185han01 horizontal blanking
(bnc#1151927).
- drm/vkms: Avoid assigning 0 for possible_crtc (bnc#1151927).
- drm/bridge: tc358767: Increase AUX transfer length limit
(bnc#1151927).
- drm/mcde: Fix uninitialized variable (bnc#1151927).
- drm/vkms: Fix crc worker races (bnc#1151927).
- commit 1838763
-------------------------------------------------------------------
Mon Oct 7 11:44:07 CEST 2019 - mbrugger@suse.com
- arm64: Update config files.
- commit 4f2941c
-------------------------------------------------------------------
Mon Oct 7 11:43:37 CEST 2019 - mbrugger@suse.com
- mm: refresh ZONE_DMA and ZONE_DMA32 comments in 'enum zone_type'
(jsc#SLE-7772).
- arm64: use both ZONE_DMA and ZONE_DMA32 (jsc#SLE-7772).
- arm64: rename variables used to calculate ZONE_DMA32's size
(jsc#SLE-7772).
- arm64: mm: use arm64_dma_phys_limit instead of calling
max_zone_dma_phys() (jsc#SLE-7772).
- mmc: sdhci-iproc: fix spurious interrupts on Multiblock reads
with bcm2711 (jsc#SLE-7772).
- MAINTAINERS: Add BCM2711 to BCM2835 ARCH (jsc#SLE-7772).
- arm64: dts: broadcom: Add reference to RPi 4 B (jsc#SLE-7772).
- ARM: dts: Add minimal Raspberry Pi 4 support (jsc#SLE-7772).
- ARM: bcm: Add support for BCM2711 SoC (jsc#SLE-7772).
- dt-bindings: arm: bcm2835: Add Raspberry Pi 4 to DT schema
(jsc#SLE-7772).
- dt-bindings: arm: Convert BCM2835 board/soc bindings to
json-schema (jsc#SLE-7772).
- ARM: dts: bcm283x: Move BCM2835/6/7 specific to
bcm2835-common.dtsi (jsc#SLE-7772).
- ARM: dts: bcm283x: Remove brcm, bcm2835-pl011 compatible
(jsc#SLE-7772).
- ARM: dts: bcm283x: Remove simple-bus from fixed clocks
(jsc#SLE-7772).
- bluetooth: hci_bcm: Fix RTS handling during startup
(jsc#SLE-7772).
- clk: bcm2835: Mark PLLD_PER as CRITICAL (jsc#SLE-7772).
- clk: bcm2835: Add BCM2711_CLOCK_EMMC2 support (jsc#SLE-7772).
- clk: bcm2835: Introduce SoC specific clock registration
(jsc#SLE-7772).
- dt-bindings: bcm2835-cprman: Add bcm2711 support (jsc#SLE-7772).
- mmc: bcm2835: Take SWIOTLB memory size limitation into account
(jsc#SLE-7772).
- mmc: sdhci-iproc: Add support for emmc2 of the BCM2711
(jsc#SLE-7772).
- dt-bindings: mmc: sdhci-iproc: Add brcm,bcm2711-emmc2
(jsc#SLE-7772).
- i2c: bcm2835: Add full name of devicetree node to adapter name
(jsc#SLE-7772).
- i2c: bcm2835: Avoid clk stretch quirk for BCM2711
(jsc#SLE-7772).
- dt-bindings: i2c: bcm2835: Add brcm,bcm2711 compatible
(jsc#SLE-7772).
- ARM: dts: bcm283x: Enable HDMI at board level (jsc#SLE-7772).
- ARM: dts: bcm283x: Define memory at board level (jsc#SLE-7772).
- ARM: dts: bcm283x: Define MMC interfaces at board level
(jsc#SLE-7772).
- pinctrl: bcm2835: Add support for BCM2711 pull-up functionality
(jsc#SLE-7772).
- pinctrl: bcm2835: Add brcm,bcm2711 compatible (jsc#SLE-7772).
- ARM: bcm283x: Reduce register ranges for UART, SPI and I2C
(jsc#SLE-7772).
- commit be4f5e9
-------------------------------------------------------------------
Mon Oct 7 00:39:29 CEST 2019 - mkubecek@suse.cz
- Update to 5.4-rc2
- Eliminated 2 patches
- Refresh config/i386/default
- commit 5b664b4
-------------------------------------------------------------------
Mon Oct 7 00:24:53 CEST 2019 - mkubecek@suse.cz
- Delete
patches.suse/module-swap-the-order-of-symbol.namespace.patch.
This is not the patch fixing bogus modpost warnings when building
out-of-tree modules. The patch supposed to fix them, when applied on its
own, makes modpost segfault. So let's better live with the warnings until
this is sorted in upstream.
- commit 5bf1873
-------------------------------------------------------------------
Sun Oct 6 18:09:06 CEST 2019 - mkubecek@suse.cz
- module: swap the order of symbol.namespace.
Fixes bogus modpost warnings when building out-of-tree modules.
- commit c3db450
-------------------------------------------------------------------
Sun Oct 6 08:32:50 CEST 2019 - jslaby@suse.cz
- Linux 5.3.4 (bnc#1151927).
- arcnet: provide a buffer big enough to actually receive packets
(bnc#1151927).
- cdc_ncm: fix divide-by-zero caused by invalid wMaxPacketSize
(bnc#1151927).
- ipv6: do not free rt if FIB_LOOKUP_NOREF is set on suppress rule
(bnc#1151927).
- macsec: drop skb sk before calling gro_cells_receive
(bnc#1151927).
- net/phy: fix DP83865 10 Mbps HDX loopback disable function
(bnc#1151927).
- net: qrtr: Stop rx_worker before freeing node (bnc#1151927).
- net/sched: act_sample: don't push mac header on ip6gre ingress
(bnc#1151927).
- net_sched: add max len check for TCA_KIND (bnc#1151927).
- net: stmmac: Fix page pool size (bnc#1151927).
- nfp: flower: fix memory leak in nfp_flower_spawn_vnic_reprs
(bnc#1151927).
- nfp: flower: prevent memory leak in nfp_flower_spawn_phy_reprs
(bnc#1151927).
- openvswitch: change type of UPCALL_PID attribute to NLA_UNSPEC
(bnc#1151927).
- ppp: Fix memory leak in ppp_write (bnc#1151927).
- sch_netem: fix a divide by zero in tabledist() (bnc#1151927).
- selftests: Update fib_tests to handle missing ping6
(bnc#1151927).
- skge: fix checksum byte order (bnc#1151927).
- tcp_bbr: fix quantization code to not raise cwnd if not probing
bandwidth (bnc#1151927).
- usbnet: ignore endpoints with invalid wMaxPacketSize
(bnc#1151927).
- usbnet: sanity checking of packet sizes and device mtu
(bnc#1151927).
- net/rds: Check laddr_check before calling it (bnc#1151927).
- net/mlx5e: Fix matching on tunnel addresses type (bnc#1151927).
- ipv6: fix a typo in fib6_rule_lookup() (bnc#1151927).
- selftests: Update fib_nexthop_multiprefix to handle missing
ping6 (bnc#1151927).
- net: phy: micrel: add Asym Pause workaround for KSZ9021
(bnc#1151927).
- net/sched: cbs: Fix not adding cbs instance to list
(bnc#1151927).
- ipv4: Revert removal of rt_uses_gateway (bnc#1151927).
- net_sched: add policy validation for action attributes
(bnc#1151927).
- vrf: Do not attempt to create IPv6 mcast rule if IPv6 is
disabled (bnc#1151927).
- net/mlx5e: Fix traffic duplication in ethtool steering
(bnc#1151927).
- net: sched: fix possible crash in tcf_action_destroy()
(bnc#1151927).
- tcp: better handle TCP_USER_TIMEOUT in SYN_SENT state
(bnc#1151927).
- net/mlx5: Add device ID of upcoming BlueField-2 (bnc#1151927).
- mISDN: enforce CAP_NET_RAW for raw sockets (bnc#1151927).
- appletalk: enforce CAP_NET_RAW for raw sockets (bnc#1151927).
- ax25: enforce CAP_NET_RAW for raw sockets (bnc#1151927).
- ieee802154: enforce CAP_NET_RAW for raw sockets (bnc#1151927).
- nfc: enforce CAP_NET_RAW for raw sockets (bnc#1151927).
- ALSA: hda: Flush interrupts on disabling (bnc#1151927).
- ASoC: SOF: Intel: hda: Make hdac_device device-managed
(bnc#1151927).
- cpufreq: ap806: Add NULL check after kcalloc (bnc#1151927).
- ALSA: hda/hdmi - Don't report spurious jack state changes
(bnc#1151927).
- regulator: lm363x: Fix off-by-one n_voltages for lm3632
ldo_vpos/ldo_vneg (bnc#1151927).
- regulator: lm363x: Fix n_voltages setting for lm36274
(bnc#1151927).
- spi: dw-mmio: Clock should be shut when error occurs
(bnc#1151927).
- ASoC: tlv320aic31xx: suppress error message for EPROBE_DEFER
(bnc#1151927).
- ASoC: sgtl5000: Fix of unmute outputs on probe (bnc#1151927).
- ASoC: sgtl5000: Fix charge pump source assignment (bnc#1151927).
- firmware: qcom_scm: Use proper types for dma mappings
(bnc#1151927).
- dmaengine: bcm2835: Print error in case setting DMA mask fails
(bnc#1151927).
- leds: leds-lp5562 allow firmware files up to the maximum length
(bnc#1151927).
- ASoC: SOF: reset DMA state in prepare (bnc#1151927).
- media: dib0700: fix link error for dibx000_i2c_set_speed
(bnc#1151927).
- media: mtk-cir: lower de-glitch counter for rc-mm protocol
(bnc#1151927).
- ASoC: SOF: pci: mark last_busy value at runtime PM init
(bnc#1151927).
- media: exynos4-is: fix leaked of_node references (bnc#1151927).
- media: vivid:add sanity check to avoid divide error and set
value to 1 if 0 (bnc#1151927).
- media: vb2: reorder checks in vb2_poll() (bnc#1151927).
- media: vivid: work around high stack usage with clang
(bnc#1151927).
- media: hdpvr: Add device num check and handling (bnc#1151927).
- media: i2c: ov5640: Check for devm_gpiod_get_optional() error
(bnc#1151927).
- time/tick-broadcast: Fix tick_broadcast_offline() lockdep
complaint (bnc#1151927).
- sched/fair: Fix imbalance due to CPU affinity (bnc#1151927).
- sched/core: Fix CPU controller for !RT_GROUP_SCHED
(bnc#1151927).
- x86/apic: Make apic_pending_intr_clear() more robust
(bnc#1151927).
- sched/deadline: Fix bandwidth accounting at all levels after
offline migration (bnc#1151927).
- x86/reboot: Always use NMI fallback when shutdown via reboot
vector IPI fails (bnc#1151927).
- rcu/tree: Call setschedule() gp ktread to SCHED_FIFO outside
of atomic region (bnc#1151927).
- x86/apic: Soft disable APIC before initializing it
(bnc#1151927).
- ALSA: hda - Show the fatal CORB/RIRB error more clearly
(bnc#1151927).
- ALSA: i2c: ak4xxx-adda: Fix a possible null pointer dereference
in build_adc_controls() (bnc#1151927).
- rcu: Add destroy_work_on_stack() to match INIT_WORK_ONSTACK()
(bnc#1151927).
- EDAC/mc: Fix grain_bits calculation (bnc#1151927).
- arm64: dts: imx8mq: Correct OPP table according to latest
datasheet (bnc#1151927).
- media: iguanair: add sanity checks (bnc#1151927).
- cpuidle: teo: Allow tick to be stopped if PM QoS is used
(bnc#1151927).
- gpio: madera: Add support for Cirrus Logic CS47L15
(bnc#1151927).
- gpio: madera: Add support for Cirrus Logic CS47L92
(bnc#1151927).
- arm64: mm: free the initrd reserved memblock in a aligned manner
(bnc#1151927).
- soc: amlogic: meson-clk-measure: protect measure with a mutex
(bnc#1151927).
- base: soc: Export soc_device_register/unregister APIs
(bnc#1151927).
- ALSA: usb-audio: Skip bSynchAddress endpoint check if it is
invalid (bnc#1151927).
- ia64:unwind: fix double free for mod->arch.init_unw_table
(bnc#1151927).
- EDAC/altera: Use the proper type for the IRQ status bits
(bnc#1151927).
- ASoC: rsnd: don't call clk_get_rate() under atomic context
(bnc#1151927).
- arm64/prefetch: fix a -Wtype-limits warning (bnc#1151927).
- md/raid1: end bio when the device faulty (bnc#1151927).
- md: don't call spare_active in md_reap_sync_thread if all
member devices can't work (bnc#1151927).
- md: don't set In_sync if array is frozen (bnc#1151927).
- media: media/platform: fsl-viu.c: fix build for MICROBLAZE
(bnc#1151927).
- media: staging: tegra-vde: Fix build error (bnc#1151927).
- RAS: Build debugfs.o only when enabled in Kconfig (bnc#1151927).
- ASoC: hdac_hda: fix page fault issue by removing race
(bnc#1151927).
- ACPI / processor: don't print errors for processorIDs == 0xff
(bnc#1151927).
- loop: Add LOOP_SET_DIRECT_IO to compat ioctl (bnc#1151927).
- perf tools: Fix paths in include statements (bnc#1151927).
- EDAC, pnd2: Fix ioremap() size in dnv_rd_reg() (bnc#1151927).
- efi: cper: print AER info of PCIe fatal error (bnc#1151927).
- firmware: arm_scmi: Check if platform has released shmem before
using (bnc#1151927).
- sched/fair: Use rq_lock/unlock in online_fair_sched_group
(bnc#1151927).
- idle: Prevent late-arriving interrupts from disrupting offline
(bnc#1151927).
- blk-mq: Fix memory leak in blk_mq_init_allocated_queue error
handling (bnc#1151927).
- media: gspca: zero usb_buf on error (bnc#1151927).
- perf config: Honour $PERF_CONFIG env var to specify alternate
.perfconfig (bnc#1151927).
- perf test vfs_getname: Disable ~/.perfconfig to get default
output (bnc#1151927).
- media: mtk-mdp: fix reference count on old device tree
(bnc#1151927).
- media: i2c: tda1997x: prevent potential NULL pointer access
(bnc#1151927).
- media: fdp1: Reduce FCP not found message level to debug
(bnc#1151927).
- media: em28xx: modules workqueue not inited for 2nd device
(bnc#1151927).
- arm64/efi: Move variable assignments after SECTIONS
(bnc#1151927).
- perf unwind: Fix libunwind when tid != pid (bnc#1151927).
- media: rc: imon: Allow iMON RC protocol for ffdc 7e device
(bnc#1151927).
- dmaengine: iop-adma: use correct printk format strings
(bnc#1151927).
- ARM: xscale: fix multi-cpu compilation (bnc#1151927).
- perf record: Support aarch64 random socket_id assignment
(bnc#1151927).
- media: vsp1: fix memory leak of dl on error return path
(bnc#1151927).
- media: i2c: ov5645: Fix power sequence (bnc#1151927).
- media: omap3isp: Don't set streaming state on random subdevs
(bnc#1151927).
- media: imx: mipi csi-2: Don't fail if initial state times-out
(bnc#1151927).
- kasan/arm64: fix CONFIG_KASAN_SW_TAGS && KASAN_INLINE
(bnc#1151927).
- net: lpc-enet: fix printk format strings (bnc#1151927).
- m68k: Prevent some compiler warnings in Coldfire builds
(bnc#1151927).
- ARM: dts: imx7d: cl-som-imx7: make ethernet work again
(bnc#1151927).
- arm64: dts: qcom: qcs404-evb: Mark WCSS clocks protected
(bnc#1151927).
- ARM: dts: imx7-colibri: disable HS400 (bnc#1151927).
- x86/platform/intel/iosf_mbi Rewrite locking (bnc#1151927).
- media: radio/si470x: kill urb on error (bnc#1151927).
- media: hdpvr: add terminating 0 at end of string (bnc#1151927).
- ASoC: uniphier: Fix double reset assersion when transitioning
to suspend state (bnc#1151927).
- powerpc/Makefile: Always pass --synthetic to nm if supported
(bnc#1151927).
- tools headers: Fixup bitsperlong per arch includes
(bnc#1151927).
- ASoC: sun4i-i2s: Don't use the oversample to calculate BCLK
(bnc#1151927).
- ASoC: mchp-i2s-mcc: Wait for RX/TX RDY only if controller is
running (bnc#1151927).
- led: triggers: Fix a memory leak bug (bnc#1151927).
- ASoC: mchp-i2s-mcc: Fix unprepare of GCLK (bnc#1151927).
- nbd: add missing config put (bnc#1151927).
- ACPI / APEI: Release resources if gen_pool_add() fails
(bnc#1151927).
- arm64: entry: Move ct_user_exit before any other exception
(bnc#1151927).
- s390/kasan: provide uninstrumented __strlen (bnc#1151927).
- media: mceusb: fix (eliminate) TX IR signal length limit
(bnc#1151927).
- media: dvb-frontends: use ida for pll number (bnc#1151927).
- posix-cpu-timers: Sanitize bogus WARNONS (bnc#1151927).
- media: dvb-core: fix a memory leak bug (bnc#1151927).
- EDAC/amd64: Support more than two controllers for chip selects
handling (bnc#1151927).
- cpufreq: imx-cpufreq-dt: Add i.MX8MN support (bnc#1151927).
- libperf: Fix alignment trap with xyarray contents in 'perf stat'
(bnc#1151927).
- EDAC/amd64: Recognize DRAM device type ECC capability
(bnc#1151927).
- EDAC/amd64: Decode syndrome before translating address
(bnc#1151927).
- ARM: at91: move platform-specific asm-offset.h to
arch/arm/mach-at91 (bnc#1151927).
- soc: renesas: rmobile-sysc: Set GENPD_FLAG_ALWAYS_ON for
always-on domain (bnc#1151927).
- soc: renesas: Enable ARM_ERRATA_754322 for affected Cortex-A9
(bnc#1151927).
- PM / devfreq: Fix kernel oops on governor module load
(bnc#1151927).
- ARM: OMAP2+: move platform-specific asm-offset.h to
arch/arm/mach-omap2 (bnc#1151927).
- PM / devfreq: passive: Use non-devm notifiers (bnc#1151927).
- PM / devfreq: exynos-bus: Correct clock enable sequence
(bnc#1151927).
- media: cec-notifier: clear cec_adap in cec_notifier_unregister
(bnc#1151927).
- media: saa7146: add cleanup in hexium_attach() (bnc#1151927).
- media: cpia2_usb: fix memory leaks (bnc#1151927).
- media: saa7134: fix terminology around
saa7134_i2c_eeprom_md7134_gate() (bnc#1151927).
- perf trace beauty ioctl: Fix off-by-one error in cmd->string
table (bnc#1151927).
- perf report: Fix --ns time sort key output (bnc#1151927).
- perf script: Fix memory leaks in list_scripts() (bnc#1151927).
- media: aspeed-video: address a protential usage of an
unitialized var (bnc#1151927).
- media: ov9650: add a sanity check (bnc#1151927).
- leds: lm3532: Fixes for the driver for stability (bnc#1151927).
- ASoC: es8316: fix headphone mixer volume table (bnc#1151927).
- ACPI / CPPC: do not require the _PSD method (bnc#1151927).
- sched/cpufreq: Align trace event behavior of fast switching
(bnc#1151927).
- arm64: dts: meson: fix boards regulators states format
(bnc#1151927).
- x86/apic/vector: Warn when vector space exhaustion breaks
affinity (bnc#1151927).
- arm64: kpti: ensure patched kernel text is fetched from PoU
(bnc#1151927).
- perf evlist: Use unshare(CLONE_FS) in sb threads to let
setns(CLONE_NEWNS) work (bnc#1151927).
- arm64: Use correct ll/sc atomic constraints (bnc#1151927).
- jump_label: Don't warn on __exit jump entries (bnc#1151927).
- x86/mm/pti: Do not invoke PTI functions when PTI is disabled
(bnc#1151927).
- ASoC: fsl_ssi: Fix clock control issue in master mode
(bnc#1151927).
- x86/mm/pti: Handle unaligned address gracefully in
pti_clone_pagetable() (bnc#1151927).
- nvmet: fix data units read and written counters in SMART log
(bnc#1151927).
- nvme-multipath: fix ana log nsid lookup when nsid is not found
(bnc#1151927).
- ALSA: firewire-motu: add support for MOTU 4pre (bnc#1151927).
- iommu/amd: Silence warnings under memory pressure (bnc#1151927).
- ASoC: Intel: Haswell: Adjust machine device private context
(bnc#1151927).
- libata/ahci: Drop PCS quirk for Denverton and beyond
(bnc#1151927).
- iommu/iova: Avoid false sharing on fq_timer_on (bnc#1151927).
- libtraceevent: Change users plugin directory (bnc#1151927).
- ASoC: dt-bindings: sun4i-spdif: Fix dma-names warning
(bnc#1151927).
- ARM: dts: exynos: Mark LDO10 as always-on on Peach Pit/Pi
Chromebooks (bnc#1151927).
- x86/amd_nb: Add PCI device IDs for family 17h, model 70h
(bnc#1151927).
- ACPI: custom_method: fix memory leaks (bnc#1151927).
- ACPI / PCI: fix acpi_pci_irq_enable() memory leak (bnc#1151927).
- closures: fix a race on wakeup from closure_sync (bnc#1151927).
- hwmon: (k10temp) Add support for AMD family 17h, model 70h CPUs
(bnc#1151927).
- hwmon: (acpi_power_meter) Change log level for 'unsafe software
power cap' (bnc#1151927).
- md/raid1: fail run raid1 array when active disk less than one
(bnc#1151927).
- dmaengine: ti: edma: Do not reset reserved paRAM slots
(bnc#1151927).
- kprobes: Prohibit probing on BUG() and WARN() address
(bnc#1151927).
- x86/mm: Fix cpumask_of_node() error condition (bnc#1151927).
- irqchip/sifive-plic: set max threshold for ignored handlers
(bnc#1151927).
- s390/crypto: xts-aes-s390 fix extra run-time crypto self tests
finding (bnc#1151927).
- irqchip/gic-v3-its: Fix LPI release for Multi-MSI devices
(bnc#1151927).
- x86/cpu: Add Tiger Lake to Intel family (bnc#1151927).
- platform/x86: intel_pmc_core: Do not ioremap RAM (bnc#1151927).
- platform/x86: intel_pmc_core_pltdrv: Module removal warning fix
(bnc#1151927).
- ASoC: dmaengine: Make the pcm->name equal to pcm->id if the
name is not set (bnc#1151927).
- tools/power/x86/intel-speed-select: Fix memory leak
(bnc#1151927).
- spi: bcm2835: Work around DONE bit erratum (bnc#1151927).
- io_uring: fix wrong sequence setting logic (bnc#1151927).
- block: make rq sector size accessible for block stats
(bnc#1151927).
- raid5: don't set STRIPE_HANDLE to stripe which is in batch list
(bnc#1151927).
- mmc: core: Clarify sdio_irq_pending flag for
MMC_CAP2_SDIO_IRQ_NOTHREAD (bnc#1151927).
- sched/psi: Correct overly pessimistic size calculation
(bnc#1151927).
- mmc: sdhci: Fix incorrect switch to HS mode (bnc#1151927).
- mmc: core: Add helper function to indicate if SDIO IRQs is
enabled (bnc#1151927).
- mmc: dw_mmc: Re-store SDIO IRQs mask at system resume
(bnc#1151927).
- raid5: don't increment read_errors on EILSEQ return
(bnc#1151927).
- mmc: mtk-sd: Re-store SDIO IRQs mask at system resume
(bnc#1151927).
- libertas: Add missing sentinel at end of if_usb.c fw_table
(bnc#1151927).
- e1000e: add workaround for possible stalled packet
(bnc#1151927).
- ALSA: hda - Add a quirk model for fixing Huawei Matebook X
right speaker (bnc#1151927).
- ALSA: hda - Drop unsol event handler for Intel HDMI codecs
(bnc#1151927).
- drm/amd/powerplay/smu7: enforce minimal VBITimeout (v2)
(bnc#1151927).
- media: ttusb-dec: Fix info-leak in ttusb_dec_send_command()
(bnc#1151927).
- drm: fix module name in edid_firmware log message (bnc#1151927).
- ALSA: hda/realtek - Blacklist PC beep for Lenovo ThinkCentre
M73/93 (bnc#1151927).
- iommu/amd: Override wrong IVRS IOAPIC on Raven Ridge systems
(bnc#1151927).
- zd1211rw: remove false assertion from zd_mac_clear()
(bnc#1151927).
- btrfs: delayed-inode: Kill the BUG_ON() in
btrfs_delete_delayed_dir_index() (bnc#1151927).
- btrfs: extent-tree: Make sure we only allocate extents from
block groups with the same type (bnc#1151927).
- btrfs: tree-checker: Add ROOT_ITEM check (bnc#1151927).
- btrfs: Detect unbalanced tree with empty leaf before crashing
btree operations (bnc#1151927).
- kvm: Nested KVM MMUs need PAE root too (bnc#1151927).
- media: omap3isp: Set device on omap3isp subdevs (bnc#1151927).
- PM / devfreq: passive: fix compiler warning (bnc#1151927).
- ARM: dts: logicpd-torpedo-baseboard: Fix missing video
(bnc#1151927).
- ARM: omap2plus_defconfig: Fix missing video (bnc#1151927).
- ALSA: firewire-tascam: handle error code when getting current
source of clock (bnc#1151927).
- ALSA: firewire-tascam: check intermediate state of clock status
and retry (bnc#1151927).
- scsi: scsi_dh_rdac: zero cdb in send_mode_select()
(bnc#1151927).
- scsi: qla2xxx: Fix Relogin to prevent modifying scan_state flag
(bnc#1151927).
- printk: Do not lose last line in kmsg buffer dump (bnc#1151927).
- IB/mlx5: Free mpi in mp_slave mode (bnc#1151927).
- IB/hfi1: Define variables as unsigned long to fix KASAN warning
(bnc#1151927).
- IB/hfi1: Do not update hcrc for a KDETH packet during fault
injection (bnc#1151927).
- RDMA: Fix double-free in srq creation error flow (bnc#1151927).
- randstruct: Check member structs in is_pure_ops_struct()
(bnc#1151927).
- ARM: dts: am3517-evm: Fix missing video (bnc#1151927).
- rcu/tree: Fix SCHED_FIFO params (bnc#1151927).
- ALSA: hda/realtek - PCI quirk for Medion E4254 (bnc#1151927).
- blk-mq: add callback of .cleanup_rq (bnc#1151927).
- scsi: implement .cleanup_rq callback (bnc#1151927).
- powerpc/imc: Dont create debugfs files for cpu-less nodes
(bnc#1151927).
- tpm_tis_core: Turn on the TPM before probing IRQ's
(bnc#1151927).
- tpm_tis_core: Set TPM_CHIP_FLAG_IRQ before probing for
interrupts (bnc#1151927).
- tpm: Wrap the buffer from the caller to tpm_buf in tpm_send()
(bnc#1151927).
- fuse: fix deadlock with aio poll and fuse_iqueue::waitq.lock
(bnc#1151927).
- fuse: fix missing unlock_page in fuse_writepage() (bnc#1151927).
- fuse: fix beyond-end-of-page access in fuse_parse_cache()
(bnc#1151927).
- parisc: Disable HP HSC-PCI Cards to prevent kernel crash
(bnc#1151927).
- platform/x86: intel_int0002_vgpio: Fix wakeups not working on
Cherry Trail (bnc#1151927).
- KVM: x86: always stop emulation on page fault (bnc#1151927).
- KVM: x86: set ctxt->have_exception in x86_decode_insn()
(bnc#1151927).
- KVM: x86: Manually calculate reserved bits when loading PDPTRS
(bnc#1151927).
- KVM: x86: Disable posted interrupts for non-standard IRQs
delivery modes (bnc#1151927).
- kvm: x86: Add "significant index" flag to a few CPUID leaves
(bnc#1151927).
- KVM: x86/mmu: Use fast invalidate mechanism to zap MMIO sptes
(bnc#1151927).
- media: videobuf-core.c: poll_wait needs a non-NULL buf pointer
(bnc#1151927).
- media: sn9c20x: Add MSI MS-1039 laptop to flip_dmi_table
(bnc#1151927).
- media: hantro: Set DMA max segment size (bnc#1151927).
- media: don't drop front-end reference count for ->detach
(bnc#1151927).
- media: vivid: fix device init when no_error_inj=1 and fb
disabled (bnc#1151927).
- spi: ep93xx: Repair SPI CS lookup tables (bnc#1151927).
- spi: spi-fsl-dspi: Exit the ISR with IRQ_NONE when it's not ours
(bnc#1151927).
- binfmt_elf: Do not move brk for INTERP-less ET_EXEC
(bnc#1151927).
- ASoC: Intel: NHLT: Fix debug print format (bnc#1151927).
- ASoC: Intel: Skylake: Use correct function to access iomem space
(bnc#1151927).
- ASoC: Intel: Fix use of potentially uninitialized variable
(bnc#1151927).
- staging: erofs: cannot set EROFS_V_Z_INITED_BIT if
fill_inode_lazy fails (bnc#1151927).
- ARM: samsung: Fix system restart on S3C6410 (bnc#1151927).
- ARM: zynq: Use memcpy_toio instead of memcpy on smp bring-up
(bnc#1151927).
- arm64: tlb: Ensure we execute an ISB following walk cache
invalidation (bnc#1151927).
- arm64: dts: rockchip: limit clock rate of MMC controllers for
RK3328 (bnc#1151927).
- iommu/arm-smmu-v3: Disable detection of ATS and PRI
(bnc#1151927).
- alarmtimer: Use EOPNOTSUPP instead of ENOTSUPP (bnc#1151927).
- iommu/vt-d: Fix wrong analysis whether devices share the same
bus (bnc#1151927).
- regulator: Defer init completion for a while after late_initcall
(bnc#1151927).
- efifb: BGRT: Improve efifb_bgrt_sanity_check (bnc#1151927).
- gfs2: clear buf_in_tr when ending a transaction in
sweep_bh_for_rgrps (bnc#1151927).
- z3fold: fix retry mechanism in page reclaim (bnc#1151927).
- z3fold: fix memory leak in kmem cache (bnc#1151927).
- mm/compaction.c: clear total_{migrate,free}_scanned before
scanning a new zone (bnc#1151927).
- memcg, oom: don't require __GFP_FS when invoking memcg OOM
killer (bnc#1151927).
- memcg, kmem: do not fail __GFP_NOFAIL charges (bnc#1151927).
- lib/lzo/lzo1x_compress.c: fix alignment bug in lzo-rle
(bnc#1151927).
- mt76: round up length on mt76_wr_copy (bnc#1151927).
- KEYS: trusted: correctly initialize digests and fix locking
issue (bnc#1151927).
- rtw88: pci: Rearrange the memory usage for skb in RX ISR
(bnc#1151927).
- rtw88: pci: Use DMA sync instead of remapping in RX ISR
(bnc#1151927).
- ath10k: fix channel info parsing for non tlv target
(bnc#1151927).
- i40e: check __I40E_VF_DISABLE bit in i40e_sync_filters_subtask
(bnc#1151927).
- block: mq-deadline: Fix queue restart handling (bnc#1151927).
- block: fix null pointer dereference in blk_mq_rq_timed_out()
(bnc#1151927).
- smb3: allow disabling requesting leases (bnc#1151927).
- smb3: fix unmount hang in open_shroot (bnc#1151927).
- smb3: fix leak in "open on server" perf counter (bnc#1151927).
- ovl: Fix dereferencing possible ERR_PTR() (bnc#1151927).
- ovl: filter of trusted xattr results in audit (bnc#1151927).
- btrfs: fix allocation of free space cache v1 bitmap pages
(bnc#1151927).
- Btrfs: fix use-after-free when using the tree modification log
(bnc#1151927).
- btrfs: Relinquish CPUs in btrfs_compare_trees (bnc#1151927).
- btrfs: adjust dirty_metadata_bytes after writeback failure of
extent buffer (bnc#1151927).
- btrfs: qgroup: Fix the wrong target io_tree when freeing
reserved data space (bnc#1151927).
- btrfs: qgroup: Fix reserved data space leak if we have multiple
reserve calls (bnc#1151927).
- Btrfs: fix race setting up and completing qgroup rescan workers
(bnc#1151927).
- btrfs: Fix a regression which we can't convert to SINGLE profile
(bnc#1151927).
- SUNRPC: Dequeue the request from the receive queue while we're
re-encoding (bnc#1151927).
- SUNRPC: Fix buffer handling of GSS MIC without slack
(bnc#1151927).
- ACPI / LPSS: Save/restore LPSS private registers also on
Lynxpoint (bnc#1151927).
- md/raid6: Set R5_ReadError when there is read failure on parity
disk (bnc#1151927).
- md: don't report active array_state until after
revalidate_disk() completes (bnc#1151927).
- md: only call set_in_sync() when it is expected to succeed
(bnc#1151927).
- cfg80211: Purge frame registrations on iftype change
(bnc#1151927).
- /dev/mem: Bail out upon SIGKILL (bnc#1151927).
- fs: Export generic_fadvise() (bnc#1151927).
- mm: Handle MADV_WILLNEED through vfs_fadvise() (bnc#1151927).
- xfs: Fix stale data exposure when readahead races with hole
punch (bnc#1151927).
- ipmi: move message error checking to avoid deadlock
(bnc#1151927).
- mtd: rawnand: stm32_fmc2: avoid warnings when building with
W=1 option (bnc#1151927).
- ext4: fix warning inside ext4_convert_unwritten_extents_endio
(bnc#1151927).
- ext4: fix punch hole for inline_data file systems (bnc#1151927).
- quota: fix wrong condition in is_quota_modification()
(bnc#1151927).
- hwrng: core - don't wait on add_early_randomness()
(bnc#1151927).
- i2c: riic: Clear NACK in tend isr (bnc#1151927).
- CIFS: fix max ea value size (bnc#1151927).
- CIFS: Fix oplock handling for SMB 2.1+ protocols (bnc#1151927).
- drm/amd/display: Restore backlight brightness after system
resume (bnc#1151927).
- drm/amd/display: dce11.x /dce12 update formula input
(bnc#1151927).
- drm/amd/display: Add missing HBM support and raise Vega20's uclk
(bnc#1151927).
- drm/amdgpu/display: fix 64 bit divide (bnc#1151927).
- md/raid0: avoid RAID0 data corruption due to layout confusion
(bnc#1151927).
- mt76: mt7615: always release sem in mt7615_load_patch
(bnc#1151927).
- mt76: mt7615: fix mt7615 firmware path definitions
(bnc#1151927).
- platform/chrome: cros_ec_rpmsg: Fix race with host command
when probe failed (bnc#1151927).
- commit 6a02213
-------------------------------------------------------------------
Sun Oct 6 08:31:38 CEST 2019 - jslaby@suse.cz
- Linux 5.3.3 (bnc#1151927).
- Revert "Linux 5.3.2" (bnc#1151927).
- Linux 5.3.2 (bnc#1151927).
- commit 98a6219
-------------------------------------------------------------------
Fri Oct 4 09:27:16 CEST 2019 - vbabka@suse.cz
- config: enable DEBUG_PAGEALLOC (jsc#PM-1168, bsc#1144653)
Build with page allocation debugging (boot with debug_pagealloc=on to enable).
[js] run oldconfig
- config: enable DEBUG_PAGEALLOC (jsc#PM-1168, bsc#1144653)
Build with page allocation debugging (boot with debug_pagealloc=on to enable).
- commit f6f1081
-------------------------------------------------------------------
Wed Oct 2 07:55:00 CEST 2019 - jslaby@suse.cz
- iwlwifi: fw: don't send GEO_TX_POWER_LIMIT command to FW
version 36 (bnc#1152036).
- commit c2cf223
-------------------------------------------------------------------
Wed Oct 2 07:03:52 CEST 2019 - mkubecek@suse.cz
- s390: mark __cpacf_query() as __always_inline.
Fix s390x/zfcpdump build.
- commit 6fce476
-------------------------------------------------------------------
Tue Oct 1 20:39:21 CEST 2019 - mkubecek@suse.cz
- config: refresh vanilla configs
Configs for vanilla flavor also need a refresh.
- commit f67b42c
-------------------------------------------------------------------
Tue Oct 1 12:56:33 CEST 2019 - mkubecek@suse.cz
- config: enable X86_5LEVEL (jsc#SLE-9308)
Enable 5-level page tables (x86_64 architecture only).
- commit 4e52759
-------------------------------------------------------------------
Tue Oct 1 12:49:03 CEST 2019 - mkubecek@suse.cz
- Update to 5.4-rc1
- Eliminated 23 patches (22 stable, 1 other)
- ARM configs need update
- Refresh
patches.rpmify/scripts-mkmakefile-honor-second-argument.patch
patches.suse/Revert-netfilter-conntrack-remove-helper-hook-again.patch
patches.suse/btrfs-fs-super.c-add-new-super-block-devices-super_block_d.patch
patches.suse/kernel-add-product-identifying-information-to-kernel-build.patch
patches.suse/supported-flag
patches.suse/supported-flag-external
patches.suse/vfs-add-super_operations-get_inode_dev
- fix 32-bit builds:
patches.rpmify/mlx5-avoid-64-bit-division-in-dr_icm_pool_mr_create.patch
- New config options:
- General setup
- UCLAMP_TASK_GROUP=n
- MODULE_ALLOW_MISSING_NAMESPACE_IMPORTS=n
- Power management and ACPI options
- CPU_IDLE_GOV_HALTPOLL=y
- HALTPOLL_CPUIDLE=m
- Block layer
- BLK_CGROUP_IOCOST=y
- DM_CLONE=m
- DM_VERITY_VERIFY_ROOTHASH_SIG=n
- Memory Management
- READ_ONLY_THP_FOR_FS=y
- Networking
- NET_TC_SKB_EXT=y
- CAN_J1939=m
- CAN_KVASER_PCIEFD=m
- CAN_M_CAN_PLATFORM=m
- CAN_M_CAN_TCAN4X5X=m
- CAN_F81601=m
- NET_DSA_MICROCHIP_KSZ9477_I2C=m
- NET_DSA_MICROCHIP_KSZ8795=m
- NET_DSA_MICROCHIP_KSZ8795_SPI=m
- NET_DSA_SJA1105_TAS=y
- MLX5_SW_STEERING=y
- NET_VENDOR_PENSANDO=y
- IONIC=m
- ADIN_PHY=m
- ATH9K_PCI_NO_EEPROM=m
- Power management
- SENSORS_AS370=m
- SENSORS_INSPUR_IPSPS=m
- REGULATOR_SY8824X=m
- Graphics
- DRM_AMD_DC_DCN2_1=y
- DRM_GM12U320=m
- Sound
- SND_HDA_INTEL_DETECT_DMIC=y
- SND_SOC_INTEL_CML_LP_DA7219_MAX98357A_MACH=m
- SND_SOC_SOF_TIGERLAKE_SUPPORT=y
- SND_SOC_SOF_ELKHARTLAKE_SUPPORT=y
- SND_SOC_UDA1334=n
- SND_SOC_SOF_OF=m
- USB
- USB_CONN_GPIO=m
- USB_CDNS3=m
- USB_CDNS3_HOST=y
- USB_CDNS3_PCI_WRAP=m
- Platform support
- CROS_EC=m
- CROS_EC_CHARDEV=m
- CROS_EC_LIGHTBAR=m
- CROS_EC_DEBUGFS=m
- CROS_EC_SYSFS=m
- CROS_EC_VBC=m
- Industrial I/O
- ADIS16460=n
- NOA1305=n
- MAX5432=n
- Misc drivers
- EFI_RCI2_TABLE=n
- MTD_NAND_MXIC=m
- JOYSTICK_FSIA6B=m
- REMOTEPROC=n
- SERIAL_FSL_LINFLEXUART=m
- MFD_CROS_EC_DEV=m
- W1_MASTER_SGI=m
- W1_SLAVE_DS250X=m
- VIDEO_OV5675=m
- HID_CREATIVE_SB0540=m
- DMABUF_SELFTESTS=n
- MOXTET=n
- File systems
- FS_VERITY=y
- FS_VERITY_DEBUG=n
- FS_VERITY_BUILTIN_SIGNATURES=n
- VIRTIO_FS=m
- EXFAT_FS=m
- EXFAT_DONT_MOUNT_VFAT=y
- EXFAT_DISCARD=y
- EXFAT_DELAYED_SYNC=n
- EXFAT_KERNEL_DEBUG=n
- EXFAT_DEBUG_MSG=n
- EXFAT_DEFAULT_CODEPAGE=437
- EXFAT_DEFAULT_IOCHARSET="utf8"
- Security
- SECURITY_LOCKDOWN_LSM=y
- SECURITY_LOCKDOWN_LSM_EARLY=y
- LOCK_DOWN_KERNEL_FORCE_NONE=y
- IMA_DEFAULT_HASH_SHA512=n
- IMA_APPRAISE_MODSIG=y
- RANDOM_TRUST_BOOTLOADER=y
- KEXEC_SIG=y
- KEXEC_SIG_FORCE=n
- KEXEC_BZIMAGE_VERIFY_SIG=y
- Cryptographic API
- CRYPTO_ESSIV=m
- CRYPTO_DEV_CCP_DEBUGFS=n
- CRYPTO_DEV_SAFEXCEL=m
- PowerPC
- PPC_SVM=y
- OPAL_CORE=n
- S/390
- CRYPTO_SHA3_256_S390=m
- CRYPTO_SHA3_512_S390=m
- commit 0599162
-------------------------------------------------------------------
Tue Oct 1 10:19:14 CEST 2019 - jslaby@suse.cz
- Update config files.
Enable CONFIG_X86_5LEVEL (jsc#SLE-9607).
- commit 5588b36
-------------------------------------------------------------------
Tue Oct 1 09:16:39 CEST 2019 - jslaby@suse.cz
- Linux 5.3.2 (bnc#1151927).
- objtool: Clobber user CFLAGS variable (bnc#1151927).
- platform/x86: i2c-multi-instantiate: Derive the device name
from parent (bnc#1151927).
- ALSA: hda - Apply AMD controller workaround for Raven platform
(bnc#1151927).
- ALSA: hda - Add laptop imic fixup for ASUS M9V laptop
(bnc#1151927).
- ALSA: dice: fix wrong packet parameter for Alesis iO26
(bnc#1151927).
- ALSA: usb-audio: Add DSD support for EVGA NU Audio
(bnc#1151927).
- ALSA: usb-audio: Add Hiby device family to quirks for native
DSD support (bnc#1151927).
- Revert "mm/z3fold.c: fix race between migration and destruction"
(bnc#1151927).
- clk: imx: imx8mm: fix audio pll setting (bnc#1151927).
- crypto: talitos - fix missing break in switch statement
(bnc#1151927).
- mtd: cfi_cmdset_0002: Use chip_good() to retry in
do_write_oneword() (bnc#1151927).
- HID: Add quirk for HP X500 PIXART OEM mouse (bnc#1151927).
- HID: hidraw: Fix invalid read in hidraw_ioctl (bnc#1151927).
- HID: logitech-dj: Fix crash when initial
logi_dj_recv_query_paired_devices fails (bnc#1151927).
- HID: logitech: Fix general protection fault caused by Logitech
driver (bnc#1151927).
- HID: sony: Fix memory corruption issue on cleanup (bnc#1151927).
- HID: prodikeys: Fix general protection fault during probe
(bnc#1151927).
- powerpc/xive: Fix bogus error code returned by OPAL
(bnc#1151927).
- drm/amd/display: readd -msse2 to prevent Clang from emitting
libcalls to undefined SW FP routines (bnc#1151927).
- drm/amd/display: Don't replace the dc_state for fast updates
(bnc#1151927).
- drm/amd/display: Skip determining update type for async updates
(bnc#1151927).
- drm/amd/display: Allow cursor async updates for framebuffer
swaps (bnc#1151927).
- clocksource/drivers: Do not warn on probe defer (bnc#1151927).
- clocksource/drivers/timer-of: Do not warn on deferred probe
(bnc#1151927).
- netfilter: add missing IS_ENABLED(CONFIG_NF_TABLES) check to
header-file (bnc#1151927).
- commit 17d14ca
-------------------------------------------------------------------
Wed Sep 25 11:53:05 CEST 2019 - fvogt@suse.com
- config: Enable dual-role modes for DWC on arm64 as well
- CONFIG_USB_DWC2_DUAL_ROLE=y and CONFIG_USB_DWC3_DUAL_ROLE=y
- Previously that was only enabled on armv6/armv7
- commit 4940602
-------------------------------------------------------------------
Tue Sep 24 15:57:36 CEST 2019 - hare@suse.de
- Compile nvme.ko as module (bsc#1150846, bsc#1150850, bsc#1161889)
- commit 3e12ff1
-------------------------------------------------------------------
Sat Sep 21 15:21:27 CEST 2019 - jslaby@suse.cz
- Linux 5.3.1 (bnc#1012628).
- media: technisat-usb2: break out of loop at end of buffer
(bnc#1012628).
- floppy: fix usercopy direction (bnc#1012628).
- phy: qcom-qmp: Correct ready status, again (bnc#1012628).
- ovl: fix regression caused by overlapping layers detection
(bnc#1012628).
- Revert "arm64: Remove unnecessary ISBs from set_{pte,pmd,pud}"
(bnc#1012628).
- nl80211: Fix possible Spectre-v1 for CQM RSSI thresholds
(bnc#1012628).
- tty/serial: atmel: reschedule TX after RX was started
(bnc#1012628).
- serial: sprd: correct the wrong sequence of arguments
(bnc#1012628).
- firmware: google: check if size is valid when decoding VPD data
(bnc#1012628).
- Documentation: sphinx: Add missing comma to list of strings
(bnc#1012628).
- KVM: coalesced_mmio: add bounds checking (bnc#1012628).
- net: stmmac: Hold rtnl lock in suspend/resume callbacks
(bnc#1012628).
- net: dsa: Fix load order between DSA drivers and taggers
(bnc#1012628).
- xen-netfront: do not assume sk_buff_head list is empty in
error handling (bnc#1012628).
- udp: correct reuseport selection with connected sockets
(bnc#1012628).
- net_sched: let qdisc_put() accept NULL pointer (bnc#1012628).
- net/sched: fix race between deactivation and dequeue for NOLOCK
qdisc (bnc#1012628).
- ip6_gre: fix a dst leak in ip6erspan_tunnel_xmit (bnc#1012628).
- phy: renesas: rcar-gen3-usb2: Disable clearing VBUS in
over-current (bnc#1012628).
- media: tm6000: double free if usb disconnect while streaming
(bnc#1012628).
- USB: usbcore: Fix slab-out-of-bounds bug during device reset
(bnc#1012628).
- commit f187578
-------------------------------------------------------------------
Wed Sep 18 15:17:44 CEST 2019 - jroedel@suse.de
- Update config files.
Disable CONFIG_ARM_SMMU_DISABLE_BYPASS_BY_DEFAULT. Not all drivers are
ready for this yet, so enabling this config option causes regressions.
See bsc#1150577 for an example.
- commit f759adc
-------------------------------------------------------------------
Mon Sep 16 05:32:56 CEST 2019 - mkubecek@suse.cz
- Update to 5.3 final
- Eliminated 3 patches
- Refresh configs
- NF_CONNTRACK_SLP is gone
- commit 6baef36
-------------------------------------------------------------------
Fri Sep 13 13:31:46 CEST 2019 - jslaby@suse.cz
- Btrfs: fix unwritten extent buffers and hangs on future
writeback attempts (btrfs hangup).
- commit 7f85414
-------------------------------------------------------------------
Tue Sep 10 14:15:38 CEST 2019 - mkubecek@suse.cz
- Delete patches.suse/netfilter-ip_conntrack_slp.patch (FATE#324143 jsc#SLE-8944 bsc#1127886).
This veteran out of tree patch is no longer needed since the userspace
conntrack helper (in conntrack-tools / conntrackd) has reached Factory.
- commit d6f0b71
-------------------------------------------------------------------
Tue Sep 10 14:14:05 CEST 2019 - mkubecek@suse.cz
- Update and reenable
patches.suse/Revert-netfilter-conntrack-remove-helper-hook-again.patch
(FATE#324143 jsc#SLE-8944 bsc#1127886).
- commit 029452e
-------------------------------------------------------------------
Tue Sep 10 12:23:11 CEST 2019 - jslaby@suse.cz
- Linux 5.2.14 (bnc#1012628).
- Revert "mmc: core: do not retry CMD6 in __mmc_switch()"
(bnc#1012628).
- x86/boot: Preserve boot_params.secure_boot from sanitizing
(bnc#1012628).
- Revert "x86/apic: Include the LDR when clearing out APIC
registers" (bnc#1012628).
- libceph: allow ceph_buffer_put() to receive a NULL ceph_buffer
(bnc#1012628).
- x86/boot/compressed/64: Fix missing initialization in
find_trampoline_placement() (bnc#1012628).
- KVM: arm/arm64: VGIC: Properly initialise private IRQ affinity
(bnc#1012628).
- gpio: Fix irqchip initialization order (bnc#1012628).
- RDMA/bnxt_re: Fix stack-out-of-bounds in
bnxt_qplib_rcfw_send_message (bnc#1012628).
- afs: use correct afs_call_type in yfs_fs_store_opaque_acl2
(bnc#1012628).
- afs: Fix possible oops in afs_lookup trace event (bnc#1012628).
- afs: Fix leak in afs_lookup_cell_rcu() (bnc#1012628).
- KVM: arm/arm64: Only skip MMIO insn once (bnc#1012628).
- ceph: fix buffer free while holding i_ceph_lock in fill_inode()
(bnc#1012628).
- ceph: fix buffer free while holding i_ceph_lock in
__ceph_build_xattrs_blob() (bnc#1012628).
- ceph: fix buffer free while holding i_ceph_lock in
__ceph_setxattr() (bnc#1012628).
- drm/amdgpu: prevent memory leaks in AMDGPU_CS ioctl
(bnc#1012628).
- selftests/kvm: make platform_info_test pass on AMD
(bnc#1012628).
- selftests: kvm: fix state save/load on processors without XSAVE
(bnc#1012628).
- infiniband: hfi1: fix memory leaks (bnc#1012628).
- infiniband: hfi1: fix a memory leak bug (bnc#1012628).
- IB/mlx4: Fix memory leaks (bnc#1012628).
- RDMA/cma: fix null-ptr-deref Read in cma_cleanup (bnc#1012628).
- nvme: Fix cntlid validation when not using NVMEoF (bnc#1012628).
- nvme-multipath: fix possible I/O hang when paths are updated
(bnc#1012628).
- Tools: hv: kvp: eliminate 'may be used uninitialized' warning
(bnc#1012628).
- Input: hyperv-keyboard: Use in-place iterator API in the
channel callback (bnc#1012628).
- scsi: lpfc: Mitigate high memory pre-allocation by SCSI-MQ
(bnc#1012628).
- x86/boot/compressed/64: Fix boot on machines with broken E820
table (bnc#1012628).
- HID: cp2112: prevent sleeping function called from invalid
context (bnc#1012628).
- HID: intel-ish-hid: ipc: add EHL device id (bnc#1012628).
- kprobes: Fix potential deadlock in kprobe_optimizer()
(bnc#1012628).
- sched/core: Schedule new worker even if PI-blocked
(bnc#1012628).
- ravb: Fix use-after-free ravb_tstamp_skb (bnc#1012628).
- wimax/i2400m: fix a memory leak bug (bnc#1012628).
- net: cavium: fix driver name (bnc#1012628).
- ibmvnic: Unmap DMA address of TX descriptor buffers after use
(bnc#1012628).
- net: kalmia: fix memory leaks (bnc#1012628).
- cx82310_eth: fix a memory leak bug (bnc#1012628).
- vfs: fix page locking deadlocks when deduping files
(bnc#1012628).
- lan78xx: Fix memory leaks (bnc#1012628).
- clk: Fix potential NULL dereference in clk_fetch_parent_index()
(bnc#1012628).
- clk: Fix falling back to legacy parent string matching
(bnc#1012628).
- net: myri10ge: fix memory leaks (bnc#1012628).
- liquidio: add cleanup in octeon_setup_iq() (bnc#1012628).
- selftests: kvm: fix vmx_set_nested_state_test (bnc#1012628).
- selftests: kvm: provide common function to enable eVMCS
(bnc#1012628).
- selftests: kvm: do not try running the VM in
vmx_set_nested_state_test (bnc#1012628).
- cxgb4: fix a memory leak bug (bnc#1012628).
- scsi: target: tcmu: avoid use-after-free after command timeout
(bnc#1012628).
- scsi: qla2xxx: Fix gnl.l memory leak on adapter init failure
(bnc#1012628).
- drm/mediatek: set DMA max segment size (bnc#1012628).
- drm/mediatek: use correct device to import PRIME buffers
(bnc#1012628).
- netfilter: nft_flow_offload: skip tcp rst and fin packets
(bnc#1012628).
- gpio: Fix build error of function redefinition (bnc#1012628).
- ibmveth: Convert multicast list size for little-endian system
(bnc#1012628).
- s390/qeth: serialize cmd reply with concurrent timeout
(bnc#1012628).
- Bluetooth: hci_qca: Send VS pre shutdown command (bnc#1012628).
- Bluetooth: btqca: Add a short delay before downloading the NVM
(bnc#1012628).
- net: tc35815: Explicitly check NET_IP_ALIGN is not zero in
tc35815_rx (bnc#1012628).
- hv_netvsc: Fix a warning of suspicious RCU usage (bnc#1012628).
- ixgbe: fix possible deadlock in ixgbe_service_task()
(bnc#1012628).
- tools: bpftool: fix error message (prog -> object)
(bnc#1012628).
- netfilter: nf_flow_table: teardown flow timeout race
(bnc#1012628).
- netfilter: nf_flow_table: conntrack picks up expired flows
(bnc#1012628).
- netfilter: nf_tables: use-after-free in failing rule with
bound set (bnc#1012628).
- net: tundra: tsi108: use spin_lock_irqsave instead of
spin_lock_irq in IRQ context (bnc#1012628).
- clk: samsung: exynos542x: Move MSCL subsystem clocks to its
sub-CMU (bnc#1012628).
- clk: samsung: exynos5800: Move MAU subsystem clocks to MAU
sub-CMU (bnc#1012628).
- clk: samsung: Change signature of exynos5_subcmus_init()
function (bnc#1012628).
- net/mlx5e: Fix error flow of CQE recovery on tx reporter
(bnc#1012628).
- netfilter: nf_flow_table: fix offload for flows that are
subject to xfrm (bnc#1012628).
- libbpf: set BTF FD for prog only when there is supported
.BTF.ext data (bnc#1012628).
- libbpf: fix erroneous multi-closing of BTF FD (bnc#1012628).
- batman-adv: Fix netlink dumping of all mcast_flags buckets
(bnc#1012628).
- net/rds: Fix info leak in rds6_inc_info_copy() (bnc#1012628).
- net/sched: pfifo_fast: fix wrong dereference when qdisc is reset
(bnc#1012628).
- net/sched: pfifo_fast: fix wrong dereference in
pfifo_fast_enqueue (bnc#1012628).
- net: dsa: tag_8021q: Future-proof the reserved fields in the
custom VID (bnc#1012628).
- Add genphy_c45_config_aneg() function to phy-c45.c
(bnc#1012628).
- net/sched: cbs: Set default link speed to 10 Mbps in
cbs_set_port_rate (bnc#1012628).
- taprio: Set default link speed to 10 Mbps in
taprio_set_picos_per_byte (bnc#1012628).
- taprio: Fix kernel panic in taprio_destroy (bnc#1012628).
- r8152: remove calling netif_napi_del (bnc#1012628).
- Revert "r8152: napi hangup fix after disconnect" (bnc#1012628).
- nfp: flower: handle neighbour events on internal ports
(bnc#1012628).
- nfp: flower: prevent ingress block binds on internal ports
(bnc#1012628).
- tcp: remove empty skb from write queue in error cases
(bnc#1012628).
- tcp: inherit timestamp on mtu probe (bnc#1012628).
- net: stmmac: dwmac-rk: Don't fail if phy regulator is absent
(bnc#1012628).
- net_sched: fix a NULL pointer deref in ipt action (bnc#1012628).
- net: sched: act_sample: fix psample group handling on overwrite
(bnc#1012628).
- net: fix skb use after free in netpoll (bnc#1012628).
- mld: fix memory leak in mld_del_delrec() (bnc#1012628).
- commit af75f09
-------------------------------------------------------------------
Mon Sep 9 11:50:58 CEST 2019 - mgorman@suse.de
- config: enable SLAB_FREELIST_HARDENED (bsc#1127808)
Enable SLAB_FREELIST_HARDENED on all architectures. This obscures the
free object pointer on a per-cache basis making it more difficult to
locate kernel objects via exploits probing the cache metadata.
This change was requested by the upstream openSUSE community to make
the kernel more resistent to slab freelist attacks. Tests conducted
by the kernel performance teams confirmed that the performance impact
is detectable but negligible.
- commit 94938f2
-------------------------------------------------------------------
Mon Sep 9 09:39:52 CEST 2019 - guillaume.gardet@arm.com
- rpm/constraints.in: lower disk space required for ARM
With a requirement of 35GB, only 2 slow workers are usable for ARM.
Current aarch64 build requires 27G and armv6/7 requires 14G.
Set requirements respectively to 30GB and 20GB.
- commit f84c163
-------------------------------------------------------------------
Mon Sep 9 06:52:32 CEST 2019 - mkubecek@suse.cz
- Update to 5.3-rc8
- refresh armv6hl configs (IXP4xx drivers no longer visible)
- commit 3dea797
-------------------------------------------------------------------
Mon Sep 9 06:49:29 CEST 2019 - mkubecek@suse.cz
- config: enable STACKPROTECTOR_STRONG also on armv6hl
Recently reenabled armv6hl architecture has STACKPROTECTOR_STRONG disabled,
enable it here as well.
- commit f434a32
-------------------------------------------------------------------
Fri Sep 6 12:49:15 CEST 2019 - jslaby@suse.cz
- Linux 5.2.13 (bnc#1012628).
- Revert "Input: elantech - enable SMBus on new (2018+) systems"
(bnc#1012628).
- commit acd8e88
-------------------------------------------------------------------
Fri Sep 6 12:48:52 CEST 2019 - jslaby@suse.cz
- Linux 5.2.12 (bnc#1012628).
- dmaengine: ste_dma40: fix unneeded variable warning
(bnc#1012628).
- nvme-multipath: revalidate nvme_ns_head gendisk in
nvme_validate_ns (bnc#1012628).
- afs: Fix the CB.ProbeUuid service handler to reply correctly
(bnc#1012628).
- afs: Fix loop index mixup in
afs_deliver_vl_get_entry_by_name_u() (bnc#1012628).
- fs: afs: Fix a possible null-pointer dereference in
afs_put_read() (bnc#1012628).
- afs: Fix off-by-one in afs_rename() expected data version
calculation (bnc#1012628).
- afs: Only update d_fsdata if different in afs_d_revalidate()
(bnc#1012628).
- afs: Fix missing dentry data version updating (bnc#1012628).
- nvmet: Fix use-after-free bug when a port is removed
(bnc#1012628).
- nvmet-loop: Flush nvme_delete_wq when removing the port
(bnc#1012628).
- nvmet-file: fix nvmet_file_flush() always returning an error
(bnc#1012628).
- nvme-core: Fix extra device_put() call on error path
(bnc#1012628).
- nvme: fix a possible deadlock when passthru commands sent to
a multipath device (bnc#1012628).
- nvme-rdma: fix possible use-after-free in connect error flow
(bnc#1012628).
- nvme: fix controller removal race with scan work (bnc#1012628).
- nvme-pci: Fix async probe remove race (bnc#1012628).
- soundwire: cadence_master: fix register definition for
SLAVE_STATE (bnc#1012628).
- soundwire: cadence_master: fix definitions for INTSTAT0/1
(bnc#1012628).
- auxdisplay: panel: need to delete scan_timer when misc_register
fails in panel_attach (bnc#1012628).
- btrfs: trim: Check the range passed into to prevent overflow
(bnc#1012628).
- IB/mlx5: Fix implicit MR release flow (bnc#1012628).
- dmaengine: stm32-mdma: Fix a possible null-pointer dereference
in stm32_mdma_irq_handler() (bnc#1012628).
- omap-dma/omap_vout_vrfb: fix off-by-one fi value (bnc#1012628).
- iommu/dma: Handle SG length overflow better (bnc#1012628).
- dma-direct: don't truncate dma_required_mask to bus addressing
capabilities (bnc#1012628).
- usb: gadget: composite: Clear "suspended" on reset/disconnect
(bnc#1012628).
- usb: gadget: mass_storage: Fix races between fsg_disable and
fsg_set_alt (bnc#1012628).
- habanalabs: fix DRAM usage accounting on context tear down
(bnc#1012628).
- habanalabs: fix endianness handling for packets from user
(bnc#1012628).
- habanalabs: fix completion queue handling when host is BE
(bnc#1012628).
- habanalabs: fix endianness handling for internal QMAN submission
(bnc#1012628).
- habanalabs: fix device IRQ unmasking for BE host (bnc#1012628).
- xen/blkback: fix memory leaks (bnc#1012628).
- arm64: cpufeature: Don't treat granule sizes as strict
(bnc#1012628).
- riscv: fix flush_tlb_range() end address for flush_tlb_page()
(bnc#1012628).
- i2c: rcar: avoid race when unregistering slave client
(bnc#1012628).
- i2c: emev2: avoid race when unregistering slave client
(bnc#1012628).
- drm/scheduler: use job count instead of peek (bnc#1012628).
- drm/ast: Fixed reboot test may cause system hanged
(bnc#1012628).
- usb: host: fotg2: restart hcd after port reset (bnc#1012628).
- tools: hv: fixed Python pep8/flake8 warnings for lsvmbus
(bnc#1012628).
- tools: hv: fix KVP and VSS daemons exit code (bnc#1012628).
- locking/rwsem: Add missing ACQUIRE to read_slowpath exit when
queue is empty (bnc#1012628).
- lcoking/rwsem: Add missing ACQUIRE to read_slowpath sleep loop
(bnc#1012628).
- watchdog: bcm2835_wdt: Fix module autoload (bnc#1012628).
- selftests/bpf: install files test_xdp_vlan.sh (bnc#1012628).
- drm/bridge: tfp410: fix memleak in get_modes() (bnc#1012628).
- mt76: usb: fix rx A-MSDU support (bnc#1012628).
- ipv6/addrconf: allow adding multicast addr if IFA_F_MCAUTOJOIN
is set (bnc#1012628).
- ipv6: Fix return value of ipv6_mc_may_pull() for malformed
packets (bnc#1012628).
- net: cpsw: fix NULL pointer exception in the probe error path
(bnc#1012628).
- net: fix __ip_mc_inc_group usage (bnc#1012628).
- net/smc: make sure EPOLLOUT is raised (bnc#1012628).
- tcp: make sure EPOLLOUT wont be missed (bnc#1012628).
- ipv4: mpls: fix mpls_xmit for iptunnel (bnc#1012628).
- openvswitch: Fix conntrack cache with timeout (bnc#1012628).
- ipv4/icmp: fix rt dst dev null pointer dereference
(bnc#1012628).
- xfrm/xfrm_policy: fix dst dev null pointer dereference in
collect_md mode (bnc#1012628).
- mm/zsmalloc.c: fix build when CONFIG_COMPACTION=n (bnc#1012628).
- ALSA: usb-audio: Check mixer unit bitmap yet more strictly
(bnc#1012628).
- ALSA: hda/ca0132 - Add new SBZ quirk (bnc#1012628).
- ALSA: line6: Fix memory leak at line6_init_pcm() error path
(bnc#1012628).
- ALSA: hda - Fixes inverted Conexant GPIO mic mute led
(bnc#1012628).
- ALSA: seq: Fix potential concurrent access to the deleted pool
(bnc#1012628).
- ALSA: usb-audio: Fix invalid NULL check in
snd_emuusb_set_samplerate() (bnc#1012628).
- ALSA: usb-audio: Add implicit fb quirk for Behringer UFX1604
(bnc#1012628).
- kvm: x86: skip populating logical dest map if apic is not sw
enabled (bnc#1012628).
- KVM: x86: hyper-v: don't crash on KVM_GET_SUPPORTED_HV_CPUID
when kvm_intel.nested is disabled (bnc#1012628).
- KVM: x86: Don't update RIP or do single-step on faulting
emulation (bnc#1012628).
- uprobes/x86: Fix detection of 32-bit user mode (bnc#1012628).
- x86/mm/cpa: Prevent large page split when ftrace flips RW on
kernel text (bnc#1012628).
- x86/apic: Do not initialize LDR and DFR for bigsmp
(bnc#1012628).
- x86/apic: Include the LDR when clearing out APIC registers
(bnc#1012628).
- HID: logitech-hidpp: remove support for the G700 over USB
(bnc#1012628).
- ftrace: Fix NULL pointer dereference in t_probe_next()
(bnc#1012628).
- ftrace: Check for successful allocation of hash (bnc#1012628).
- ftrace: Check for empty hash and comment the race with
registering probes (bnc#1012628).
- usbtmc: more sanity checking for packet size (bnc#1012628).
- usb-storage: Add new JMS567 revision to unusual_devs
(bnc#1012628).
- USB: cdc-wdm: fix race between write and disconnect due to
flag abuse (bnc#1012628).
- usb: hcd: use managed device resources (bnc#1012628).
- usb: chipidea: udc: don't do hardware access if gadget has
stopped (bnc#1012628).
- usb: host: ohci: fix a race condition between shutdown and irq
(bnc#1012628).
- usb: host: xhci: rcar: Fix typo in compatible string matching
(bnc#1012628).
- USB: storage: ums-realtek: Update module parameter description
for auto_delink_en (bnc#1012628).
- USB: storage: ums-realtek: Whitelist auto-delink support
(bnc#1012628).
- tools/power turbostat: Fix caller parameter of get_tdp_amd()
(bnc#1012628).
- KVM: PPC: Book3S: Fix incorrect guest-to-user-translation
error handling (bnc#1012628).
- KVM: arm/arm64: vgic: Fix potential deadlock when ap_list is
long (bnc#1012628).
- KVM: arm/arm64: vgic-v2: Handle SGI bits in GICD_I{S,C}PENDR0
as WI (bnc#1012628).
- mei: me: add Tiger Lake point LP device ID (bnc#1012628).
- Revert "mmc: sdhci-tegra: drop ->get_ro() implementation"
(bnc#1012628).
- mmc: sdhci-of-at91: add quirk for broken HS200 (bnc#1012628).
- mmc: sdhci-cadence: enable v4_mode to fix ADMA 64-bit addressing
(bnc#1012628).
- mmc: core: Fix init of SD cards reporting an invalid VDD range
(bnc#1012628).
- mmc: sdhci-sprd: fixed incorrect clock divider (bnc#1012628).
- mmc: sdhci-sprd: add SDHCI_QUIRK2_PRESET_VALUE_BROKEN
(bnc#1012628).
- stm class: Fix a double free of stm_source_device (bnc#1012628).
- intel_th: pci: Add support for another Lewisburg PCH
(bnc#1012628).
- intel_th: pci: Add Tiger Lake support (bnc#1012628).
- typec: tcpm: fix a typo in the comparison of pdo_max_voltage
(bnc#1012628).
- fsi: scom: Don't abort operations for minor errors
(bnc#1012628).
- lkdtm/bugs: fix build error in lkdtm_EXHAUST_STACK
(bnc#1012628).
- NFSv4/pnfs: Fix a page lock leak in nfs_pageio_resend()
(bnc#1012628).
- NFS: Ensure O_DIRECT reports an error if the bytes read/written
is 0 (bnc#1012628).
- Revert "NFSv4/flexfiles: Abort I/O early if the layout segment
was invalidated" (bnc#1012628).
- lib: logic_pio: Fix RCU usage (bnc#1012628).
- lib: logic_pio: Avoid possible overlap for unregistering regions
(bnc#1012628).
- lib: logic_pio: Add logic_pio_unregister_range() (bnc#1012628).
- drm/amdgpu: Add APTX quirk for Dell Latitude 5495 (bnc#1012628).
- drm/amdgpu: fix GFXOFF on Picasso and Raven2 (bnc#1012628).
- drm/i915: Don't deballoon unused ggtt drm_mm_node in linux guest
(bnc#1012628).
- drm/i915: Call dma_set_max_seg_size() in i915_driver_hw_probe()
(bnc#1012628).
- i2c: piix4: Fix port selection for AMD Family 16h Model 30h
(bnc#1012628).
- bus: hisi_lpc: Unregister logical PIO range to avoid potential
use-after-free (bnc#1012628).
- bus: hisi_lpc: Add .remove method to avoid driver unbind crash
(bnc#1012628).
- VMCI: Release resource if the work is already queued
(bnc#1012628).
- crypto: ccp - Ignore unconfigured CCP device on suspend/resume
(bnc#1012628).
- SUNRPC: Don't handle errors if the bind/connect succeeded
(bnc#1012628).
- mt76: mt76x0u: do not reset radio on resume (bnc#1012628).
- mms: sdhci-sprd: add SDHCI_QUIRK_BROKEN_CARD_DETECTION
(bnc#1012628).
- mm, memcg: partially revert "mm/memcontrol.c: keep local VM
counters in sync with the hierarchical ones" (bnc#1012628).
- mm: memcontrol: fix percpu vmstats and vmevents flush
(bnc#1012628).
- Revert "cfg80211: fix processing world regdomain when non
modular" (bnc#1012628).
- mac80211: fix possible sta leak (bnc#1012628).
- cfg80211: Fix Extended Key ID key install checks (bnc#1012628).
- mac80211: Don't memset RXCB prior to PAE intercept
(bnc#1012628).
- mac80211: Correctly set noencrypt for PAE frames (bnc#1012628).
- mmc: sdhci-sprd: clear the UHS-I modes read from registers
(bnc#1012628).
- mmc: sdhci-sprd: Implement the get_max_timeout_count() interface
(bnc#1012628).
- mmc: sdhci-sprd: add get_ro hook function (bnc#1012628).
- iwlwifi: add new cards for 22000 and fix struct name
(bnc#1012628).
- iwlwifi: add new cards for 22000 and change wrong structs
(bnc#1012628).
- iwlwifi: add new cards for 9000 and 20000 series (bnc#1012628).
- iwlwifi: change 0x02F0 fw from qu to quz (bnc#1012628).
- iwlwifi: pcie: add support for qu c-step devices (bnc#1012628).
- iwlwifi: pcie: don't switch FW to qnj when ax201 is detected
(bnc#1012628).
- iwlwifi: pcie: handle switching killer Qu B0 NICs to C0
(bnc#1012628).
- drm/i915: Do not create a new max_bpc prop for MST connectors
(bnc#1012628).
- drm/i915/dp: Fix DSC enable code to use cpu_transcoder instead
of encoder->type (bnc#1012628).
- bpf: fix use after free in prog symbol exposure (bnc#1012628).
- hsr: implement dellink to clean up resources (bnc#1012628).
- hsr: fix a NULL pointer deref in hsr_dev_xmit() (bnc#1012628).
- hsr: switch ->dellink() to ->ndo_uninit() (bnc#1012628).
- Revert "ASoC: Fail card instantiation if DAI format setup fails"
(bnc#1012628).
- commit bb4c31d
-------------------------------------------------------------------
Fri Sep 6 12:17:23 CEST 2019 - msuchanek@suse.de
- powerpc/tm: Fix restoring FP/VMX facility incorrectly on
interrupts (CVE-2019-15031 bsc#1149713).
- powerpc/tm: Fix FP/VMX unavailable exceptions inside a
transaction (CVE-2019-15030 bsc#1149713).
- commit 76a34af
-------------------------------------------------------------------
Thu Sep 5 08:25:28 CEST 2019 - jslaby@suse.cz
- x86/ptrace: fix up botched merge of spectrev1 fix (bnc#1149376
CVE-2019-15902).
- commit 77497b6
-------------------------------------------------------------------
Tue Sep 3 12:47:56 CEST 2019 - mkubecek@suse.cz
- config: enable STACKPROTECTOR_STRONG (jsc#SLE-9120 bsc#1130365)
Enable CONFIG_STACKPROTECTOR_STRONG on all architectures except s390x
(where the feature is not available). This extends the number of functions
which are protected by "stack canary" check to catch functions writing past
their stack frame.
This change was requested by SUSE security to make our kernels more
resistant to some types of stack overflow attacks. Tests performed by
kernel performance teams confirmed that performance impact is acceptable.
- commit d6e8aab
-------------------------------------------------------------------
Mon Sep 2 22:41:27 CEST 2019 - mkubecek@suse.cz
- Update to 5.3-rc7
- Refresh configs
- IXP4xx SoC drivers not visible
- gcc 9.2.1
- commit 9bff5f9
-------------------------------------------------------------------
Sun Sep 1 21:36:39 CEST 2019 - mkubecek@suse.cz
- config: armv7hl: Enable cadence watchdog
CONFIG_CADENCE_WATCHDOG is required for Zynq-7000 based MIYR Zturn board.
- commit c4cbe5e
-------------------------------------------------------------------
Fri Aug 30 11:35:20 CEST 2019 - dmueller@suse.com
- config.conf: Update ARMv6 config files
- commit c17167d
-------------------------------------------------------------------
Fri Aug 30 11:27:22 CEST 2019 - dmueller@suse.com
- config.conf: Reenable ARMv7 config for Kernel 5.3
All modules plus errata's enabled. Reused other
values from x86_64 update.
- commit b1c627e
-------------------------------------------------------------------
Thu Aug 29 08:41:19 CEST 2019 - jslaby@suse.cz
- Linux 5.2.11 (bnc#1012628).
- ASoC: simple_card_utils.h: care NULL dai at
asoc_simple_debug_dai() (bnc#1012628).
- ASoC: simple-card: fix an use-after-free in
simple_dai_link_of_dpcm() (bnc#1012628).
- ASoC: simple-card: fix an use-after-free in
simple_for_each_link() (bnc#1012628).
- ASoC: audio-graph-card: fix use-after-free in
graph_dai_link_of_dpcm() (bnc#1012628).
- ASoC: audio-graph-card: fix an use-after-free in
graph_get_dai_id() (bnc#1012628).
- ASoC: audio-graph-card: add missing const at graph_get_dai_id()
(bnc#1012628).
- regulator: axp20x: fix DCDCA and DCDCD for AXP806 (bnc#1012628).
- regulator: axp20x: fix DCDC5 and DCDC6 for AXP803 (bnc#1012628).
- ASoC: samsung: odroid: fix an use-after-free issue for codec
(bnc#1012628).
- ASoC: samsung: odroid: fix a double-free issue for cpu_dai
(bnc#1012628).
- ASoC: Intel: bytcht_es8316: Add quirk for Irbis NB41 netbook
(bnc#1012628).
- HID: logitech-hidpp: add USB PID for a few more supported mice
(bnc#1012628).
- HID: Add 044f:b320 ThrustMaster, Inc. 2 in 1 DT (bnc#1012628).
- MIPS: kernel: only use i8253 clocksource with periodic
clockevent (bnc#1012628).
- mips: fix cacheinfo (bnc#1012628).
- libbpf: sanitize VAR to conservative 1-byte INT (bnc#1012628).
- netfilter: ebtables: fix a memory leak bug in compat
(bnc#1012628).
- ASoC: dapm: Fix handling of custom_stop_condition on DAPM
graph walks (bnc#1012628).
- ASoC: SOF: use __u32 instead of uint32_t in uapi headers
(bnc#1012628).
- spi: pxa2xx: Balance runtime PM enable/disable on error
(bnc#1012628).
- bpf: sockmap, sock_map_delete needs to use xchg (bnc#1012628).
- bpf: sockmap, synchronize_rcu before free'ing map (bnc#1012628).
- bpf: sockmap, only create entry if ulp is not already enabled
(bnc#1012628).
- selftests/bpf: fix sendmsg6_prog on s390 (bnc#1012628).
- ASoC: dapm: fix a memory leak bug (bnc#1012628).
- bonding: Force slave speed check after link state recovery
for 802.3ad (bnc#1012628).
- net: mvpp2: Don't check for 3 consecutive Idle frames for 10G
links (bnc#1012628).
- selftests: forwarding: gre_multipath: Enable IPv4 forwarding
(bnc#1012628).
- selftests: forwarding: gre_multipath: Fix flower filters
(bnc#1012628).
- selftests/bpf: add another gso_segs access (bnc#1012628).
- libbpf: fix using uninitialized ioctl results (bnc#1012628).
- can: dev: call netif_carrier_off() in register_candev()
(bnc#1012628).
- can: mcp251x: add error check when wq alloc failed
(bnc#1012628).
- can: gw: Fix error path of cgw_module_init (bnc#1012628).
- ASoC: Fail card instantiation if DAI format setup fails
(bnc#1012628).
- Staging: fbtft: Fix GPIO handling (bnc#1012628).
- libbpf: silence GCC8 warning about string truncation
(bnc#1012628).
- st21nfca_connectivity_event_received: null check the allocation
(bnc#1012628).
- st_nci_hci_connectivity_event_received: null check the
allocation (bnc#1012628).
- {nl,mac}80211: fix interface combinations on crypto controlled
devices (bnc#1012628).
- ASoC: ti: davinci-mcasp: Fix clk PDIR handling for i2s master
mode (bnc#1012628).
- ASoC: rockchip: Fix mono capture (bnc#1012628).
- ASoC: ti: davinci-mcasp: Correct slot_width posed constraint
(bnc#1012628).
- net: usb: qmi_wwan: Add the BroadMobi BM818 card (bnc#1012628).
- qed: RDMA - Fix the hw_ver returned in device attributes
(bnc#1012628).
- isdn: mISDN: hfcsusb: Fix possible null-pointer dereferences
in start_isoc_chain() (bnc#1012628).
- habanalabs: fix F/W download in BE architecture (bnc#1012628).
- mac80211_hwsim: Fix possible null-pointer dereferences in
hwsim_dump_radio_nl() (bnc#1012628).
- net: stmmac: manage errors returned by of_get_mac_address()
(bnc#1012628).
- netfilter: ipset: Actually allow destination MAC address for
hash:ip,mac sets too (bnc#1012628).
- netfilter: ipset: Copy the right MAC address in bitmap:ip,mac
and hash:ip,mac sets (bnc#1012628).
- netfilter: ipset: Fix rename concurrency with listing
(bnc#1012628).
- rxrpc: Fix potential deadlock (bnc#1012628).
- rxrpc: Fix the lack of notification when sendmsg() fails on
a DATA packet (bnc#1012628).
- nvmem: Use the same permissions for eeprom as for nvmem
(bnc#1012628).
- iwlwifi: mvm: avoid races in rate init and rate perform
(bnc#1012628).
- iwlwifi: dbg_ini: move iwl_dbg_tlv_load_bin out of debug
override ifdef (bnc#1012628).
- iwlwifi: dbg_ini: move iwl_dbg_tlv_free outside of debugfs ifdef
(bnc#1012628).
- iwlwifi: fix locking in delayed GTK setting (bnc#1012628).
- iwlwifi: mvm: send LQ command always ASYNC (bnc#1012628).
- enetc: Fix build error without PHYLIB (bnc#1012628).
- isdn: hfcsusb: Fix mISDN driver crash caused by transfer buffer
on the stack (bnc#1012628).
- net: phy: phy_led_triggers: Fix a possible null-pointer
dereference in phy_led_trigger_change_speed() (bnc#1012628).
- perf bench numa: Fix cpu0 binding (bnc#1012628).
- spi: pxa2xx: Add support for Intel Tiger Lake (bnc#1012628).
- can: sja1000: force the string buffer NULL-terminated
(bnc#1012628).
- can: peak_usb: force the string buffer NULL-terminated
(bnc#1012628).
- ASoC: amd: acp3x: use dma_ops of parent device for acp3x dma
driver (bnc#1012628).
- net/ethernet/qlogic/qed: force the string buffer NULL-terminated
(bnc#1012628).
- enetc: Select PHYLIB while CONFIG_FSL_ENETC_VF is set
(bnc#1012628).
- NFSv4: Fix a credential refcount leak in
nfs41_check_delegation_stateid (bnc#1012628).
- NFSv4: When recovering state fails with EAGAIN, retry the same
recovery (bnc#1012628).
- NFSv4.1: Fix open stateid recovery (bnc#1012628).
- NFSv4.1: Only reap expired delegations (bnc#1012628).
- NFSv4: Fix a potential sleep while atomic in nfs4_do_reclaim()
(bnc#1012628).
- NFS: Fix regression whereby fscache errors are appearing on
'nofsc' mounts (bnc#1012628).
- HID: quirks: Set the INCREMENT_USAGE_ON_DUPLICATE quirk on
Saitek X52 (bnc#1012628).
- HID: input: fix a4tech horizontal wheel custom usage
(bnc#1012628).
- drm/rockchip: Suspend DP late (bnc#1012628).
- SMB3: Fix potential memory leak when processing compound chain
(bnc#1012628).
- SMB3: Kernel oops mounting a encryptData share with
CONFIG_DEBUG_VIRTUAL (bnc#1012628).
- sched/deadline: Fix double accounting of rq/running bw in push &
pull (bnc#1012628).
- sched/psi: Reduce psimon FIFO priority (bnc#1012628).
- sched/psi: Do not require setsched permission from the trigger
creator (bnc#1012628).
- s390/protvirt: avoid memory sharing for diag 308 set/store
(bnc#1012628).
- s390/mm: fix dump_pagetables top level page table walking
(bnc#1012628).
- s390: put _stext and _etext into .text section (bnc#1012628).
- ata: rb532_cf: Fix unused variable warning in
rb532_pata_driver_probe (bnc#1012628).
- net: cxgb3_main: Fix a resource leak in a error path in
'init_one()' (bnc#1012628).
- net: stmmac: Fix issues when number of Queues >= 4
(bnc#1012628).
- net: stmmac: tc: Do not return a fragment entry (bnc#1012628).
- drm/amdgpu: pin the csb buffer on hw init for gfx v8
(bnc#1012628).
- net: hisilicon: make hip04_tx_reclaim non-reentrant
(bnc#1012628).
- net: hisilicon: fix hip04-xmit never return TX_BUSY
(bnc#1012628).
- net: hisilicon: Fix dma_map_single failed on arm64
(bnc#1012628).
- NFSv4: Ensure state recovery handles ETIMEDOUT correctly
(bnc#1012628).
- libata: have ata_scsi_rw_xlat() fail invalid passthrough
requests (bnc#1012628).
- libata: add SG safety checks in SFF pio transfers (bnc#1012628).
- x86/lib/cpu: Address missing prototypes warning (bnc#1012628).
- drm/vmwgfx: fix memory leak when too many retries have occurred
(bnc#1012628).
- block: aoe: Fix kernel crash due to atomic sleep when exiting
(bnc#1012628).
- block, bfq: handle NULL return value by bfq_init_rq()
(bnc#1012628).
- perf ftrace: Fix failure to set cpumask when only one cpu is
present (bnc#1012628).
- perf cpumap: Fix writing to illegal memory in handling cpumap
mask (bnc#1012628).
- perf pmu-events: Fix missing "cpu_clk_unhalted.core" event
(bnc#1012628).
- dt-bindings: riscv: fix the schema compatible string for the
HiFive Unleashed board (bnc#1012628).
- KVM: arm64: Don't write junk to sysregs on reset (bnc#1012628).
- KVM: arm: Don't write junk to CP15 registers on reset
(bnc#1012628).
- selftests: kvm: Adding config fragments (bnc#1012628).
- iwlwifi: mvm: disable TX-AMSDU on older NICs (bnc#1012628).
- HID: wacom: correct misreported EKR ring values (bnc#1012628).
- HID: wacom: Correct distance scale for 2nd-gen Intuos devices
(bnc#1012628).
- Revert "KVM: x86/mmu: Zap only the relevant pages when removing
a memslot" (bnc#1012628).
- Revert "dm bufio: fix deadlock with loop device" (bnc#1012628).
- clk: socfpga: stratix10: fix rate caclulationg for cnt_clks
(bnc#1012628).
- ceph: clear page dirty before invalidate page (bnc#1012628).
- ceph: don't try fill file_lock on unsuccessful GETFILELOCK reply
(bnc#1012628).
- libceph: fix PG split vs OSD (re)connect race (bnc#1012628).
- drm/amdgpu/gfx9: update pg_flags after determining if gfx off
is possible (bnc#1012628).
- drm/nouveau: Don't retry infinitely when receiving no data on
i2c over AUX (bnc#1012628).
- scsi: ufs: Fix NULL pointer dereference in
ufshcd_config_vreg_hpm() (bnc#1012628).
- gpiolib: never report open-drain/source lines as 'input'
to user-space (bnc#1012628).
- Drivers: hv: vmbus: Fix virt_to_hvpfn() for X86_PAE
(bnc#1012628).
- userfaultfd_release: always remove uffd flags and clear
vm_userfaultfd_ctx (bnc#1012628).
- x86/retpoline: Don't clobber RFLAGS during CALL_NOSPEC on i386
(bnc#1012628).
- x86/CPU/AMD: Clear RDRAND CPUID bit on AMD family 15h/16h
(bnc#1012628).
- x86/boot: Save fields explicitly, zero out everything else
(bnc#1012628).
- x86/boot: Fix boot regression caused by bootparam sanitizing
(bnc#1012628).
- IB/hfi1: Unsafe PSN checking for TID RDMA READ Resp packet
(bnc#1012628).
- IB/hfi1: Add additional checks when handling TID RDMA READ
RESP packet (bnc#1012628).
- IB/hfi1: Add additional checks when handling TID RDMA WRITE
DATA packet (bnc#1012628).
- IB/hfi1: Drop stale TID RDMA packets that cause TIDErr
(bnc#1012628).
- psi: get poll_work to run when calling poll syscall next time
(bnc#1012628).
- dm kcopyd: always complete failed jobs (bnc#1012628).
- dm dust: use dust block size for badblocklist index
(bnc#1012628).
- dm btree: fix order of block initialization in
btree_split_beneath (bnc#1012628).
- dm integrity: fix a crash due to BUG_ON in
__journal_read_write() (bnc#1012628).
- dm raid: add missing cleanup in raid_ctr() (bnc#1012628).
- dm space map metadata: fix missing store of apply_bops()
return value (bnc#1012628).
- dm table: fix invalid memory accesses with too high sector
number (bnc#1012628).
- dm zoned: improve error handling in reclaim (bnc#1012628).
- dm zoned: improve error handling in i/o map code (bnc#1012628).
- dm zoned: properly handle backing device failure (bnc#1012628).
- genirq: Properly pair kobject_del() with kobject_add()
(bnc#1012628).
- mm/z3fold.c: fix race between migration and destruction
(bnc#1012628).
- mm, page_alloc: move_freepages should not examine struct page
of reserved memory (bnc#1012628).
- mm: memcontrol: flush percpu vmstats before releasing memcg
(bnc#1012628).
- mm: memcontrol: flush percpu vmevents before releasing memcg
(bnc#1012628).
- mm, page_owner: handle THP splits correctly (bnc#1012628).
- mm/zsmalloc.c: migration can leave pages in ZS_EMPTY
indefinitely (bnc#1012628).
- mm/zsmalloc.c: fix race condition in zs_destroy_pool
(bnc#1012628).
- mm/kasan: fix false positive invalid-free reports with
CONFIG_KASAN_SW_TAGS=y (bnc#1012628).
- xfs: fix missing ILOCK unlock when xfs_setattr_nonsize fails
due to EDQUOT (bnc#1012628).
- IB/hfi1: Drop stale TID RDMA packets (bnc#1012628).
- dm zoned: fix potential NULL dereference in dmz_do_reclaim()
(bnc#1012628).
- io_uring: fix potential hang with polled IO (bnc#1012628).
- io_uring: don't enter poll loop if we have CQEs pending
(bnc#1012628).
- io_uring: add need_resched() check in inner poll loop
(bnc#1012628).
- powerpc: Allow flush_(inval_)dcache_range to work across ranges
>4GB (bnc#1012628).
- rxrpc: Fix local endpoint refcounting (bnc#1012628).
- rxrpc: Fix read-after-free in rxrpc_queue_local() (bnc#1012628).
- rxrpc: Fix local endpoint replacement (bnc#1012628).
- rxrpc: Fix local refcounting (bnc#1012628).
- commit 6385110
-------------------------------------------------------------------
Wed Aug 28 15:36:42 CEST 2019 - tiwai@suse.de
- mwifiex: Fix three heap overflow at
parsing element in cfg80211_ap_settings
(CVE-2019-14814,bsc#1146512,CVE-2019-14815,bsc#1146514,CVE-2019-14816,bsc#1146516).
- commit 528fd68
-------------------------------------------------------------------
Wed Aug 28 15:34:11 CEST 2019 - tiwai@suse.de
- mwifiex: Fix three heap overflow at
parsing element in cfg80211_ap_settings
(CVE-2019-14814,bsc#1146512,CVE-2019-14815,bsc#1146514,CVE-2019-14816,bsc#1146516).
- commit 05e68fe
-------------------------------------------------------------------
Wed Aug 28 13:30:32 CEST 2019 - mkubecek@suse.cz
- supported.conf: mark more core networking modules supported
Two more netfilter modules and one more *_diag should be supported too.
- commit bee2dd8
-------------------------------------------------------------------
Wed Aug 28 12:42:47 CEST 2019 - mkubecek@suse.cz
- supported.conf: update status of some networking core modules
This marks new networking modules which should be supported as such; it is
mostly netfilter and traffic control modules which have been following the
"support all of them" policy for some time.
- commit 65e1131
-------------------------------------------------------------------
Wed Aug 28 10:23:01 CEST 2019 - mkubecek@suse.cz
- supported.conf: obsolete paths cleanup
Update paths for existing modules which were moved, clean up duplicate
entries and drop entries for modules which no longer exist (either not
built any more or built into the image now).
- commit 3bb142a
-------------------------------------------------------------------
Tue Aug 27 14:20:52 CEST 2019 - mkubecek@suse.cz
- rpm: raise required disk space for binary packages
Current disk space constraints (10 GB on s390x, 25 GB on other
architectures) no longer suffice for 5.3 kernel builds. The statistics
show ~30 GB of disk consumption on x86_64 and ~11 GB on s390x so raise
the constraints to 35 GB in general and 14 GB on s390x.
- commit 527cb66
-------------------------------------------------------------------
Tue Aug 27 10:09:41 CEST 2019 - jslaby@suse.cz
- Refresh
patches.suse/x86-apic-Handle-missing-global-clockevent-gracefully.patch.
Update to the upstreamed patch.
- commit bb236c2
-------------------------------------------------------------------
Mon Aug 26 16:12:29 CEST 2019 - jgross@suse.com
- usb: Introduce Xen pvUSB frontend (xen hcd) (fate#315712).
- Update config files.
- usb: Add Xen pvUSB protocol description (fate#315712).
- commit b32b2bd
-------------------------------------------------------------------
Mon Aug 26 11:48:01 CEST 2019 - tiwai@suse.de
- Update reference for ath6kl fix (CVE-2019-15290,bsc#1146543).
- commit b08d3d5
-------------------------------------------------------------------
Mon Aug 26 11:47:18 CEST 2019 - tiwai@suse.de
- Update reference for ath6kl fix (CVE-2019-15290,bsc#1146543).
- commit 6f03484
-------------------------------------------------------------------
Mon Aug 26 11:32:51 CEST 2019 - tiwai@suse.de
- Fix a NULL-ptr-deref bug in ath6kl_usb_alloc_urb_from_pipe
(CVE-2019-15098,bsc#1146378).
- Fix a NULL-ptr-deref bug in ath10k_usb_alloc_urb_from_pipe
(CVE-2019-15099,bsc#1146368).
- commit 758e216
-------------------------------------------------------------------
Mon Aug 26 11:31:19 CEST 2019 - tiwai@suse.de
- Fix a NULL-ptr-deref bug in ath6kl_usb_alloc_urb_from_pipe
(CVE-2019-15098,bsc#1146378).
- Fix a NULL-ptr-deref bug in ath10k_usb_alloc_urb_from_pipe
(CVE-2019-15099,bsc#1146368).
- commit 8c61e43
-------------------------------------------------------------------
Mon Aug 26 09:39:32 CEST 2019 - bpoirier@suse.com
- Fix a double free bug in rsi_91x_deinit (bnc#1147116
CVE-2019-15504).
- commit 5009555
-------------------------------------------------------------------
Mon Aug 26 09:24:08 CEST 2019 - bpoirier@suse.com
- Fix a double free bug in rsi_91x_deinit (bnc#1147116
CVE-2019-15504).
- commit 8ae43d1
-------------------------------------------------------------------
Sun Aug 25 21:49:44 CEST 2019 - mkubecek@suse.cz
- Update to 5.3-rc6
- commit 2831011
-------------------------------------------------------------------
Sun Aug 25 19:33:34 CEST 2019 - jslaby@suse.cz
- Linux 5.2.10 (bnc#1012628).
- KEYS: trusted: allow module init if TPM is inactive or
deactivated (bnc#1012628).
- sh: kernel: hw_breakpoint: Fix missing break in switch statement
(bnc#1012628).
- seq_file: fix problem when seeking mid-record (bnc#1012628).
- mm/hmm: fix bad subpage pointer in try_to_unmap_one
(bnc#1012628).
- mm: mempolicy: make the behavior consistent when MPOL_MF_MOVE*
and MPOL_MF_STRICT were specified (bnc#1012628).
- mm: mempolicy: handle vma with unmovable pages mapped correctly
in mbind (bnc#1012628).
- mm/z3fold.c: fix z3fold_destroy_pool() ordering (bnc#1012628).
- mm/z3fold.c: fix z3fold_destroy_pool() race condition
(bnc#1012628).
- mm/memcontrol.c: fix use after free in mem_cgroup_iter()
(bnc#1012628).
- mm/usercopy: use memory range to be accessed for wraparound
check (bnc#1012628).
- mm, vmscan: do not special-case slab reclaim when watermarks
are boosted (bnc#1012628).
- cpufreq: schedutil: Don't skip freq update when limits change
(bnc#1012628).
- drm/amdgpu: fix gfx9 soft recovery (bnc#1012628).
- drm/nouveau: Only recalculate PBN/VCPI on mode/connector changes
(bnc#1012628).
- xtensa: add missing isync to the cpu_reset TLB code
(bnc#1012628).
- arm64: ftrace: Ensure module ftrace trampoline is coherent
with I-side (bnc#1012628).
- ALSA: hda/realtek - Add quirk for HP Envy x360 (bnc#1012628).
- ALSA: usb-audio: Fix a stack buffer overflow bug in
check_input_term (bnc#1012628).
- ALSA: usb-audio: Fix an OOB bug in parse_audio_mixer_unit
(bnc#1012628).
- ALSA: hda - Apply workaround for another AMD chip 1022:1487
(bnc#1012628).
- ALSA: hda - Fix a memory leak bug (bnc#1012628).
- ALSA: hda - Add a generic reboot_notify (bnc#1012628).
- ALSA: hda - Let all conexant codec enter D3 when rebooting
(bnc#1012628).
- HID: holtek: test for sanity of intfdata (bnc#1012628).
- HID: hiddev: avoid opening a disconnected device (bnc#1012628).
- HID: hiddev: do cleanup in failure of opening a device
(bnc#1012628).
- Input: kbtab - sanity check for endpoint type (bnc#1012628).
- Input: iforce - add sanity checks (bnc#1012628).
- net: usb: pegasus: fix improper read if get_registers() fail
(bnc#1012628).
- bpf: fix access to skb_shared_info->gso_segs (bnc#1012628).
- netfilter: ebtables: also count base chain policies
(bnc#1012628).
- riscv: Correct the initialized flow of FP register
(bnc#1012628).
- riscv: Make __fstate_clean() work correctly (bnc#1012628).
- Revert "i2c: imx: improve the error handling in
i2c_imx_dma_request()" (bnc#1012628).
- blk-mq: move cancel of requeue_work to the front of
blk_exit_queue (bnc#1012628).
- io_uring: fix manual setup of iov_iter for fixed buffers
(bnc#1012628).
- RDMA/hns: Fix sg offset non-zero issue (bnc#1012628).
- IB/mlx5: Replace kfree with kvfree (bnc#1012628).
- clk: at91: generated: Truncate divisor to GENERATED_MAX_DIV +
1 (bnc#1012628).
- clk: sprd: Select REGMAP_MMIO to avoid compile errors
(bnc#1012628).
- clk: renesas: cpg-mssr: Fix reset control race condition
(bnc#1012628).
- dma-mapping: check pfn validity in dma_common_{mmap,get_sgtable}
(bnc#1012628).
- platform/x86: pcengines-apuv2: Fix softdep statement
(bnc#1012628).
- platform/x86: intel_pmc_core: Add ICL-NNPI support to PMC Core
(bnc#1012628).
- mm/hmm: always return EBUSY for invalid ranges in
hmm_range_{fault,snapshot} (bnc#1012628).
- xen/pciback: remove set but not used variable 'old_state'
(bnc#1012628).
- irqchip/gic-v3-its: Free unused vpt_page when alloc vpe table
fail (bnc#1012628).
- irqchip/irq-imx-gpcv2: Forward irq type to parent (bnc#1012628).
- f2fs: fix to read source block before invalidating it
(bnc#1012628).
- tools perf beauty: Fix usbdevfs_ioctl table generator to handle
_IOC() (bnc#1012628).
- perf header: Fix divide by zero error if f_header.attr_size==0
(bnc#1012628).
- perf header: Fix use of unitialized value warning (bnc#1012628).
- RDMA/qedr: Fix the hca_type and hca_rev returned in device
attributes (bnc#1012628).
- ALSA: pcm: fix lost wakeup event scenarios in snd_pcm_drain
(bnc#1012628).
- libata: zpodd: Fix small read overflow in zpodd_get_mech_type()
(bnc#1012628).
- powerpc/nvdimm: Pick nearby online node if the device node is
not online (bnc#1012628).
- drm/bridge: lvds-encoder: Fix build error while
CONFIG_DRM_KMS_HELPER=m (bnc#1012628).
- drm/bridge: tc358764: Fix build error (bnc#1012628).
- Btrfs: fix deadlock between fiemap and transaction commits
(bnc#1012628).
- scsi: hpsa: correct scsi command status issue after reset
(bnc#1012628).
- scsi: qla2xxx: Fix possible fcport null-pointer dereferences
(bnc#1012628).
- tracing: Fix header include guards in trace event headers
(bnc#1012628).
- drm/amdkfd: Fix byte align on VegaM (bnc#1012628).
- drm/amd/powerplay: fix null pointer dereference around dpm
state relates (bnc#1012628).
- drm/amdgpu: fix error handling in amdgpu_cs_process_fence_dep
(bnc#1012628).
- drm/amdgpu: fix a potential information leaking bug
(bnc#1012628).
- ata: libahci: do not complain in case of deferred probe
(bnc#1012628).
- kbuild: modpost: handle KBUILD_EXTRA_SYMBOLS only for external
modules (bnc#1012628).
- kbuild: Check for unknown options with cc-option usage in
Kconfig and clang (bnc#1012628).
- arm64/efi: fix variable 'si' set but not used (bnc#1012628).
- riscv: Fix perf record without libelf support (bnc#1012628).
- arm64: Lower priority mask for GIC_PRIO_IRQON (bnc#1012628).
- arm64: unwind: Prohibit probing on return_address()
(bnc#1012628).
- arm64/mm: fix variable 'pud' set but not used (bnc#1012628).
- arm64/mm: fix variable 'tag' set but not used (bnc#1012628).
- IB/core: Add mitigation for Spectre V1 (bnc#1012628).
- IB/mlx5: Fix MR registration flow to use UMR properly
(bnc#1012628).
- RDMA/restrack: Track driver QP types in resource tracker
(bnc#1012628).
- IB/mad: Fix use-after-free in ib mad completion handling
(bnc#1012628).
- RDMA/mlx5: Release locks during notifier unregister
(bnc#1012628).
- drm: msm: Fix add_gpu_components (bnc#1012628).
- RDMA/hns: Fix error return code in hns_roce_v1_rsv_lp_qp()
(bnc#1012628).
- drm/exynos: fix missing decrement of retry counter
(bnc#1012628).
- arm64: kprobes: Recover pstate.D in single-step exception
handler (bnc#1012628).
- arm64: Make debug exception handlers visible from RCU
(bnc#1012628).
- Revert "kmemleak: allow to coexist with fault injection"
(bnc#1012628).
- ocfs2: remove set but not used variable 'last_hash'
(bnc#1012628).
- page flags: prioritize kasan bits over last-cpuid (bnc#1012628).
- asm-generic: fix -Wtype-limits compiler warnings (bnc#1012628).
- arm64: KVM: regmap: Fix unexpected switch fall-through
(bnc#1012628).
- staging: comedi: dt3000: Fix signed integer overflow 'divider *
base' (bnc#1012628).
- staging: comedi: dt3000: Fix rounding up of timer divisor
(bnc#1012628).
- iio: adc: max9611: Fix temperature reading in probe
(bnc#1012628).
- USB: core: Fix races in character device registration and
deregistraion (bnc#1012628).
- usb: gadget: udc: renesas_usb3: Fix sysfs interface of "role"
(bnc#1012628).
- usb: cdc-acm: make sure a refcount is taken early enough
(bnc#1012628).
- USB: CDC: fix sanity checks in CDC union parser (bnc#1012628).
- USB: serial: option: add D-Link DWM-222 device ID (bnc#1012628).
- USB: serial: option: Add support for ZTE MF871A (bnc#1012628).
- USB: serial: option: add the BroadMobi BM818 card (bnc#1012628).
- USB: serial: option: Add Motorola modem UARTs (bnc#1012628).
- usb: setup authorized_default attributes using usb_bus_notify
(bnc#1012628).
- netfilter: conntrack: Use consistent ct id hash calculation
(bnc#1012628).
- Input: psmouse - fix build error of multiple definition
(bnc#1012628).
- bnx2x: Fix VF's VLAN reconfiguration in reload (bnc#1012628).
- bonding: Add vlan tx offload to hw_enc_features (bnc#1012628).
- net: dsa: Check existence of .port_mdb_add callback before
calling it (bnc#1012628).
- net/mlx4_en: fix a memory leak bug (bnc#1012628).
- net/packet: fix race in tpacket_snd() (bnc#1012628).
- net: sched: sch_taprio: fix memleak in error path for sched
list parse (bnc#1012628).
- sctp: fix memleak in sctp_send_reset_streams (bnc#1012628).
- sctp: fix the transport error_count check (bnc#1012628).
- team: Add vlan tx offload to hw_enc_features (bnc#1012628).
- tipc: initialise addr_trail_end when setting node addresses
(bnc#1012628).
- xen/netback: Reset nr_frags before freeing skb (bnc#1012628).
- net/mlx5e: Only support tx/rx pause setting for port owner
(bnc#1012628).
- bnxt_en: Fix VNIC clearing logic for 57500 chips (bnc#1012628).
- bnxt_en: Improve RX doorbell sequence (bnc#1012628).
- bnxt_en: Fix handling FRAG_ERR when NVM_INSTALL_UPDATE cmd fails
(bnc#1012628).
- bnxt_en: Suppress HWRM errors for HWRM_NVM_GET_VARIABLE command
(bnc#1012628).
- bnxt_en: Use correct src_fid to determine direction of the flow
(bnc#1012628).
- bnxt_en: Fix to include flow direction in L2 key (bnc#1012628).
- net sched: update skbedit action for batched events operations
(bnc#1012628).
- tc-testing: updated skbedit action tests with batch
create/delete (bnc#1012628).
- netdevsim: Restore per-network namespace accounting for fib
entries (bnc#1012628).
- net/mlx5e: ethtool, Avoid setting speed to 56GBASE when autoneg
off (bnc#1012628).
- net/mlx5e: Fix false negative indication on tx reporter CQE
recovery (bnc#1012628).
- net/mlx5e: Remove redundant check in CQE recovery flow of tx
reporter (bnc#1012628).
- net/mlx5e: Use flow keys dissector to parse packets for ARFS
(bnc#1012628).
- net/tls: prevent skb_orphan() from leaking TLS plain text with
offload (bnc#1012628).
- net: phy: consider AN_RESTART status when reading link status
(bnc#1012628).
- netlink: Fix nlmsg_parse as a wrapper for strict message parsing
(bnc#1012628).
- Refresh patches.suse/supported-flag.
- commit 5878ee6
-------------------------------------------------------------------
Fri Aug 23 08:43:23 CEST 2019 - msuchanek@suse.de
- rpm/kernel-binary.spec.in: Fix kernel-livepatch description typo.
- commit 36acf91
-------------------------------------------------------------------
Thu Aug 22 23:37:43 CEST 2019 - msuchanek@suse.de
- Pull packaging cleanup from mkubecek.
- Parametrize kgraft vs livepatch.
- commit 16f6816
-------------------------------------------------------------------
Thu Aug 22 23:04:50 CEST 2019 - msuchanek@suse.de
- rpm/config.sh: Enable livepatch.
- commit e001776
-------------------------------------------------------------------
Thu Aug 22 14:03:04 CEST 2019 - mkubecek@suse.cz
- config: update from SLE15-SP2
In general, we want features and drivers from SLE also in openSUSE unless
we have a good reason not to. Enable most config options which were
disabled in master but enabled in SLE15-SP2 (inherited from SLE15-SP1).
- commit 769684a
-------------------------------------------------------------------
Wed Aug 21 15:00:01 CEST 2019 - mkubecek@suse.cz
- series.conf: reorganize sections
With ~40 patches in master branch, we have accumulated way too many
sections in series.conf, some of them being very specific. While new SLE
and Leap branches are going to have more patches, vast majority of them is
going to end up in the sorted section (e.g. less than 1% of SLE15-SP1
patches are neither sorted nor stable baskports right now - and that
includes quite a few which would actually belong into the sorted section
but are left outside for historical reasons).
After the reorganization, series.conf is ordered like this:
- stable backports (patches.kernel.org/*)
- tweaks applied to vanilla (patches.rpmify/*)
- sorted section (not in master)
- unsorted patches expected to get into mainline soon
- permanent and longterm non-upstream patches
- kabi hacks (not in master)
This commit has no effect on expanded tree.
- commit 8ac1895
-------------------------------------------------------------------
Wed Aug 21 14:13:15 CEST 2019 - mkubecek@suse.cz
- series.conf: cleanup
Only whitespace and comments, no efect on expanded tree.
- commit bf21808
-------------------------------------------------------------------
Wed Aug 21 09:09:00 CEST 2019 - tiwai@suse.de
- iwlwifi: Add support for SAR South Korea limitation
(bsc#1142128).
- commit 0100738
-------------------------------------------------------------------
Mon Aug 19 17:46:30 CEST 2019 - bp@suse.de
- Update config files.
As per https://jira.suse.com/browse/SLE-7041
Factory first!
- commit fc98fd1
-------------------------------------------------------------------
Mon Aug 19 05:32:32 CEST 2019 - mkubecek@suse.cz
- Update to 5.3-rc5
- Refresh configs
- RDMA_SIW available on i386 (=m)
- clean up unavailable options
- commit cadbe00
-------------------------------------------------------------------
Sun Aug 18 18:10:36 CEST 2019 - afaerber@suse.de
- config: arm64: Enable I2C_IMX (boo#1146026)
Needed for Google Coral board.
- commit 5057605
-------------------------------------------------------------------
Fri Aug 16 22:01:45 CEST 2019 - jslaby@suse.cz
- Linux 5.2.9 (bnc#1012628).
- iwlwifi: mvm: fix version check for GEO_TX_POWER_LIMIT support
(bnc#1012628).
- iwlwifi: mvm: don't send GEO_TX_POWER_LIMIT on version < 41
(bnc#1012628).
- iwlwifi: mvm: fix a use-after-free bug in iwl_mvm_tx_tso_segment
(bnc#1012628).
- iwlwifi: mvm: fix an out-of-bound access (bnc#1012628).
- iwlwifi: don't unmap as page memory that was mapped as single
(bnc#1012628).
- mwifiex: fix 802.11n/WPA detection (bnc#1012628).
- KVM: arm/arm64: Sync ICH_VMCR_EL2 back when about to block
(bnc#1012628).
- KVM: Fix leak vCPU's VMCS value into other pCPU (bnc#1012628).
- NFSv4: Fix an Oops in nfs4_do_setattr (bnc#1012628).
- NFSv4: Check the return value of update_open_stateid()
(bnc#1012628).
- NFSv4: Fix delegation state recovery (bnc#1012628).
- smb3: send CAP_DFS capability during session setup
(bnc#1012628).
- SMB3: Fix deadlock in validate negotiate hits reconnect
(bnc#1012628).
- dax: dax_layout_busy_page() should not unmap cow pages
(bnc#1012628).
- mac80211: don't WARN on short WMM parameters from AP
(bnc#1012628).
- ALSA: hda - Workaround for crackled sound on AMD controller
(1022:1457) (bnc#1012628).
- ALSA: hda - Don't override global PCM hw info flag
(bnc#1012628).
- ALSA: hiface: fix multiple memory leak bugs (bnc#1012628).
- ALSA: firewire: fix a memory leak bug (bnc#1012628).
- drm/i915: Fix wrong escape clock divisor init for GLK
(bnc#1012628).
- hwmon: (lm75) Fixup tmp75b clr_mask (bnc#1012628).
- hwmon: (nct7802) Fix wrong detection of in4 presence
(bnc#1012628).
- can: peak_usb: pcan_usb_fd: Fix info-leaks to USB devices
(bnc#1012628).
- can: peak_usb: pcan_usb_pro: Fix info-leaks to USB devices
(bnc#1012628).
- KVM/nSVM: properly map nested VMCB (bnc#1012628).
- ALSA: usb-audio: fix a memory leak bug (bnc#1012628).
- HID: sony: Fix race condition between rumble and device remove
(bnc#1012628).
- gen_compile_commands: lower the entry count threshold
(bnc#1012628).
- s390/dma: provide proper ARCH_ZONE_DMA_BITS value (bnc#1012628).
- perf/core: Fix creating kernel counters for PMUs that override
event->cpu (bnc#1012628).
- perf/x86: Apply more accurate check on hypervisor platform
(bnc#1012628).
- perf/x86/intel: Fix invalid Bit 13 for Icelake MSR_OFFCORE_RSP_x
register (bnc#1012628).
- perf/x86/intel: Fix SLOTS PEBS event constraint (bnc#1012628).
- tty/ldsem, locking/rwsem: Add missing ACQUIRE to read_failed
sleep loop (bnc#1012628).
- test_firmware: fix a memory leak bug (bnc#1012628).
- scsi: scsi_dh_alua: always use a 2 second delay before retrying
RTPG (bnc#1012628).
- scsi: ibmvfc: fix WARN_ON during event pool release
(bnc#1012628).
- scsi: megaraid_sas: fix panic on loading firmware crashdump
(bnc#1012628).
- ARM: dts: bcm: bcm47094: add missing #cells for mdio-bus-mux
(bnc#1012628).
- ARM: davinci: fix sleep.S build error on ARMv4 (bnc#1012628).
- nvme: fix memory leak caused by incorrect subsystem free
(bnc#1012628).
- nvme: ignore subnqn for ADATA SX6000LNP (bnc#1012628).
- ACPI/IORT: Fix off-by-one check in iort_dev_find_its_id()
(bnc#1012628).
- drbd: dynamically allocate shash descriptor (bnc#1012628).
- perf probe: Avoid calling freeing routine multiple times for
same pointer (bnc#1012628).
- perf session: Fix loading of compressed data split across
adjacent records (bnc#1012628).
- perf stat: Fix segfault for event group in repeat mode
(bnc#1012628).
- perf tools: Fix proper buffer size for feature processing
(bnc#1012628).
- perf script: Fix off by one in brstackinsn IPC computation
(bnc#1012628).
- ALSA: compress: Be more restrictive about when a drain is
allowed (bnc#1012628).
- ALSA: compress: Don't allow paritial drain operations on
capture streams (bnc#1012628).
- ALSA: compress: Prevent bypasses of set_params (bnc#1012628).
- ALSA: compress: Fix regression on compressed capture streams
(bnc#1012628).
- s390/qdio: add sanity checks to the fast-requeue path
(bnc#1012628).
- cpufreq/pasemi: fix use-after-free in pas_cpufreq_cpu_init()
(bnc#1012628).
- arm64: dts: imx8mq: fix SAI compatible (bnc#1012628).
- arm64: dts: imx8mm: Correct SAI3 RXC/TXFS pin's mux option #1
(bnc#1012628).
- drm: silence variable 'conn' set but not used (bnc#1012628).
- drm/msm/dpu: Correct dpu encoder spinlock initialization
(bnc#1012628).
- iommu/vt-d: Check if domain->pgd was allocated (bnc#1012628).
- arm64: entry: SP Alignment Fault doesn't write to FAR_EL1
(bnc#1012628).
- arm64: Force SSBS on context switch (bnc#1012628).
- powerpc/papr_scm: Force a scm-unbind if initial scm-bind fails
(bnc#1012628).
- ARM: dts: imx6ul: fix clock frequency property name of I2C buses
(bnc#1012628).
- hwmon: (nct6775) Fix register address and added missed tolerance
for nct6106 (bnc#1012628).
- hwmon: (occ) Fix division by zero issue (bnc#1012628).
- allocate_flower_entry: should check for null deref
(bnc#1012628).
- mac80211: don't warn about CW params when not using them
(bnc#1012628).
- mac80211: fix possible memory leak in ieee80211_assign_beacon
(bnc#1012628).
- nl80211: fix NL80211_HE_MAX_CAPABILITY_LEN (bnc#1012628).
- iscsi_ibft: make ISCSI_IBFT dependson ACPI instead of
ISCSI_IBFT_FIND (bnc#1012628).
- Update config files.
Set CONFIG_ISCSI_IBFT=m.
- drm/amd/display: Increase size of audios array (bnc#1012628).
- drm/amd/display: Only enable audio if speaker allocation exists
(bnc#1012628).
- drm/amd/display: Fix dc_create failure handling and 666 color
depths (bnc#1012628).
- drm/amd/display: allocate 4 ddc engines for RV2 (bnc#1012628).
- drm/amd/display: put back front end initialization sequence
(bnc#1012628).
- drm/amd/display: use encoder's engine id to find matched free
audio device (bnc#1012628).
- drm/amd/display: fix DMCU hang when going into Modern Standby
(bnc#1012628).
- drm/amd/display: Wait for backlight programming completion in
set backlight level (bnc#1012628).
- drm/amd/display: Clock does not lower in Updateplanes
(bnc#1012628).
- drm/amd/display: No audio endpoint for Dell MST display
(bnc#1012628).
- netfilter: nf_tables: Support auto-loading for inet nat
(bnc#1012628).
- rq-qos: use a mb for got_token (bnc#1012628).
- rq-qos: set ourself TASK_UNINTERRUPTIBLE after we schedule
(bnc#1012628).
- rq-qos: don't reset has_sleepers on spurious wakeups
(bnc#1012628).
- scripts/sphinx-pre-install: fix latexmk dependencies
(bnc#1012628).
- scripts/sphinx-pre-install: don't use LaTeX with CentOS 7
(bnc#1012628).
- scripts/sphinx-pre-install: fix script for RHEL/CentOS
(bnc#1012628).
- netfilter: nft_hash: fix symhash with modulus one (bnc#1012628).
- netfilter: conntrack: always store window size un-scaled
(bnc#1012628).
- netfilter: nf_tables: fix module autoload for redir
(bnc#1012628).
- netfilter: Fix rpfilter dropping vrf packets by mistake
(bnc#1012628).
- vfio-ccw: Don't call cp_free if we are processing a channel
program (bnc#1012628).
- vfio-ccw: Set pa_nr to 0 if memory allocation fails for
pa_iova_pfn (bnc#1012628).
- netfilter: nfnetlink: avoid deadlock due to synchronous
request_module (bnc#1012628).
- powerpc: fix off by one in max_zone_pfn initialization for
ZONE_DMA (bnc#1012628).
- can: peak_usb: fix potential double kfree_skb() (bnc#1012628).
- can: flexcan: fix an use-after-free in flexcan_setup_stop_mode()
(bnc#1012628).
- can: flexcan: fix stop mode acknowledgment (bnc#1012628).
- can: rcar_canfd: fix possible IRQ storm on high load
(bnc#1012628).
- usb: typec: tcpm: Ignore unsupported/unknown alternate mode
requests (bnc#1012628).
- usb: typec: tcpm: Add NULL check before dereferencing config
(bnc#1012628).
- usb: typec: tcpm: remove tcpm dir if no children (bnc#1012628).
- usb: typec: tcpm: free log buf memory when remove debug file
(bnc#1012628).
- usb: typec: ucsi: ccg: Fix uninitilized symbol error
(bnc#1012628).
- usb: yurex: Fix use-after-free in yurex_delete (bnc#1012628).
- usb: host: xhci-rcar: Fix timeout in xhci_suspend()
(bnc#1012628).
- gfs2: gfs2_walk_metadata fix (bnc#1012628).
- genirq/affinity: Create affinity mask for single vector
(bnc#1012628).
- x86/purgatory: Use CFLAGS_REMOVE rather than reset KBUILD_CFLAGS
(bnc#1012628).
- x86/purgatory: Do not use __builtin_memcpy and __builtin_memset
(bnc#1012628).
- perf record: Fix module size on s390 (bnc#1012628).
- perf db-export: Fix thread__exec_comm() (bnc#1012628).
- perf annotate: Fix s390 gap between kernel end and module start
(bnc#1012628).
- coresight: Fix DEBUG_LOCKS_WARN_ON for uninitialized attribute
(bnc#1012628).
- mm/vmalloc: Sync unmappings in __purge_vmap_area_lazy()
(bnc#1012628).
- x86/mm: Sync also unmappings in vmalloc_sync_all()
(bnc#1012628).
- x86/mm: Check for pfn instead of page in vmalloc_sync_one()
(bnc#1012628).
- Input: synaptics - enable RMI mode for HP Spectre X360
(bnc#1012628).
- Input: elantech - enable SMBus on new (2018+) systems
(bnc#1012628).
- Input: usbtouchscreen - initialize PM mutex before using it
(bnc#1012628).
- bdev: Fixup error handling in blkdev_get() (bnc#1012628).
- loop: set PF_MEMALLOC_NOIO for the worker thread (bnc#1012628).
- mmc: cavium: Add the missing dma unmap when the dma has finished
(bnc#1012628).
- mmc: cavium: Set the correct dma max segment size for mmc_host
(bnc#1012628).
- sound: fix a memory leak bug (bnc#1012628).
- usb: iowarrior: fix deadlock on disconnect (bnc#1012628).
- Revert "USB: rio500: simplify locking" (bnc#1012628).
- usb: usbfs: fix double-free of usb memory upon submiturb error
(bnc#1012628).
- driver core: platform: return -ENXIO for missing GpioInt
(bnc#1012628).
- crypto: ccp - Ignore tag length when decrypting GCM ciphertext
(bnc#1012628).
- crypto: ccp - Add support for valid authsize values less than 16
(bnc#1012628).
- crypto: ccp - Fix oops by properly managing allocated structures
(bnc#1012628).
- Staging: fbtft: Fix reset assertion when using gpio descriptor
(bnc#1012628).
- Staging: fbtft: Fix probing of gpio descriptor (bnc#1012628).
- staging: android: ion: Bail out upon SIGKILL when allocating
memory (bnc#1012628).
- staging: wilc1000: flush the workqueue before deinit the host
(bnc#1012628).
- staging: gasket: apex: fix copy-paste typo (bnc#1012628).
- iio: adc: max9611: Fix misuse of GENMASK macro (bnc#1012628).
- iio: adc: gyroadc: fix uninitialized return code (bnc#1012628).
- iio: imu: mpu6050: add missing available scan masks
(bnc#1012628).
- iio: cros_ec_accel_legacy: Fix incorrect channel setting
(bnc#1012628).
- IIO: Ingenic JZ47xx: Set clock divider on probe (bnc#1012628).
- Revert "PCI: Add missing link delays required by the PCIe spec"
(bnc#1012628).
- commit 80c0ffe
-------------------------------------------------------------------
Thu Aug 15 21:55:55 CEST 2019 - msuchanek@suse.de
- Revert "Workaround gcc regression on ppc64 (bko#204125)."
This reverts commit 8b84d1d46ff90146bb6ba5b760a54ddd87a1a680.
Works for me 5.3-rc4-65-g329120423947
- commit d8f9e6c
-------------------------------------------------------------------
Tue Aug 13 10:37:32 CEST 2019 - vbabka@suse.cz
- x86/kconfig: Remove X86_DIRECT_GBPAGES dependency on
!DEBUG_PAGEALLOC (PM-1168, bsc#1144653, VM Debug Functionality).
[js] update upstream status
- commit 1183125
-------------------------------------------------------------------
Mon Aug 12 23:29:16 CEST 2019 - msuchanek@suse.de
- config.conf: syms should not depend on kernel-zfcpdump
Kernel-zfcpdump is not modular so kernel-zfcpdump-devel does not make
sense and is not built. kernel-syms cannot depend on it.
- commit 516192f
-------------------------------------------------------------------
Mon Aug 12 23:11:50 CEST 2019 - msuchanek@suse.de
- rpm/mkspec: Correct tarball URL for rc kernels.
- commit c4ef18d
-------------------------------------------------------------------
Mon Aug 12 09:11:56 CEST 2019 - jslaby@suse.cz
- x86/apic: Handle missing global clockevent gracefully
(bnc#1142926).
- commit a44175e
-------------------------------------------------------------------
Sun Aug 11 22:47:14 CEST 2019 - mkubecek@suse.cz
- Update to 5.3-rc4
- Refresh
- patches.suse/kernel-add-product-identifying-information-to-kernel-build.patch
- commit 5402233
-------------------------------------------------------------------
Fri Aug 9 19:51:51 CEST 2019 - jslaby@suse.cz
- Linux 5.2.8 (bnc#1012628).
- scsi: fcoe: Embed fc_rport_priv in fcoe_rport structure
(bnc#1012628).
- libnvdimm/bus: Prepare the nd_ioctl() path to be re-entrant
(bnc#1012628).
- libnvdimm/bus: Fix wait_nvdimm_bus_probe_idle() ABBA deadlock
(bnc#1012628).
- ALSA: usb-audio: Sanity checks for each pipe and EP types
(bnc#1012628).
- ALSA: usb-audio: Fix gpf in snd_usb_pipe_sanity_check
(bnc#1012628).
- HID: wacom: fix bit shift for Cintiq Companion 2 (bnc#1012628).
- HID: Add quirk for HP X1200 PIXART OEM mouse (bnc#1012628).
- atm: iphase: Fix Spectre v1 vulnerability (bnc#1012628).
- bnx2x: Disable multi-cos feature (bnc#1012628).
- drivers/net/ethernet/marvell/mvmdio.c: Fix non OF case
(bnc#1012628).
- ife: error out when nla attributes are empty (bnc#1012628).
- ip6_gre: reload ipv6h in prepare_ip6gre_xmit_ipv6 (bnc#1012628).
- ip6_tunnel: fix possible use-after-free on xmit (bnc#1012628).
- ipip: validate header length in ipip_tunnel_xmit (bnc#1012628).
- mlxsw: spectrum: Fix error path in mlxsw_sp_module_init()
(bnc#1012628).
- mvpp2: fix panic on module removal (bnc#1012628).
- mvpp2: refactor MTU change code (bnc#1012628).
- net: bridge: delete local fdb on device init failure
(bnc#1012628).
- net: bridge: mcast: don't delete permanent entries when fast
leave is enabled (bnc#1012628).
- net: bridge: move default pvid init/deinit to
NETDEV_REGISTER/UNREGISTER (bnc#1012628).
- net: fix ifindex collision during namespace removal
(bnc#1012628).
- net/mlx5e: always initialize frag->last_in_page (bnc#1012628).
- net/mlx5: Use reversed order when unregister devices
(bnc#1012628).
- net: phy: fixed_phy: print gpio error only if gpio node is
present (bnc#1012628).
- net: phylink: don't start and stop SGMII PHYs in SFP modules
twice (bnc#1012628).
- net: phylink: Fix flow control for fixed-link (bnc#1012628).
- net: phy: mscc: initialize stats array (bnc#1012628).
- net: qualcomm: rmnet: Fix incorrect UL checksum offload logic
(bnc#1012628).
- net: sched: Fix a possible null-pointer dereference in
dequeue_func() (bnc#1012628).
- net sched: update vlan action for batched events operations
(bnc#1012628).
- net: sched: use temporary variable for actions indexes
(bnc#1012628).
- net/smc: do not schedule tx_work in SMC_CLOSED state
(bnc#1012628).
- net: stmmac: Use netif_tx_napi_add() for TX polling function
(bnc#1012628).
- NFC: nfcmrvl: fix gpio-handling regression (bnc#1012628).
- ocelot: Cancel delayed work before wq destruction (bnc#1012628).
- tipc: compat: allow tipc commands without arguments
(bnc#1012628).
- tipc: fix unitilized skb list crash (bnc#1012628).
- tun: mark small packets as owned by the tap sock (bnc#1012628).
- net/mlx5: Fix modify_cq_in alignment (bnc#1012628).
- net/mlx5e: Prevent encap flow counter update async to user query
(bnc#1012628).
- r8169: don't use MSI before RTL8168d (bnc#1012628).
- bpf: fix XDP vlan selftests test_xdp_vlan.sh (bnc#1012628).
- selftests/bpf: add wrapper scripts for test_xdp_vlan.sh
(bnc#1012628).
- selftests/bpf: reduce time to execute test_xdp_vlan.sh
(bnc#1012628).
- net: fix bpf_xdp_adjust_head regression for generic-XDP
(bnc#1012628).
- hv_sock: Fix hang when a connection is closed (bnc#1012628).
- net: phy: fix race in genphy_update_link (bnc#1012628).
- net/smc: avoid fallback in case of non-blocking connect
(bnc#1012628).
- rocker: fix memory leaks of fib_work on two error return paths
(bnc#1012628).
- mlxsw: spectrum_buffers: Further reduce pool size on Spectrum-2
(bnc#1012628).
- net/mlx5: Add missing RDMA_RX capabilities (bnc#1012628).
- net/mlx5e: Fix matching of speed to PRM link modes
(bnc#1012628).
- compat_ioctl: pppoe: fix PPPOEIOCSFWD handling (bnc#1012628).
- Revert "mac80211: set NETIF_F_LLTX when using intermediate tx
queues" (bnc#1012628).
- spi: bcm2835: Fix 3-wire mode if DMA is enabled (bnc#1012628).
- commit bf37e83
-------------------------------------------------------------------
Fri Aug 9 18:16:57 CEST 2019 - msuchanek@suse.de
- rpm/config.sh: Enable building DTBs.
- commit 533dae1
-------------------------------------------------------------------
Fri Aug 9 18:14:50 CEST 2019 - msuchanek@suse.de
- rpm/mkspec: Make building DTBs optional.
- commit 7c057c8
-------------------------------------------------------------------
Fri Aug 9 17:39:36 CEST 2019 - mkubecek@suse.cz
- supported.conf: fix supported modules depending on unsupported on non-x86_64 architectures
Some more "unsupported module needed by supported one" errors were reported
on aarch64 and ppc64le.
- commit 6b609de
-------------------------------------------------------------------
Fri Aug 9 14:44:04 CEST 2019 - mkubecek@suse.cz
- supported.conf: drop obsolete entry for hyperv_fb
The module moved to a different directory, the obsolete entry causes
file conflict betwen kernel-default and kernel-default-extra.
- commit 6b112e5
-------------------------------------------------------------------
Fri Aug 9 13:42:51 CEST 2019 - msuchanek@suse.de
- rpm/modflist: Simplify compression support.
- commit fd135a6
-------------------------------------------------------------------
Fri Aug 9 13:36:21 CEST 2019 - mkubecek@suse.cz
- supported.conf: close with respect to dependencies
Modules which some supported module depends on must be supported as well.
Also drop obsolete entries for rtsx_pci and rtsx_usb which were moved
between 4.12 and 5.3.
- commit b781c36
-------------------------------------------------------------------
Fri Aug 9 13:33:49 CEST 2019 - mkubecek@suse.cz
- rpm: support compressed modules
Some of our scripts and scriptlets in rpm/ do not expect module files not
ending with ".ko" which currently leads to failure in preuninstall
scriptlet of cluster-md-kmp-default (and probably also other subpackages).
Let those which could be run on compressed module files recognize ".ko.xz"
in addition to ".ko".
- commit 18fcdff
-------------------------------------------------------------------
Fri Aug 9 12:08:16 CEST 2019 - msuchanek@suse.de
- Bring back MODVERDIR to Makefile.modpost (bsc#1066369).
- commit 6cc69f5
-------------------------------------------------------------------
Fri Aug 9 10:38:13 CEST 2019 - mkubecek@suse.cz
- supported.conf: sort again
Make the order compatible with scripts/supported-conf-fixup (LC_ALL=C).
- commit 4a4b5dd
-------------------------------------------------------------------
Fri Aug 9 08:28:37 CEST 2019 - msuchanek@suse.de
- supported.conf: Sort alphabetically, align comments.
- commit 5189766
-------------------------------------------------------------------
Fri Aug 9 07:32:49 CEST 2019 - mkubecek@suse.cz
- supported.conf: drop extensions
To make things more consistent, drop all ".ko" extensions.
- commit 398461b
-------------------------------------------------------------------
Fri Aug 9 07:00:48 CEST 2019 - mkubecek@suse.cz
- Update patches.suse/supported-flag.
Fix ReST table.
- commit 0692bf4
-------------------------------------------------------------------
Thu Aug 8 21:39:50 CEST 2019 - msuchanek@suse.de
- Use upstream TAINT_AUX for TAINT_EXTERNAL_SUPPORT.
Refresh patches.suse/supported-flag.
- commit a4999e0
-------------------------------------------------------------------
Thu Aug 8 20:01:30 CEST 2019 - jslaby@suse.cz
- Use the upstream patch.
(Including the tags.)
- commit 5dd5b2d
-------------------------------------------------------------------
Thu Aug 8 15:31:02 CEST 2019 - jslaby@suse.cz
- s390: enable detection of kernel version from bzImage
(bnc#1139939).
- commit 8434c05
-------------------------------------------------------------------
Thu Aug 8 14:35:08 CEST 2019 - dmueller@suse.com
- rpm/kernel-obs-build.spec.in: add dm-crypt for building with cryptsetup
Co-Authored-By: Adam Spiers <aspiers@suse.com>
- commit 7cf5b9e
-------------------------------------------------------------------
Thu Aug 8 10:34:56 CEST 2019 - mkubecek@suse.cz
- supported.conf: add missing entries for all architectures
As list of built modules depends on architecture, we must also add entries
for modules which are not built (or do not even exist) on x86_64 but are
built on other architectures (aarch64, ppc64le, s390x).
- commit 8f74082
-------------------------------------------------------------------
Thu Aug 8 08:16:02 CEST 2019 - mkubecek@suse.cz
- supported.conf: add missing entries
Add all modules currently built but not listed in supported.conf.
Another update will be necessary once we replace configs inherited from
master with true SLE15-SP2 configs. This is also why entries for modules
not built are left in the file for now.
- commit 0f5033c
-------------------------------------------------------------------
Thu Aug 8 08:01:05 CEST 2019 - mkubecek@suse.cz
- supported.conf: sort
Also remove one commented out line.
- commit b539157
-------------------------------------------------------------------
Thu Aug 8 07:36:22 CEST 2019 - mkubecek@suse.cz
- supported.conf: cleanup
Remove duplicate and shadowed entries.
- commit 25e91ec
-------------------------------------------------------------------
Thu Aug 8 07:21:05 CEST 2019 - mkubecek@suse.cz
- supported.conf: update from SLE15-SP1
Differences from current SLE15-SP1 supported.conf:
- f71808e_wdt and it87_wdt supported (jdelvare)
- bpfilter marked +base (tiwai, bsc#1106751)
- unified indentation
- commit 02162e4
-------------------------------------------------------------------
Wed Aug 7 21:34:48 CEST 2019 - tiwai@suse.de
- drm/i915/vbt: Fix VBT parsing for the PSR section (bsc#1143139).
- commit 0bef772
-------------------------------------------------------------------
Wed Aug 7 21:14:19 CEST 2019 - msuchanek@suse.de
- rpm/kernel-binary.spec.in: support partial rt debug config.
- commit af37821
-------------------------------------------------------------------
Wed Aug 7 18:49:38 CEST 2019 - afaerber@suse.de
- config: arm64: Update to 5.3-rc3
- commit f1f49f3
-------------------------------------------------------------------
Wed Aug 7 17:55:06 CEST 2019 - afaerber@suse.de
- config: Enable SENSORS_GPIO_FAN for all of Arm (boo#1144723)
We already had it for armv6hl but were lacking it on arm64.
Add it on armv7hl for consistency while at it.
(cherry picked from commit 607ebebd5af841d77de8908dbc8abb1fd7cdda4f)
- config: Enable SENSORS_GPIO_FAN for all of Arm (boo#1144723)
We already had it for armv6hl but were lacking it on arm64.
Add it on armv7hl for consistency while at it.
- commit 71d4424
-------------------------------------------------------------------
Tue Aug 6 21:39:08 CEST 2019 - jslaby@suse.cz
- Linux 5.2.7 (bnc#1012628).
- Documentation: Add swapgs description to the Spectre v1
documentation (bnc#1012628).
- x86/speculation/swapgs: Exclude ATOMs from speculation through
SWAPGS (bnc#1012628).
- x86/entry/64: Use JMP instead of JMPQ (bnc#1012628).
- x86/speculation: Enable Spectre v1 swapgs mitigations
(bnc#1012628).
- x86/speculation: Prepare entry code for Spectre v1 swapgs
mitigations (bnc#1012628).
- x86/cpufeatures: Combine word 11 and 12 into a new scattered
features word (bnc#1012628).
- x86/cpufeatures: Carve out CQM features retrieval (bnc#1012628).
- drm/i915/gvt: fix incorrect cache entry for guest page mapping
(bnc#1012628).
- drm/i915/perf: fix ICL perf register offsets (bnc#1012628).
- IB/hfi1: Field not zero-ed when allocating TID flow memory
(bnc#1012628).
- IB/hfi1: Drop all TID RDMA READ RESP packets after r_next_psn
(bnc#1012628).
- IB/hfi1: Check for error on call to alloc_rsm_map_table
(bnc#1012628).
- IB/mlx5: Fix RSS Toeplitz setup to be aligned with the HW
specification (bnc#1012628).
- IB/mlx5: Fix clean_mr() to work in the expected order
(bnc#1012628).
- IB/mlx5: Move MRs to a kernel PD when freeing them to the MR
cache (bnc#1012628).
- IB/mlx5: Use direct mkey destroy command upon UMR unreg failure
(bnc#1012628).
- IB/mlx5: Fix unreg_umr to ignore the mkey state (bnc#1012628).
- RDMA/devices: Do not deadlock during client removal
(bnc#1012628).
- RDMA/bnxt_re: Honor vlan_id in GID entry comparison
(bnc#1012628).
- xen/gntdev.c: Replace vm_map_pages() with vm_map_pages_zero()
(bnc#1012628).
- xen/swiotlb: fix condition for calling
xen_destroy_contiguous_region() (bnc#1012628).
- nbd: replace kill_bdev() with __invalidate_device() again
(bnc#1012628).
- scsi: mpt3sas: Use 63-bit DMA addressing on SAS35 HBA
(bnc#1012628).
- clk: mediatek: mt8183: Register 13MHz clock earlier for
clocksource (bnc#1012628).
- io_uring: fix KASAN use after free in io_sq_wq_submit_work
(bnc#1012628).
- arm64: cpufeature: Fix feature comparison for CTR_EL0.{CWG,ERG}
(bnc#1012628).
- arm64: compat: Allow single-byte watchpoints on all addresses
(bnc#1012628).
- drivers/perf: arm_pmu: Fix failure path in PM notifier
(bnc#1012628).
- parisc: Fix build of compressed kernel even with debug enabled
(bnc#1012628).
- parisc: Strip debug info from kernel before creating compressed
vmlinuz (bnc#1012628).
- parisc: Add archclean Makefile target (bnc#1012628).
- cgroup: kselftest: relax fs_spec checks (bnc#1012628).
- s390/dasd: fix endless loop after read unit address
configuration (bnc#1012628).
- loop: Fix mount(2) failure due to race with LOOP_SET_FD
(bnc#1012628).
- mm/migrate.c: initialize pud_entry in migrate_vma()
(bnc#1012628).
- ubsan: build ubsan.c more conservatively (bnc#1012628).
- mm: compaction: avoid 100% CPU usage during compaction when
a task is killed (bnc#1012628).
- mm: migrate: fix reference check race between __find_get_block()
and migration (bnc#1012628).
- mm: vmscan: check if mem cgroup is disabled or not before
calling memcg slab shrinker (bnc#1012628).
- ALSA: hda: Fix 1-minute detection delay when i915 module is
not available (bnc#1012628).
- selinux: fix memory leak in policydb_init() (bnc#1012628).
- powerpc/kasan: fix early boot failure on PPC32 (bnc#1012628).
- i2c: at91: fix clk_offset for sama5d2 (bnc#1012628).
- i2c: at91: disable TXRDY interrupt after sending data
(bnc#1012628).
- i2c: iproc: Fix i2c master read more than 63 bytes
(bnc#1012628).
- eeprom: at24: make spd world-readable again (bnc#1012628).
- mtd: rawnand: micron: handle on-die "ECC-off" devices correctly
(bnc#1012628).
- drm/nouveau: Only release VCPI slots on mode changes
(bnc#1012628).
- IB/hfi1: Fix Spectre v1 vulnerability (bnc#1012628).
- gpiolib: fix incorrect IRQ requesting of an active-low lineevent
(bnc#1012628).
- gpio: don't WARN() on NULL descs if gpiolib is disabled
(bnc#1012628).
- gpiolib: Preserve desc->flags when setting state (bnc#1012628).
- mmc: mmc_spi: Enable stable writes (bnc#1012628).
- mmc: host: sdhci-sprd: Fix the missing pm_runtime_put_noidle()
(bnc#1012628).
- mmc: meson-mx-sdio: Fix misuse of GENMASK macro (bnc#1012628).
- mmc: dw_mmc: Fix occasional hang after tuning on eMMC
(bnc#1012628).
- fgraph: Remove redundant ftrace_graph_notrace_addr() test
(bnc#1012628).
- dax: Fix missed wakeup in put_unlocked_entry() (bnc#1012628).
- Btrfs: fix race leading to fs corruption after transaction abort
(bnc#1012628).
- Btrfs: fix incremental send failure after deduplication
(bnc#1012628).
- tpm: Fix null pointer dereference on chip register error path
(bnc#1012628).
- kbuild: modpost: include .*.cmd files only when targets exist
(bnc#1012628).
- kbuild: initialize CLANG_FLAGS correctly in the top Makefile
(bnc#1012628).
- kconfig: Clear "written" flag to avoid data loss (bnc#1012628).
- drm/nouveau/dmem: missing mutex_lock in error path
(bnc#1012628).
- drm/nouveau: fix memory leak in nouveau_conn_reset()
(bnc#1012628).
- bpf: Disable GCC -fgcse optimization for ___bpf_prog_run()
(bnc#1012628).
- x86, boot: Remove multiple copy of static function
sanitize_boot_params() (bnc#1012628).
- x86/paravirt: Fix callee-saved function ELF sizes (bnc#1012628).
- x86/kvm: Don't call kvm_spurious_fault() from .fixup
(bnc#1012628).
- xen/pv: Fix a boot up hang revealed by int3 self test
(bnc#1012628).
- crypto: ccp - Fix SEV_VERSION_GREATER_OR_EQUAL (bnc#1012628).
- stacktrace: Force USER_DS for stack_trace_save_user()
(bnc#1012628).
- mm/hotplug: make remove_memory() interface usable (bnc#1012628).
- device-dax: fix memory and resource leak if hotplug fails
(bnc#1012628).
- nds32: fix asm/syscall.h (bnc#1012628).
- ipc/mqueue.c: only perform resource calculation if user valid
(bnc#1012628).
- drivers/rapidio/devices/rio_mport_cdev.c: NUL terminate some
strings (bnc#1012628).
- uapi linux/coda_psdev.h: move upc_req definition from uapi to
kernel side headers (bnc#1012628).
- coda: fix build using bare-metal toolchain (bnc#1012628).
- coda: add error handling for fget (bnc#1012628).
- mm/ioremap: check virtual address alignment while creating
huge mappings (bnc#1012628).
- lib/test_string.c: avoid masking memset16/32/64 failures
(bnc#1012628).
- lib/test_overflow.c: avoid tainting the kernel and fix wrap size
(bnc#1012628).
- mm/cma.c: fail if fixed declaration can't be honored
(bnc#1012628).
- x86: math-emu: Hide clang warnings for 16-bit overflow
(bnc#1012628).
- x86/apic: Silence -Wtype-limits compiler warnings (bnc#1012628).
- mm/z3fold.c: reinitialize zhdr structs after migration
(bnc#1012628).
- mm/memcontrol.c: keep local VM counters in sync with the
hierarchical ones (bnc#1012628).
- mm/slab_common.c: work around clang bug #42570 (bnc#1012628).
- mm/z3fold: don't try to use buddy slots after free
(bnc#1012628).
- be2net: Signal that the device cannot transmit during
reconfiguration (bnc#1012628).
- bpf: fix BTF verifier size resolution logic (bnc#1012628).
- KVM: nVMX: Ignore segment base for VMX memory operand when
segment not FS or GS (bnc#1012628).
- ACPI: fix false-positive -Wuninitialized warning (bnc#1012628).
- x86: kvm: avoid constant-conversion warning (bnc#1012628).
- perf version: Fix segfault due to missing OPT_END()
(bnc#1012628).
- cifs: fix crash in cifs_dfs_do_automount (bnc#1012628).
- drm/amd/display: Expose audio inst from DC to DM (bnc#1012628).
- selftests/bpf: do not ignore clang failures (bnc#1012628).
- scsi: zfcp: fix GCC compiler warning emitted with
-Wmaybe-uninitialized (bnc#1012628).
- ACPI: blacklist: fix clang warning for unused DMI table
(bnc#1012628).
- virtio-mmio: add error check for platform_get_irq (bnc#1012628).
- ceph: return -ERANGE if virtual xattr value didn't fit in buffer
(bnc#1012628).
- ceph: fix dir_lease_is_valid() (bnc#1012628).
- ceph: fix improper use of smp_mb__before_atomic() (bnc#1012628).
- cifs: Fix a race condition with cifs_echo_request (bnc#1012628).
- btrfs: qgroup: Don't hold qgroup_ioctl_lock in
btrfs_qgroup_inherit() (bnc#1012628).
- remoteproc: copy parent dma_pfn_offset for vdev (bnc#1012628).
- btrfs: Flush before reflinking any extent to prevent NOCOW write
falling back to COW without data reservation (bnc#1012628).
- btrfs: fix minimum number of chunk errors for DUP (bnc#1012628).
- btrfs: tree-checker: Check if the file extent end overflows
(bnc#1012628).
- arm64: dts: rockchip: Fix USB3 Type-C on rk3399-sapphire
(bnc#1012628).
- clk: sprd: Add check for return value of sprd_clk_regmap_init()
(bnc#1012628).
- fs/adfs: super: fix use-after-free bug (bnc#1012628).
- clk: tegra210: fix PLLU and PLLU_OUT1 (bnc#1012628).
- ARM: exynos: Only build MCPM support if used (bnc#1012628).
- Update config files.
- dmaengine: rcar-dmac: Reject zero-length slave DMA requests
(bnc#1012628).
- MIPS: lantiq: Fix bitfield masking (bnc#1012628).
- swiotlb: fix phys_addr_t overflow warning (bnc#1012628).
- arm64: qcom: qcs404: Add reset-cells to GCC node (bnc#1012628).
- soc: imx8: Fix potential kernel dump in error path
(bnc#1012628).
- firmware/psci: psci_checker: Park kthreads before stopping them
(bnc#1012628).
- PCI: OF: Initialize dev->fwnode appropriately (bnc#1012628).
- kernel/module.c: Only return -EEXIST for modules that have
finished loading (bnc#1012628).
- arm64: dts: rockchip: fix isp iommu clocks and power domain
(bnc#1012628).
- dmaengine: tegra-apb: Error out if DMA_PREP_INTERRUPT flag is
unset (bnc#1012628).
- soc: imx: soc-imx8: Correct return value of error handle
(bnc#1012628).
- arm64: dts: marvell: mcbin: enlarge PCI memory window
(bnc#1012628).
- soc: qcom: rpmpd: fixup rpmpd set performance state
(bnc#1012628).
- arm64: dts: qcom: qcs404-evb: fix l3 min voltage (bnc#1012628).
- ftrace: Enable trampoline when rec count returns back to one
(bnc#1012628).
- ARM: dts: rockchip: Mark that the rk3288 timer might stop in
suspend (bnc#1012628).
- clk: meson: mpll: properly handle spread spectrum (bnc#1012628).
- ARM: dts: rockchip: Make rk3288-veyron-mickey's emmc work again
(bnc#1012628).
- ARM: dts: rockchip: Make rk3288-veyron-minnie run at hs200
(bnc#1012628).
- ARM: riscpc: fix DMA (bnc#1012628).
- commit a3e04b1
-------------------------------------------------------------------
Mon Aug 5 07:15:46 CEST 2019 - mkubecek@suse.cz
- Update to 5.3-rc3
- Eliminated 1 patch
- Refresh
- patches.suse/supported-flag
- patches.suse/supported-flag-external
- Refresh configs
- commit 571863b
-------------------------------------------------------------------
Sun Aug 4 17:14:38 CEST 2019 - jslaby@suse.cz
- Linux 5.2.6 (bnc#1012628).
- vsock: correct removal of socket from the list (bnc#1012628).
- ISDN: hfcsusb: checking idx of ep configuration (bnc#1012628).
- bpf: fix NULL deref in btf_type_is_resolve_source_only
(bnc#1012628).
- media: au0828: fix null dereference in error path (bnc#1012628).
- ath10k: Change the warning message string (bnc#1012628).
- media: cpia2_usb: first wake up, then free in disconnect
(bnc#1012628).
- media: pvrusb2: use a different format for warnings
(bnc#1012628).
- NFS: Cleanup if nfs_match_client is interrupted (bnc#1012628).
- media: radio-raremono: change devm_k*alloc to k*alloc
(bnc#1012628).
- xfrm: policy: fix bydst hlist corruption on hash rebuild
(bnc#1012628).
- nvme: fix multipath crash when ANA is deactivated (bnc#1012628).
- sched/fair: Don't free p->numa_faults with concurrent readers
(bnc#1012628).
- sched/fair: Use RCU accessors consistently for ->numa_group
(bnc#1012628).
- /proc/<pid>/cmdline: remove all the special cases (bnc#1012628).
- /proc/<pid>/cmdline: add back the setproctitle() special case
(bnc#1012628).
- drivers/pps/pps.c: clear offset flags in PPS_SETPARAMS ioctl
(bnc#1012628).
- Fix allyesconfig output (bnc#1012628).
- ceph: hold i_ceph_lock when removing caps for freeing inode
(bnc#1012628).
- commit 187170c
-------------------------------------------------------------------
Fri Aug 2 10:19:16 CEST 2019 - bwiedemann@suse.de
- kernel-binary: Drop .kernel-binary.spec.buildenv (boo#1154578).
Without this patch,
/usr/src/linux-@VERSION@-@RELEASE_SHORT@-obj/x86_64/vanilla/.kernel-binary.spec.buildenv
contained rpm %_smp_mflags in a line like
export MAKE_ARGS=" --output-sync -j4"
This made it hard to produce bit-identical builds.
- commit 789d131
-------------------------------------------------------------------
Thu Aug 1 07:07:43 CEST 2019 - mkubecek@suse.cz
- config: refresh x86_64/default
With HBMC_AM654 disabled, nothing selects MULTIPLEXER any more.
- commit 07a1a73
-------------------------------------------------------------------
Wed Jul 31 12:41:34 CEST 2019 - acho@suse.com
- driver core: Fix use-after-free and double free on glue
directory (bsc#1131281).
- Bluetooth: hci_uart: check for missing tty operations
(CVE-2019-10207 bsc#1142857 bsc#1123959).
- commit 79b6a9c
-------------------------------------------------------------------
Wed Jul 31 11:53:24 CEST 2019 - jslaby@suse.cz
- Update config files: ARCH_HAS_DMA_MMAP_PGPROT is now selected
- commit 0a5eef3
-------------------------------------------------------------------
Wed Jul 31 11:06:04 CEST 2019 - jslaby@suse.cz
- Linux 5.2.5 (bnc#1012628).
- io_uring: don't use iov_iter_advance() for fixed buffers
(bnc#1012628).
- io_uring: fix counter inc/dec mismatch in async_list
(bnc#1012628).
- io_uring: ensure ->list is initialized for poll commands
(bnc#1012628).
- io_uring: add a memory barrier before atomic_read (bnc#1012628).
- access: avoid the RCU grace period for the temporary subjective
credentials (bnc#1012628).
- drm/i915: Make the semaphore saturation mask global
(bnc#1012628).
- structleak: disable STRUCTLEAK_BYREF in combination with
KASAN_STACK (bnc#1012628).
- libnvdimm/bus: Stop holding nvdimm_bus_list_mutex over
__nd_ioctl() (bnc#1012628).
- libnvdimm/region: Register badblocks before namespaces
(bnc#1012628).
- libnvdimm/bus: Prevent duplicate device_unregister() calls
(bnc#1012628).
- drivers/base: Introduce kill_device() (bnc#1012628).
- iommu/iova: Fix compilation error with !CONFIG_IOMMU_IOVA
(bnc#1012628).
- iommu/iova: Remove stale cached32_node (bnc#1012628).
- iommu/vt-d: Don't queue_iova() if there is no flush queue
(bnc#1012628).
- io_uring: fix the sequence comparison in io_sequence_defer
(bnc#1012628).
- powerpc/pmu: Set pmcregs_in_use in paca when running as LPAR
(bnc#1012628).
- powerpc/tm: Fix oops on sigreturn on systems without TM
(bnc#1012628).
- powerpc/mm: Limit rma_size to 1TB when running without HV mode
(bnc#1012628).
- powerpc/xive: Fix loop exit-condition in
xive_find_target_in_mask() (bnc#1012628).
- powerpc/dma: Fix invalid DMA mmap behavior (bnc#1012628).
- ALSA: hda - Add a conexant codec entry to let mute led work
(bnc#1012628).
- ALSA: hda - Fix intermittent CORB/RIRB stall on Intel chips
(bnc#1012628).
- ALSA: pcm: Fix refcount_inc() on zero usage (bnc#1012628).
- ALSA: line6: Fix wrong altsetting for LINE6_PODHD500_1
(bnc#1012628).
- ALSA: ac97: Fix double free of ac97_codec_device (bnc#1012628).
- drm/panel: Add support for Armadeus ST0700 Adapt (bnc#1012628).
- hpet: Fix division by zero in hpet_time_div() (bnc#1012628).
- eeprom: make older eeprom drivers select NVMEM_SYSFS
(bnc#1012628).
- mei: me: add mule creek canyon (EHL) device ids (bnc#1012628).
- fpga-manager: altera-ps-spi: Fix build error (bnc#1012628).
- binder: prevent transactions to context manager from its own
process (bnc#1012628).
- binder: Set end of SG buffer area properly (bnc#1012628).
- x86/stacktrace: Prevent access_ok() warnings in
arch_stack_walk_user() (bnc#1012628).
- x86/speculation/mds: Apply more accurate check on hypervisor
platform (bnc#1012628).
- x86/sysfb_efi: Add quirks for some devices with swapped width
and height (bnc#1012628).
- selinux: check sidtab limit before adding a new entry
(bnc#1012628).
- btrfs: inode: Don't compress if NODATASUM or NODATACOW set
(bnc#1012628).
- media: videodev2.h: change V4L2_PIX_FMT_BGRA444 define: fourcc
was already in use (bnc#1012628).
- KVM: PPC: Book3S HV: XIVE: fix rollback when kvmppc_xive_create
fails (bnc#1012628).
- KVM: PPC: Book3S HV: Save and restore guest visible PSSCR bits
on pseries (bnc#1012628).
- KVM: PPC: Book3S HV: Always save guest pmu for guest capable
of nesting (bnc#1012628).
- KVM: X86: Fix fpu state crash in kvm guest (bnc#1012628).
- usb: usb251xb: Reallow swap-dx-lanes to apply to the upstream
port (bnc#1012628).
- Revert "usb: usb251xb: Add US port lanes inversion property"
(bnc#1012628).
- Revert "usb: usb251xb: Add US lanes inversion dts-bindings"
(bnc#1012628).
- usb: pci-quirks: Correct AMD PLL quirk detection (bnc#1012628).
- usb: wusbcore: fix unbalanced get/put cluster_id (bnc#1012628).
- usb-storage: Add a limitation for blk_queue_max_hw_sectors()
(bnc#1012628).
- xhci: Fix crash if scatter gather is used with Immediate Data
Transfer (IDT) (bnc#1012628).
- locking/lockdep: Hide unused 'class' variable (bnc#1012628).
- mm, swap: fix race between swapoff and some swap operations
(bnc#1012628).
- mm: use down_read_killable for locking mmap_sem in
access_remote_vm (bnc#1012628).
- locking/lockdep: Fix lock used or unused stats error
(bnc#1012628).
- proc: use down_read_killable mmap_sem for /proc/pid/maps
(bnc#1012628).
- cxgb4: reduce kernel stack usage in cudbg_collect_mem_region()
(bnc#1012628).
- proc: use down_read_killable mmap_sem for /proc/pid/map_files
(bnc#1012628).
- proc: use down_read_killable mmap_sem for /proc/pid/clear_refs
(bnc#1012628).
- proc: use down_read_killable mmap_sem for /proc/pid/pagemap
(bnc#1012628).
- proc: use down_read_killable mmap_sem for /proc/pid/smaps_rollup
(bnc#1012628).
- mm/mmu_notifier: use hlist_add_head_rcu() (bnc#1012628).
- memcg, fsnotify: no oom-kill for remote memcg charging
(bnc#1012628).
- mm/gup.c: remove some BUG_ONs from get_gate_page()
(bnc#1012628).
- mm/gup.c: mark undo_dev_pagemap as __maybe_unused (bnc#1012628).
- mm/mincore.c: fix race between swapoff and mincore
(bnc#1012628).
- 9p: pass the correct prototype to read_cache_page (bnc#1012628).
- mm/kmemleak.c: fix check for softirq context (bnc#1012628).
- sh: prevent warnings when using iounmap (bnc#1012628).
- nvme: fix NULL deref for fabrics options (bnc#1012628).
- block/bio-integrity: fix a memory leak bug (bnc#1012628).
- platform/x86: Fix PCENGINES_APU2 Kconfig warning (bnc#1012628).
- powerpc/eeh: Handle hugepages in ioremap space (bnc#1012628).
- dlm: check if workqueues are NULL before flushing/destroying
(bnc#1012628).
- mailbox: handle failed named mailbox channel request
(bnc#1012628).
- f2fs: avoid out-of-range memory access (bnc#1012628).
- f2fs: fix to avoid long latency during umount (bnc#1012628).
- rds: Accept peer connection reject messages due to incompatible
version (bnc#1012628).
- block: init flush rq ref count to 1 (bnc#1012628).
- powerpc/boot: add {get, put}_unaligned_be32 to xz_config.h
(bnc#1012628).
- powerpc/irq: Don't WARN continuously in arch_local_irq_restore()
(bnc#1012628).
- nvme-tcp: set the STABLE_WRITES flag when data digests are
enabled (bnc#1012628).
- io_uring: fix io_sq_thread_stop running in front of io_sq_thread
(bnc#1012628).
- nvme-tcp: don't use sendpage for SLAB pages (bnc#1012628).
- nvme-pci: limit max_hw_sectors based on the DMA max mapping size
(bnc#1012628).
- nvme-pci: check for NULL return from pci_alloc_p2pmem()
(bnc#1012628).
- RDMA/core: Fix race when resolving IP address (bnc#1012628).
- perf intel-bts: Fix potential NULL pointer dereference found
by the smatch tool (bnc#1012628).
- PCI: dwc: pci-dra7xx: Fix compilation when !CONFIG_GPIOLIB
(bnc#1012628).
- RDMA/rxe: Fill in wc byte_len with IB_WC_RECV_RDMA_WITH_IMM
(bnc#1012628).
- perf hists browser: Fix potential NULL pointer dereference
found by the smatch tool (bnc#1012628).
- perf annotate: Fix dereferencing freed memory found by the
smatch tool (bnc#1012628).
- perf map: Fix potential NULL pointer dereference found by
smatch tool (bnc#1012628).
- perf session: Fix potential NULL pointer dereference found by
the smatch tool (bnc#1012628).
- perf trace: Fix potential NULL pointer dereference found by
the smatch tool (bnc#1012628).
- perf top: Fix potential NULL pointer dereference detected by
the smatch tool (bnc#1012628).
- rseq/selftests: Fix Thumb mode build failure on arm32
(bnc#1012628).
- perf stat: Fix use-after-freed pointer detected by the smatch
tool (bnc#1012628).
- perf test mmap-thread-lookup: Initialize variable to suppress
memory sanitizer warning (bnc#1012628).
- PCI: mobiveil: Use the 1st inbound window for MEM inbound
transactions (bnc#1012628).
- PCI: mobiveil: Initialize Primary/Secondary/Subordinate bus
numbers (bnc#1012628).
- kallsyms: exclude kasan local symbols on s390 (bnc#1012628).
- PCI: mobiveil: Fix the Class Code field (bnc#1012628).
- PCI: mobiveil: Fix PCI base address in MEM/IO outbound windows
(bnc#1012628).
- KVM: nVMX: Stash L1's CR3 in vmcs01.GUEST_CR3 on nested entry
w/o EPT (bnc#1012628).
- arm64: assembler: Switch ESB-instruction with a vanilla nop
if !ARM64_HAS_RAS (bnc#1012628).
- IB/ipoib: Add child to parent list only if device initialized
(bnc#1012628).
- powerpc/mm: Handle page table allocation failures (bnc#1012628).
- IB/mlx5: Fixed reporting counters on 2nd port for Dual port RoCE
(bnc#1012628).
- serial: sh-sci: Fix TX DMA buffer flushing and workqueue races
(bnc#1012628).
- serial: sh-sci: Terminate TX DMA during buffer flushing
(bnc#1012628).
- RDMA/i40iw: Set queue pair state when being queried
(bnc#1012628).
- powerpc/mm: mark more tlb functions as __always_inline
(bnc#1012628).
- powerpc/4xx/uic: clear pending interrupt after irq type/pol
change (bnc#1012628).
- powerpc: silence a -Wcast-function-type warning in
dawr_write_file_bool (bnc#1012628).
- f2fs: fix is_idle() check for discard type (bnc#1012628).
- um: Silence lockdep complaint about mmap_sem (bnc#1012628).
- mm/swap: fix release_pages() when releasing devmap pages
(bnc#1012628).
- mfd: hi655x-pmic: Fix missing return value check for
devm_regmap_init_mmio_clk (bnc#1012628).
- mfd: arizona: Fix undefined behavior (bnc#1012628).
- mfd: core: Set fwnode for created devices (bnc#1012628).
- mfd: madera: Add missing of table registration (bnc#1012628).
- mfd: cros_ec: Register cros_ec_lid_angle driver when presented
(bnc#1012628).
- recordmcount: Fix spurious mcount entries on powerpc
(bnc#1012628).
- fixdep: check return value of printf() and putchar()
(bnc#1012628).
- powerpc/rtas: retry when cpu offline races with
suspend/migration (bnc#1012628).
- powerpc/xmon: Fix disabling tracing while in xmon (bnc#1012628).
- powerpc/cacheflush: fix variable set but not used (bnc#1012628).
- dt-bindings: backlight: lm3630a: correct schema validation
(bnc#1012628).
- iio: iio-utils: Fix possible incorrect mask calculation
(bnc#1012628).
- PCI: xilinx-nwl: Fix Multi MSI data programming (bnc#1012628).
- phy: meson-g12a-usb3-pcie: disable locking for cr_regmap
(bnc#1012628).
- genksyms: Teach parser about 128-bit built-in types
(bnc#1012628).
- kbuild: Add -Werror=unknown-warning-option to CLANG_FLAGS
(bnc#1012628).
- i2c: stm32f7: fix the get_irq error cases (bnc#1012628).
- PCI: sysfs: Ignore lockdep for remove attribute (bnc#1012628).
- phy: renesas: rcar-gen3-usb2: fix imbalance powered flag
(bnc#1012628).
- serial: mctrl_gpio: Check if GPIO property exisits before
requesting it (bnc#1012628).
- drm/msm: Depopulate platform on probe failure (bnc#1012628).
- powerpc/pci/of: Fix OF flags parsing for 64bit BARs
(bnc#1012628).
- drm/msm/adreno: Ensure that the zap shader region is big enough
(bnc#1012628).
- kvm: vmx: segment limit check: use access length (bnc#1012628).
- KVM: nVMX: Intercept VMWRITEs to GUEST_{CS,SS}_AR_BYTES
(bnc#1012628).
- mmc: sdhci: sdhci-pci-o2micro: Check if controller supports
8-bit width (bnc#1012628).
- kvm: vmx: fix limit checking in get_vmx_mem_address()
(bnc#1012628).
- usb: dwc3: Fix core validation in probe, move after clocks
are enabled (bnc#1012628).
- usb: gadget: Zero ffs_io_data (bnc#1012628).
- tty: serial_core: Set port active bit in uart_port_activate
(bnc#1012628).
- serial: uartps: Use the same dynamic major number for all ports
(bnc#1012628).
- serial: imx: fix locking in set_termios() (bnc#1012628).
- iio: adxl372: fix iio_triggered_buffer_{pre,post}enable
positions (bnc#1012628).
- platform/x86: asus-wmi: Increase input buffer size of WMI
methods (bnc#1012628).
- drm/rockchip: Properly adjust to a true clock in adjusted_mode
(bnc#1012628).
- dma-remap: Avoid de-referencing NULL atomic_pool (bnc#1012628).
- powerpc/pseries/mobility: prevent cpu hotplug during DT update
(bnc#1012628).
- drm/bridge: tfp410: fix use of cancel_delayed_work_sync
(bnc#1012628).
- sunhv: Fix device naming inconsistency between sunhv_console
and sunhv_reg (bnc#1012628).
- drm/amd/display: fix compilation error (bnc#1012628).
- phy: renesas: rcar-gen2: Fix memory leak at error paths
(bnc#1012628).
- drm/amd/display: set link->dongle_max_pix_clk to 0 on a
disconnect (bnc#1012628).
- drm/virtio: Add memory barriers for capset cache (bnc#1012628).
- drm/amd/display: Update link rate from DPCD 10 (bnc#1012628).
- drm/amd/display: Always allocate initial connector state state
(bnc#1012628).
- PCI: endpoint: Allocate enough space for fixed size BAR
(bnc#1012628).
- serial: 8250: Fix TX interrupt handling condition (bnc#1012628).
- tty: serial: msm_serial: avoid system lockup condition
(bnc#1012628).
- tty/serial: digicolor: Fix digicolor-usart already registered
warning (bnc#1012628).
- memstick: Fix error cleanup path of memstick_init (bnc#1012628).
- drm/omap: don't check dispc timings for DSI (bnc#1012628).
- mm/hmm: fix use after free with struct hmm in the mmu notifiers
(bnc#1012628).
- i2c: nvidia-gpu: resume ccgx i2c client (bnc#1012628).
- drm/vkms: Forward timer right after drm_crtc_handle_vblank
(bnc#1012628).
- drm/crc-debugfs: Also sprinkle irqrestore over early exits
(bnc#1012628).
- drm/crc-debugfs: User irqsafe spinlock in drm_crtc_add_crc_entry
(bnc#1012628).
- gpu: host1x: Increase maximum DMA segment size (bnc#1012628).
- f2fs: Lower threshold for disable_cp_again (bnc#1012628).
- f2fs: Fix accounting for unusable blocks (bnc#1012628).
- drm/amd/display: Increase Backlight Gain Step Size
(bnc#1012628).
- drm/amd/display: CS_TFM_1D only applied post EOTF (bnc#1012628).
- drm/amd/display: Reset planes for color management changes
(bnc#1012628).
- drm/bridge: sii902x: pixel clock unit is 10kHz instead of 1kHz
(bnc#1012628).
- drm/bridge: tc358767: read display_props in get_modes()
(bnc#1012628).
- staging: kpc2000: report error status to spi core (bnc#1012628).
- PCI: Return error if cannot probe VF (bnc#1012628).
- tools: PCI: Fix broken pcitest compilation (bnc#1012628).
- drm/edid: Fix a missing-check bug in drm_load_edid_firmware()
(bnc#1012628).
- drm/amdkfd: Fix sdma queue map issue (bnc#1012628).
- drm/amdkfd: Fix a potential memory leak (bnc#1012628).
- drm/amd/display: Disable ABM before destroy ABM struct
(bnc#1012628).
- drm/amdgpu/sriov: Need to initialize the HDP_NONSURFACE_BAStE
(bnc#1012628).
- f2fs: fix to avoid deadloop if data_flush is on (bnc#1012628).
- drm/amdgpu: Reserve shared fence for eviction fence
(bnc#1012628).
- drm/amd/display: Fill plane attrs only for valid pxl format
(bnc#1012628).
- drm/amd/display: Disable cursor when offscreen in negative
direction (bnc#1012628).
- drm/msm/a6xx: Avoid freeing gmu resources multiple times
(bnc#1012628).
- drm/amd/display: fix multi display seamless boot case
(bnc#1012628).
- drm/amd/display: Fill prescale_params->scale for RGB565
(bnc#1012628).
- ipmi_ssif: fix unexpected driver unregister warning
(bnc#1012628).
- drm/msm/a6xx: Check for ERR or NULL before iounmap
(bnc#1012628).
- f2fs: fix to check layout on last valid checkpoint park
(bnc#1012628).
- tty: serial: cpm_uart - fix init when SMC is relocated
(bnc#1012628).
- pinctrl: rockchip: fix leaked of_node references (bnc#1012628).
- tty: max310x: Fix invalid baudrate divisors calculator
(bnc#1012628).
- usb: core: hub: Disable hub-initiated U1/U2 (bnc#1012628).
- drm/bochs: Fix connector leak during driver unload
(bnc#1012628).
- staging: vt6656: use meaningful error code during buffer
allocation (bnc#1012628).
- ipmi_si: fix unexpected driver unregister warning (bnc#1012628).
- staging: kpc2000: added missing clean-up to probe_core_uio
(bnc#1012628).
- drm/virtio: set seqno for dma-fence (bnc#1012628).
- iio: adc: stm32-dfsdm: missing error case during probe
(bnc#1012628).
- iio: adc: stm32-dfsdm: manage the get_irq error case
(bnc#1012628).
- drm/panel: simple: Fix panel_simple_dsi_probe (bnc#1012628).
- drm/lima: handle shared irq case for lima_pp_bcast_irq_handler
(bnc#1012628).
- btrfs: shut up bogus -Wmaybe-uninitialized warning
(bnc#1012628).
- media: drivers: media: coda: fix warning same module names
(bnc#1012628).
- regulator: 88pm800: fix warning same module names (bnc#1012628).
- commit b607c27
-------------------------------------------------------------------
Wed Jul 31 10:52:34 CEST 2019 - jdelvare@suse.de
- Update config files: CONFIG_HBMC_AM654=n
The TI AM654 is an ARM64 SoC, so disable the driver on all other
architectures.
- commit 042f63f
-------------------------------------------------------------------
Tue Jul 30 18:10:55 CEST 2019 - schwab@suse.de
- packaging: add support for riscv64
- commit c2885ea
-------------------------------------------------------------------
Sun Jul 28 22:20:13 CEST 2019 - mkubecek@suse.cz
- Update to 5.3-rc2
- Eliminated 1 patch
- patches.suse/dma-mapping-use-dma_get_mask-in-dma_addressing_limit.patch
- Refresh
- patches.suse/netfilter-ip_conntrack_slp.patch
- Config changes
- NF_TABLES_BRIDGE=m (was =y)
- commit fc5ebf3
-------------------------------------------------------------------
Sun Jul 28 09:25:17 CEST 2019 - jslaby@suse.cz
- Linux 5.2.4 (bnc#1012628).
- net: sched: verify that q!=NULL before setting q->flags
(bnc#1012628).
- block: Limit zone array allocation size (bnc#1012628).
- sd_zbc: Fix report zones buffer allocation (bnc#1012628).
- Revert "kvm: x86: Use task structs fpu field for user"
(bnc#1012628).
- KVM: nVMX: Clear pending KVM_REQ_GET_VMCS12_PAGES when leaving
nested (bnc#1012628).
- KVM: nVMX: do not use dangling shadow VMCS after guest reset
(bnc#1012628).
- ext4: allow directory holes (bnc#1012628).
- ext4: use jbd2_inode dirty range scoping (bnc#1012628).
- jbd2: introduce jbd2_inode dirty range scoping (bnc#1012628).
- mm: add filemap_fdatawait_range_keep_errors() (bnc#1012628).
- ext4: enforce the immutable flag on open files (bnc#1012628).
- ext4: don't allow any modifications to an immutable file
(bnc#1012628).
- perf/core: Fix race between close() and fork() (bnc#1012628).
- perf/core: Fix exclusive events' grouping (bnc#1012628).
- perf script: Assume native_arch for pipe mode (bnc#1012628).
- MIPS: lb60: Fix pin mappings (bnc#1012628).
- gpio: davinci: silence error prints in case of EPROBE_DEFER
(bnc#1012628).
- gpiolib: of: fix a memory leak in of_gpio_flags_quirks()
(bnc#1012628).
- Revert "gpio/spi: Fix spi-gpio regression on active high CS"
(bnc#1012628).
- dma-buf: Discard old fence_excl on retrying get_fences_rcu
for realloc (bnc#1012628).
- dma-buf: balance refcount inbalance (bnc#1012628).
- mlxsw: spectrum: Do not process learned records with a dummy
FID (bnc#1012628).
- net/mlx5: E-Switch, Fix default encap mode (bnc#1012628).
- mlxsw: spectrum_dcb: Configure DSCP map as the last rule is
removed (bnc#1012628).
- bnxt_en: Fix VNIC accounting when enabling aRFS on 57500 chips
(bnc#1012628).
- net/mlx5e: Fix error flow in tx reporter diagnose (bnc#1012628).
- net/mlx5e: Fix return value from timeout recover function
(bnc#1012628).
- net/mlx5e: Rx, Fix checksum calculation for new hardware
(bnc#1012628).
- net/mlx5e: Fix port tunnel GRE entropy control (bnc#1012628).
- net/tls: reject offload of TLS 1.3 (bnc#1012628).
- net/tls: fix poll ignoring partially copied records
(bnc#1012628).
- selftests: txring_overwrite: fix incorrect test of mmap()
return value (bnc#1012628).
- netrom: hold sock when setting skb->destructor (bnc#1012628).
- netrom: fix a memory leak in nr_rx_frame() (bnc#1012628).
- macsec: fix checksumming after decryption (bnc#1012628).
- macsec: fix use-after-free of skb during RX (bnc#1012628).
- net: bridge: stp: don't cache eth dest pointer before skb pull
(bnc#1012628).
- net: bridge: don't cache ether dest pointer on input
(bnc#1012628).
- net: bridge: mcast: fix stale ipv6 hdr pointer when handling
v6 query (bnc#1012628).
- net: bridge: mcast: fix stale nsrcs pointer in igmp3/mld2
report handling (bnc#1012628).
- net/mlx5e: IPoIB, Add error path in mlx5_rdma_setup_rn
(bnc#1012628).
- vrf: make sure skb->data contains ip header to make routing
(bnc#1012628).
- tcp: Reset bytes_acked and bytes_received when disconnecting
(bnc#1012628).
- tcp: fix tcp_set_congestion_control() use from bpf hook
(bnc#1012628).
- tcp: be more careful in tcp_fragment() (bnc#1012628).
- sky2: Disable MSI on ASUS P6T (bnc#1012628).
- sctp: not bind the socket in sctp_connect (bnc#1012628).
- sctp: fix error handling on stream scheduler initialization
(bnc#1012628).
- rxrpc: Fix send on a connected, but unbound socket
(bnc#1012628).
- r8169: fix issue with confused RX unit after PHY power-down
on RTL8411b (bnc#1012628).
- nfc: fix potential illegal memory access (bnc#1012628).
- net/tls: make sure offload also gets the keys wiped
(bnc#1012628).
- net: stmmac: Re-work the queue selection for TSO packets
(bnc#1012628).
- net_sched: unset TCQ_F_CAN_BYPASS when adding filters
(bnc#1012628).
- net: phy: sfp: hwmon: Fix scaling of RX power (bnc#1012628).
- net: openvswitch: fix csum updates for MPLS actions
(bnc#1012628).
- net: neigh: fix multiple neigh timer scheduling (bnc#1012628).
- net: make skb_dst_force return true when dst is refcounted
(bnc#1012628).
- net: dsa: mv88e6xxx: wait after reset deactivation
(bnc#1012628).
- net: bcmgenet: use promisc for unsupported filters
(bnc#1012628).
- ipv6: Unlink sibling route in case of failure (bnc#1012628).
- ipv6: rt6_check should return NULL if 'from' is NULL
(bnc#1012628).
- ipv4: don't set IPv6 only flags to IPv4 addresses (bnc#1012628).
- igmp: fix memory leak in igmpv3_del_delrec() (bnc#1012628).
- hv_netvsc: Fix extra rcu_read_unlock in netvsc_recv_callback()
(bnc#1012628).
- caif-hsi: fix possible deadlock in cfhsi_exit_module()
(bnc#1012628).
- bnx2x: Prevent load reordering in tx completion processing
(bnc#1012628).
- commit d795a82
-------------------------------------------------------------------
Sat Jul 27 16:48:47 CEST 2019 - msuchanek@suse.de
- rpm/macros.kernel-source: KMPs should depend on kmod-compat to build.
kmod-compat links are used in find-provides.ksyms, find-requires.ksyms,
and find-supplements.ksyms in rpm-config-SUSE.
- commit f97ca49
-------------------------------------------------------------------
Sat Jul 27 12:37:26 CEST 2019 - msuchanek@suse.de
- scripts/run_oldconfig.sh: Fix update-vanilla
When CC is set we want to use it for native only. Cross-compilation
still needs the crosscompilers.
- commit 3b9fcdb
-------------------------------------------------------------------
Fri Jul 26 10:47:45 CEST 2019 - jslaby@suse.cz
- Linux 5.2.3 (bnc#1012628).
- dm bufio: fix deadlock with loop device (bnc#1012628).
- dm thin metadata: check if in fail_io mode when setting
needs_check (bnc#1012628).
- phy: qcom-qmp: Correct READY_STATUS poll break condition
(bnc#1012628).
- pstore: Fix double-free in pstore_mkfile() failure path
(bnc#1012628).
- dt-bindings: allow up to four clocks for orion-mdio
(bnc#1012628).
- net: mvmdio: allow up to four clocks to be specified for
orion-mdio (bnc#1012628).
- blkcg: update blkcg_print_stat() to handle larger outputs
(bnc#1012628).
- blk-iolatency: clear use_delay when io.latency is set to zero
(bnc#1012628).
- clk: imx: imx8mm: correct audio_pll2_clk to audio_pll2_out
(bnc#1012628).
- blk-throttle: fix zero wait time for iops throttled group
(bnc#1012628).
- usb: Handle USB3 remote wakeup for LPM enabled devices correctly
(bnc#1012628).
- dax: Fix missed wakeup with PMD faults (bnc#1012628).
- Bluetooth: Add SMP workaround Microsoft Surface Precision
Mouse bug (bnc#1012628).
- intel_th: msu: Fix single mode with disabled IOMMU
(bnc#1012628).
- intel_th: msu: Remove set but not used variable 'last'
(bnc#1012628).
- mtd: spinand: read returns badly if the last page has bitflips
(bnc#1012628).
- mtd: rawnand: mtk: Correct low level time calculation of r/w
cycle (bnc#1012628).
- eCryptfs: fix a couple type promotion bugs (bnc#1012628).
- mmc: sdhci-msm: fix mutex while in spinlock (bnc#1012628).
- powerpc/pseries: Fix oops in hotplug memory notifier
(bnc#1012628).
- powerpc/pseries: Fix xive=off command line (bnc#1012628).
- powerpc/powernv: Fix stale iommu table base after VFIO
(bnc#1012628).
- powerpc/powernv/idle: Fix restore of SPRN_LDBAR for POWER9
stop state (bnc#1012628).
- powerpc/powernv/npu: Fix reference leak (bnc#1012628).
- powerpc/watchpoint: Restore NV GPRs while returning from
exception (bnc#1012628).
- powerpc/mm/32s: fix condition that is always true (bnc#1012628).
- powerpc/32s: fix suspend/resume when IBATs 4-7 are used
(bnc#1012628).
- parisc: Fix kernel panic due invalid values in IAOQ0 or IAOQ1
(bnc#1012628).
- parisc: Avoid kernel panic triggered by invalid kprobe
(bnc#1012628).
- parisc: Ensure userspace privilege for ptraced processes in
regset functions (bnc#1012628).
- gpu: ipu-v3: ipu-ic: Fix saturation bit offset in TPMEM
(bnc#1012628).
- resource: fix locking in find_next_iomem_res() (bnc#1012628).
- include/asm-generic/bug.h: fix "cut here" for WARN_ON for
__WARN_TAINT architectures (bnc#1012628).
- coda: pass the host file in vma->vm_file on mmap (bnc#1012628).
- mm/z3fold.c: lock z3fold page before __SetPageMovable()
(bnc#1012628).
- mm/memcontrol: fix wrong statistics in memory.stat
(bnc#1012628).
- libnvdimm/pfn: fix fsdax-mode namespace info-block zero-fields
(bnc#1012628).
- mm/nvdimm: add is_ioremap_addr and use that to check ioremap
address (bnc#1012628).
- mm: vmscan: scan anonymous pages on file refaults (bnc#1012628).
- HID: wacom: correct touch resolution x/y typo (bnc#1012628).
- HID: wacom: generic: Correct pad syncing (bnc#1012628).
- HID: wacom: generic: only switch the mode on devices with LEDs
(bnc#1012628).
- IB/mlx5: Report correctly tag matching rendezvous capability
(bnc#1012628).
- Btrfs: add missing inode version, ctime and mtime updates when
punching hole (bnc#1012628).
- Btrfs: fix fsync not persisting dentry deletions due to inode
evictions (bnc#1012628).
- Btrfs: fix data loss after inode eviction, renaming it, and
fsync it (bnc#1012628).
- btrfs: correctly validate compression type (bnc#1012628).
- PCI: qcom: Ensure that PERST is asserted for at least 100 ms
(bnc#1012628).
- PCI: Do not poll for PME if the device is in D3cold
(bnc#1012628).
- PCI: hv: Fix a use-after-free bug in hv_eject_device_work()
(bnc#1012628).
- intel_th: pci: Add Ice Lake NNPI support (bnc#1012628).
- RDMA/odp: Fix missed unlock in non-blocking invalidate_start
(bnc#1012628).
- RDMA/srp: Accept again source addresses that do not have a
port number (bnc#1012628).
- block: Fix potential overflow in blk_report_zones()
(bnc#1012628).
- block: Allow mapping of vmalloc-ed buffers (bnc#1012628).
- drm/edid: parse CEA blocks embedded in DisplayID (bnc#1012628).
- x86/stacktrace: Prevent infinite loop in arch_stack_walk_user()
(bnc#1012628).
- perf/x86/amd/uncore: Set the thread mask for F17h L3 PMCs
(bnc#1012628).
- perf/x86/amd/uncore: Do not set 'ThreadMask' and 'SliceMask'
for non-L3 PMCs (bnc#1012628).
- perf/x86/intel: Fix spurious NMI on fixed counter (bnc#1012628).
- x86/boot: Fix memory leak in default_get_smp_config()
(bnc#1012628).
- x86/hyper-v: Zero out the VP ASSIST PAGE on allocation
(bnc#1012628).
- rt2x00usb: fix rx queue hang (bnc#1012628).
- 9p/virtio: Add cleanup path in p9_virtio_init (bnc#1012628).
- 9p/xen: Add cleanup path in p9_trans_xen_init (bnc#1012628).
- xen/events: fix binding user event channels to cpus
(bnc#1012628).
- dm zoned: fix zone state management race (bnc#1012628).
- padata: use smp_mb in padata_reorder to avoid orphaned padata
jobs (bnc#1012628).
- drm/nouveau/i2c: Enable i2c pads & busses during preinit
(bnc#1012628).
- ARM: dts: gemini: Set DIR-685 SPI CS as active low
(bnc#1012628).
- i3c: fix i2c and i3c scl rate by bus mode (bnc#1012628).
- fs/proc/proc_sysctl.c: fix the default values of i_uid/i_gid
on /proc/sys inodes (bnc#1012628).
- signal: Correct namespace fixups of si_pid and si_uid
(bnc#1012628).
- signal/usb: Replace kill_pid_info_as_cred with
kill_pid_usb_asyncio (bnc#1012628).
- intel_th: msu: Fix unused variable warning on arm64 platform
(bnc#1012628).
- arm64: Fix incorrect irqflag restore for priority masking
(bnc#1012628).
- arm64: irqflags: Add condition flags to inline asm clobber list
(bnc#1012628).
- arm64: tegra: Fix AGIC register range (bnc#1012628).
- KVM: x86/vPMU: refine kvm_pmu err msg when event creation failed
(bnc#1012628).
- KVM: PPC: Book3S HV: Fix CR0 setting in TM emulation
(bnc#1012628).
- KVM: PPC: Book3S HV: Clear pending decrementer exceptions on
nested guest entry (bnc#1012628).
- KVM: PPC: Book3S HV: Signed extend decrementer value if not
using large decrementer (bnc#1012628).
- KVM: Properly check if "page" is valid in kvm_vcpu_unmap
(bnc#1012628).
- KVM: VMX: check CPUID before allowing read/write of IA32_XSS
(bnc#1012628).
- KVM: VMX: Fix handling of #MC that occurs during VM-Entry
(bnc#1012628).
- KVM: nVMX: Always sync GUEST_BNDCFGS when it comes from vmcs01
(bnc#1012628).
- KVM: VMX: Always signal #GP on WRMSR to MSR_IA32_CR_PAT with
bad value (bnc#1012628).
- KVM: nVMX: Don't dump VMCS if virtual APIC page can't be mapped
(bnc#1012628).
- media: videobuf2-dma-sg: Prevent size from overflowing
(bnc#1012628).
- media: videobuf2-core: Prevent size alignment wrapping buffer
size to 0 (bnc#1012628).
- media: coda: Remove unbalanced and unneeded mutex unlock
(bnc#1012628).
- media: v4l2: Test type instead of cfg->type in
v4l2_ctrl_new_custom() (bnc#1012628).
- ceph: use ceph_evict_inode to cleanup inode's resource
(bnc#1012628).
- ceph: fix end offset in truncate_inode_pages_range call
(bnc#1012628).
- ALSA: hda/hdmi - Fix i915 reverse port/pin mapping
(bnc#1012628).
- ALSA: hda/hdmi - Remove duplicated define (bnc#1012628).
- ALSA: hda/realtek: apply ALC891 headset fixup to one Dell
machine (bnc#1012628).
- ALSA: hda/realtek - Fixed Headphone Mic can't record on Dell
platform (bnc#1012628).
- ALSA: hda - Don't resume forcibly i915 HDMI/DP codec
(bnc#1012628).
- ALSA: seq: Break too long mutex context in the write loop
(bnc#1012628).
- kconfig: fix missing choice values in auto.conf (bnc#1012628).
- raid5-cache: Need to do start() part job after adding journal
device (bnc#1012628).
- ASoC: core: Adapt for debugfs API change (bnc#1012628).
- ASoC: dapm: Adapt for debugfs API change (bnc#1012628).
- lib/scatterlist: Fix mapping iterator when sg->offset is
greater than PAGE_SIZE (bnc#1012628).
- SUNRPC: Ensure the bvecs are reset when we re-encode the RPC
request (bnc#1012628).
- pnfs: Fix a problem where we gratuitously start doing I/O
through the MDS (bnc#1012628).
- pnfs/flexfiles: Fix PTR_ERR() dereferences in
ff_layout_track_ds_error (bnc#1012628).
- Revert "NFS: readdirplus optimization by cache mechanism"
(memleak) (bnc#1012628).
- NFSv4: Handle the special Linux file open access mode
(bnc#1012628).
- tracing: Fix user stack trace "??" output (bnc#1012628).
- arm64: Fix interrupt tracing in the presence of NMIs
(bnc#1012628).
- opp: Don't use IS_ERR on invalid supplies (bnc#1012628).
- iwlwifi: mvm: clear rfkill_safe_init_done when we start the
firmware (bnc#1012628).
- iwlwifi: mvm: delay GTK setting in FW in AP mode (bnc#1012628).
- iwlwifi: fix RF-Kill interrupt while FW load for gen2 devices
(bnc#1012628).
- iwlwifi: don't WARN when calling iwl_get_shared_mem_conf with
RF-Kill (bnc#1012628).
- iwlwifi: pcie: fix ALIVE interrupt handling for gen2 devices
w/o MSI-X (bnc#1012628).
- iwlwifi: pcie: don't service an interrupt that was masked
(bnc#1012628).
- iwlwifi: add support for hr1 RF ID (bnc#1012628).
- arm64: tegra: Fix Jetson Nano GPU regulator (bnc#1012628).
- arm64: tegra: Update Jetson TX1 GPU regulator timings
(bnc#1012628).
- regulator: s2mps11: Fix buck7 and buck8 wrong voltages
(bnc#1012628).
- regulator: s2mps11: Fix ERR_PTR dereference on GPIO lookup
failure (bnc#1012628).
- Input: alps - fix a mismatch between a condition check and
its comment (bnc#1012628).
- Input: synaptics - whitelist Lenovo T580 SMBus intertouch
(bnc#1012628).
- Input: alps - don't handle ALPS cs19 trackpoint-only device
(bnc#1012628).
- Input: gtco - bounds check collection indent level
(bnc#1012628).
- bcache: destroy dc->writeback_write_wq if failed to create
dc->writeback_thread (bnc#1012628).
- bcache: fix mistaken sysfs entry for io_error counter
(bnc#1012628).
- bcache: ignore read-ahead request failure on backing device
(bnc#1012628).
- bcache: Revert "bcache: free heap cache_set->flush_btree in
bch_journal_free" (bnc#1012628).
- bcache: Revert "bcache: fix high CPU occupancy during journal"
(bnc#1012628).
- Revert "bcache: set CACHE_SET_IO_DISABLE in
bch_cached_dev_error()" (bnc#1012628).
- CIFS: fix deadlock in cached root handling (bnc#1012628).
- cifs: flush before set-info if we have writeable handles
(bnc#1012628).
- cifs: Properly handle auto disabling of serverino option
(bnc#1012628).
- cifs: fix crash in smb2_compound_op()/smb2_set_next_command()
(bnc#1012628).
- cifs: always add credits back for unsolicited PDUs
(bnc#1012628).
- crypto: crypto4xx - fix a potential double free in
ppc4xx_trng_probe (bnc#1012628).
- crypto: ccp/gcm - use const time tag comparison (bnc#1012628).
- crypto: ccp - memset structure fields to zero before reuse
(bnc#1012628).
- crypto: crypto4xx - block ciphers should only accept complete
blocks (bnc#1012628).
- crypto: crypto4xx - fix blocksize for cfb and ofb (bnc#1012628).
- crypto: crypto4xx - fix AES CTR blocksize value (bnc#1012628).
- crypto: chacha20poly1305 - fix atomic sleep when using async
algorithm (bnc#1012628).
- crypto: arm64/sha2-ce - correct digest for empty data in finup
(bnc#1012628).
- crypto: arm64/sha1-ce - correct digest for empty data in finup
(bnc#1012628).
- crypto: ccp - Validate the the error value used to index error
messages (bnc#1012628).
- crypto: caam - limit output IV to CBC to work around CTR mode
DMA issue (bnc#1012628).
- crypto: ghash - fix unaligned memory access in ghash_setkey()
(bnc#1012628).
- scsi: mac_scsi: Fix pseudo DMA implementation, take 2
(bnc#1012628).
- scsi: mac_scsi: Increase PIO/PDMA transfer length threshold
(bnc#1012628).
- scsi: megaraid_sas: Fix calculation of target ID (bnc#1012628).
- scsi: zfcp: fix request object use-after-free in send path
causing wrong traces (bnc#1012628).
- scsi: zfcp: fix request object use-after-free in send path
causing seqno errors (bnc#1012628).
- scsi: sd_zbc: Fix compilation warning (bnc#1012628).
- scsi: core: Fix race on creating sense cache (bnc#1012628).
- Revert "scsi: ncr5380: Increase register polling limit"
(bnc#1012628).
- scsi: NCR5380: Handle PDMA failure reliably (bnc#1012628).
- scsi: NCR5380: Always re-enable reselection interrupt
(bnc#1012628).
- xen: let alloc_xenballooned_pages() fail if not enough memory
free (bnc#1012628).
- floppy: fix out-of-bounds read in copy_buffer (bnc#1012628).
- floppy: fix invalid pointer dereference in drive_name
(bnc#1012628).
- floppy: fix out-of-bounds read in next_valid_format
(bnc#1012628).
- floppy: fix div-by-zero in setup_format_params (bnc#1012628).
- libbpf: fix another GCC8 warning for strncpy (bnc#1012628).
- blk-iolatency: fix STS_AGAIN handling (bnc#1012628).
- iavf: fix dereference of null rx_buffer pointer (bnc#1012628).
- net: hns3: fix __QUEUE_STATE_STACK_XOFF not cleared issue
(bnc#1012628).
- net: mvmdio: defer probe of orion-mdio if a clock is not ready
(bnc#1012628).
- xdp: fix race on generic receive path (bnc#1012628).
- gtp: fix use-after-free in gtp_newlink() (bnc#1012628).
- gtp: fix use-after-free in gtp_encap_destroy() (bnc#1012628).
- gtp: fix Illegal context switch in RCU read-side critical
section (bnc#1012628).
- gtp: fix suspicious RCU usage (bnc#1012628).
- Bluetooth: validate BLE connection interval updates
(bnc#1012628).
- gtp: add missing gtp_encap_disable_sock() in gtp_encap_enable()
(bnc#1012628).
- Bluetooth: hidp: NUL terminate a string in the compat ioctl
(bnc#1012628).
- Bluetooth: Check state in l2cap_disconnect_rsp (bnc#1012628).
- perf tests: Fix record+probe_libc_inet_pton.sh for powerpc64
(bnc#1012628).
- genirq: Update irq stats from NMI handlers (bnc#1012628).
- Bluetooth: 6lowpan: search for destination address in all peers
(bnc#1012628).
- Bluetooth: Add new 13d3:3501 QCA_ROME device (bnc#1012628).
- Bluetooth: Add new 13d3:3491 QCA_ROME device (bnc#1012628).
- Bluetooth: hci_bcsp: Fix memory leak in rx_skb (bnc#1012628).
- net: hns3: fix port capbility updating issue (bnc#1012628).
- net: hns3: enable broadcast promisc mode when initializing VF
(bnc#1012628).
- tools: bpftool: Fix json dump crash on powerpc (bnc#1012628).
- ASoC: audio-graph-card: fix use-after-free in
graph_for_each_link (bnc#1012628).
- iommu/arm-smmu-v3: Invalidate ATC when detaching a device
(bnc#1012628).
- gpiolib: Fix references to gpiod_[gs]et_*value_cansleep()
variants (bnc#1012628).
- bonding: validate ip header before check IPPROTO_IGMP
(bnc#1012628).
- selftests: bpf: fix inlines in test_lwt_seg6local (bnc#1012628).
- bpf, libbpf, smatch: Fix potential NULL pointer dereference
(bnc#1012628).
- libbpf: fix GCC8 warning for strncpy (bnc#1012628).
- rxrpc: Fix oops in tracepoint (bnc#1012628).
- net: usb: asix: init MAC address buffers (bnc#1012628).
- bnx2x: Prevent ptp_task to be rescheduled indefinitely
(bnc#1012628).
- vxlan: do not destroy fdb if register_netdevice() is failed
(bnc#1012628).
- perf stat: Fix group lookup for metric group (bnc#1012628).
- perf stat: Don't merge events in the same PMU (bnc#1012628).
- perf stat: Fix metrics with --no-merge (bnc#1012628).
- perf stat: Make metric event lookup more robust (bnc#1012628).
- ALSA: hda: Fix a headphone detection issue when using SOF
(bnc#1012628).
- bnxt_en: Cap the returned MSIX vectors to the RDMA driver
(bnc#1012628).
- bnxt_en: Fix statistics context reservation logic for RDMA
driver (bnc#1012628).
- bnxt_en: Disable bus master during PCI shutdown and driver
unload (bnc#1012628).
- iwlwifi: dbg: fix debug monitor stop and restart delays
(bnc#1012628).
- netfilter: Fix remainder of pseudo-header protocol 0
(bnc#1012628).
- bpf: fix uapi bpf_prog_info fields alignment (bnc#1012628).
- iwlwifi: mvm: Drop large non sta frames (bnc#1012628).
- ixgbe: Avoid NULL pointer dereference with VF on non-IPsec hw
(bnc#1012628).
- net: ethernet: ti: cpsw: Assign OF node to slave devices
(bnc#1012628).
- net: hns3: add Asym Pause support to fix autoneg problem
(bnc#1012628).
- igb: clear out skb->tstamp after reading the txtime
(bnc#1012628).
- net: mvpp2: prs: Don't override the sign bit in SRAM parser
shift (bnc#1012628).
- ath10k: destroy sdio workqueue while remove sdio module
(bnc#1012628).
- ath10k: Fix memory leak in qmi (bnc#1012628).
- net: hns3: add some error checking in hclge_tm module
(bnc#1012628).
- net: hns3: fix a -Wformat-nonliteral compile warning
(bnc#1012628).
- bcache: fix potential deadlock in cached_def_free()
(bnc#1012628).
- bcache: avoid a deadlock in bcache_reboot() (bnc#1012628).
- bcache: check c->gc_thread by IS_ERR_OR_NULL in
cache_set_flush() (bnc#1012628).
- bcache: acquire bch_register_lock later in cached_dev_free()
(bnc#1012628).
- bcache: check CACHE_SET_IO_DISABLE bit in bch_journal()
(bnc#1012628).
- bcache: check CACHE_SET_IO_DISABLE in allocator code
(bnc#1012628).
- bcache: fix return value error in bch_journal_read()
(bnc#1012628).
- net/mlx5e: Attach/detach XDP program safely (bnc#1012628).
- EDAC: Fix global-out-of-bounds write when setting
edac_mc_poll_msec (bnc#1012628).
- wil6210: drop old event after wmi_call timeout (bnc#1012628).
- ath9k: correctly handle short radar pulses (bnc#1012628).
- crypto: asymmetric_keys - select CRYPTO_HASH where needed
(bnc#1012628).
- crypto: serpent - mark __serpent_setkey_sbox noinline
(bnc#1012628).
- ixgbe: Check DDM existence in transceiver before access
(bnc#1012628).
- net/mlx5: Get vport ACL namespace by vport index (bnc#1012628).
- net: hns3: restore the MAC autoneg state after reset
(bnc#1012628).
- gpio: Fix return value mismatch of function
gpiod_get_from_of_node() (bnc#1012628).
- rslib: Fix handling of of caller provided syndrome
(bnc#1012628).
- bpf: fix BPF_ALU32 | BPF_ARSH on BE arches (bnc#1012628).
- rslib: Fix decoding of shortened codes (bnc#1012628).
- xsk: Properly terminate assignment in xskq_produce_flush_desc
(bnc#1012628).
- netfilter: ctnetlink: Fix regression in conntrack entry deletion
(bnc#1012628).
- clocksource/drivers/exynos_mct: Increase priority over ARM
arch timer (bnc#1012628).
- clocksource/drivers/tegra: Restore base address before cleanup
(bnc#1012628).
- libata: don't request sense data on !ZAC ATA devices
(bnc#1012628).
- clocksource/drivers/tegra: Release all IRQ's on request_irq()
error (bnc#1012628).
- block, bfq: fix rq_in_driver check in bfq_update_inject_limit
(bnc#1012628).
- ASoC: Intel: hdac_hdmi: Set ops to NULL on remove (bnc#1012628).
- perf tools: Increase MAX_NR_CPUS and MAX_CACHES (bnc#1012628).
- ALSA: hdac: Fix codec name after machine driver is unloaded
and reloaded (bnc#1012628).
- ath10k: fix PCIE device wake up failed (bnc#1012628).
- ath10k: fix fw crash by moving chip reset after napi disabled
(bnc#1012628).
- ath10k: add missing error handling (bnc#1012628).
- mt76: mt7615: do not process rx packets if the device is not
initialized (bnc#1012628).
- ipvs: fix tinfo memory leak in start_sync_thread (bnc#1012628).
- mt7601u: fix possible memory leak when the device is
disconnected (bnc#1012628).
- x86/build: Add 'set -e' to mkcapflags.sh to delete broken
capflags.c (bnc#1012628).
- mt7601u: do not schedule rx_tasklet when the device has been
disconnected (bnc#1012628).
- rtlwifi: rtl8192cu: fix error handle when usb probe failed
(bnc#1012628).
- net: stmmac: sun8i: force select external PHY when no internal
one (bnc#1012628).
- media: hdpvr: fix locking and a missing msleep (bnc#1012628).
- media: vimc: cap: check v4l2_fill_pixfmt return value
(bnc#1012628).
- media: coda: increment sequence offset for the last returned
frame (bnc#1012628).
- media: coda: fix last buffer handling in V4L2_ENC_CMD_STOP
(bnc#1012628).
- media: coda: fix mpeg2 sequence number handling (bnc#1012628).
- acpi/arm64: ignore 5.1 FADTs that are reported as 5.0
(bnc#1012628).
- ASoC: soc-core: call snd_soc_unbind_card() under mutex_lock;
(bnc#1012628).
- media: mt9m111: fix fw-node refactoring (bnc#1012628).
- timer_list: Guard procfs specific code (bnc#1012628).
- ntp: Limit TAI-UTC offset (bnc#1012628).
- media: i2c: fix warning same module names (bnc#1012628).
- media: s5p-mfc: Make additional clocks optional (bnc#1012628).
- ipvs: defer hook registration to avoid leaks (bnc#1012628).
- media: staging: davinci: fix memory leaks and check for
allocation failure (bnc#1012628).
- ipsec: select crypto ciphers for xfrm_algo (bnc#1012628).
- arm64: Do not enable IRQs for ct_user_exit (bnc#1012628).
- nvme-pci: adjust irq max_vector using num_possible_cpus()
(bnc#1012628).
- lightnvm: fix uninitialized pointer in nvm_remove_tgt()
(bnc#1012628).
- lightnvm: pblk: fix freeing of merged pages (bnc#1012628).
- nvme-pci: set the errno on ctrl state change error
(bnc#1012628).
- nvme-pci: properly report state change failure in
nvme_reset_work (bnc#1012628).
- nvme: fix possible io failures when removing multipathed ns
(bnc#1012628).
- EDAC/sysfs: Fix memory leak when creating a csrow object
(bnc#1012628).
- EDAC/sysfs: Drop device references properly (bnc#1012628).
- spi: fix ctrl->num_chipselect constraint (bnc#1012628).
- ACPICA: Clear status of GPEs on first direct enable
(bnc#1012628).
- blk-iolatency: only account submitted bios (bnc#1012628).
- x86/cacheinfo: Fix a -Wtype-limits warning (bnc#1012628).
- net: netsec: initialize tx ring on ndo_open (bnc#1012628).
- PCI: Add missing link delays required by the PCIe spec
(bnc#1012628).
- perf build: Handle slang being in /usr/include and in
/usr/include/slang/ (bnc#1012628).
- bpf: fix callees pruning callers (bnc#1012628).
- tools build: Fix the zstd test in the test-all.c common case
feature test (bnc#1012628).
- ASoC: rsnd: fixup mod ID calculation in rsnd_ctu_probe_
(bnc#1012628).
- ipoib: correcly show a VF hardware address (bnc#1012628).
- iavf: allow null RX descriptors (bnc#1012628).
- vhost_net: disable zerocopy by default (bnc#1012628).
- perf evsel: Make perf_evsel__name() accept a NULL argument
(bnc#1012628).
- x86/atomic: Fix smp_mb__{before,after}_atomic() (bnc#1012628).
- integrity: Fix __integrity_init_keyring() section mismatch
(bnc#1012628).
- perf/x86/intel/uncore: Handle invalid event coding for
free-running counter (bnc#1012628).
- perf/x86/intel: Disable check_msr for real HW (bnc#1012628).
- sched/fair: Fix "runnable_avg_yN_inv" not used warnings
(bnc#1012628).
- perf/x86/intel: Add more Icelake CPUIDs (bnc#1012628).
- sched/core: Add __sched tag for io_schedule() (bnc#1012628).
- xfrm: fix sa selector validation (bnc#1012628).
- blkcg, writeback: dead memcgs shouldn't contribute to writeback
ownership arbitration (bnc#1012628).
- block: null_blk: fix race condition for null_del_dev
(bnc#1012628).
- net: hns3: delay ring buffer clearing during reset
(bnc#1012628).
- net: hns3: fix for skb leak when doing selftest (bnc#1012628).
- net: hns3: fix for dereferencing before null checking
(bnc#1012628).
- qed: iWARP - Fix tc for MPA ll2 connection (bnc#1012628).
- x86/cpufeatures: Add FDP_EXCPTN_ONLY and ZERO_FCS_FDS
(bnc#1012628).
- perf/x86: Add Intel Ice Lake NNPI uncore support (bnc#1012628).
- rcu: Force inlining of rcu_read_lock() (bnc#1012628).
- ASoC: meson: axg-tdm: fix sample clock inversion (bnc#1012628).
- x86/cpu: Add Ice Lake NNPI to Intel family (bnc#1012628).
- crypto: testmgr - add some more preemption points (bnc#1012628).
- selinux: fix empty write to keycreate file (bnc#1012628).
- media: s5p-mfc: fix reading min scratch buffer size on MFC v6/v7
(bnc#1012628).
- bpf: silence warning messages in core (bnc#1012628).
- media: davinci: vpif_capture: fix memory leak in vpif_probe()
(bnc#1012628).
- gpio: omap: Fix lost edge wake-up interrupts (bnc#1012628).
- regmap: fix bulk writes on paged registers (bnc#1012628).
- gpio: omap: ensure irq is enabled before wakeup (bnc#1012628).
- gpio: omap: fix lack of irqstatus_raw0 for OMAP4 (bnc#1012628).
- iommu: Fix a leak in iommu_insert_resv_region (bnc#1012628).
- media: fdp1: Support M3N and E3 platforms (bnc#1012628).
- media: uvcvideo: Fix access to uninitialized fields on probe
error (bnc#1012628).
- irqchip/meson-gpio: Add support for Meson-G12A SoC
(bnc#1012628).
- selftests/bpf : clean up feature/ when make clean (bnc#1012628).
- perf report: Fix OOM error in TUI mode on s390 (bnc#1012628).
- perf test 6: Fix missing kvm module load for s390 (bnc#1012628).
- perf cs-etm: Properly set the value of 'old' and 'head' in
snapshot mode (bnc#1012628).
- ipset: Fix memory accounting for hash types on resize
(bnc#1012628).
- netfilter: ipset: fix a missing check of nla_parse
(bnc#1012628).
- net: sfp: add mutex to prevent concurrent state checks
(bnc#1012628).
- RAS/CEC: Fix pfn insertion (bnc#1012628).
- s390/qdio: handle PENDING state for QEBSM devices (bnc#1012628).
- net: axienet: Fix race condition causing TX hang (bnc#1012628).
- net: fec: Do not use netdev messages too early (bnc#1012628).
- crypto: inside-secure - do not rely on the hardware last bit
for result descriptors (bnc#1012628).
- net: stmmac: modify default value of tx-frames (bnc#1012628).
- net: stmmac: dwmac4: fix flow control issue (bnc#1012628).
- media: aspeed: fix a kernel warning on clk control
(bnc#1012628).
- media: aspeed: change irq to threaded irq (bnc#1012628).
- perf jvmti: Address gcc string overflow warning for strncpy()
(bnc#1012628).
- media: imx7-mipi-csis: Propagate the error if clock enabling
fails (bnc#1012628).
- arm64: mm: make CONFIG_ZONE_DMA32 configurable (bnc#1012628).
- cpupower : frequency-set -r option misses the last cpu in
related cpu list (bnc#1012628).
- net: hns3: set ops to null when unregister ad_dev (bnc#1012628).
- net: hns3: add a check to pointer in error_detected and
slot_reset (bnc#1012628).
- media: wl128x: Fix some error handling in
fm_v4l2_init_video_device() (bnc#1012628).
- media: platform: ao-cec-g12a: disable regmap fast_io for cec
bus regmap (bnc#1012628).
- locking/lockdep: Fix merging of hlocks with non-zero references
(bnc#1012628).
- locking/lockdep: Fix OOO unlock when hlocks need merging
(bnc#1012628).
- batman-adv: Fix duplicated OGMs on NETDEV_UP (bnc#1012628).
- tua6100: Avoid build warnings (bnc#1012628).
- crypto: talitos - Align SEC1 accesses to 32 bits boundaries
(bnc#1012628).
- crypto: talitos - properly handle split ICV (bnc#1012628).
- net: dsa: sja1105: Fix broken fixed-link interfaces on user
ports (bnc#1012628).
- net: phy: Check against net_device being NULL (bnc#1012628).
- media: staging: media: davinci_vpfe: - Fix for memory leak if
decoder initialization fails (bnc#1012628).
- ASoC: Intel: sof-rt5682: fix undefined references with
Baytrail-only support (bnc#1012628).
- media: saa7164: fix remove_proc_entry warning (bnc#1012628).
- media: mc-device.c: don't memset __user pointer contents
(bnc#1012628).
- ice: Check all VFs for MDD activity, don't disable
(bnc#1012628).
- perf annotate TUI browser: Do not use member from variable
within its own initialization (bnc#1012628).
- media: usb:zr364xx:Fix KASAN:null-ptr-deref Read in
zr364xx_vidioc_querycap (bnc#1012628).
- fscrypt: clean up some BUG_ON()s in block encryption/decryption
(bnc#1012628).
- media: v4l2-core: fix use-after-free error (bnc#1012628).
- media: vim2m: fix two double-free issues (bnc#1012628).
- xfrm: Fix xfrm sel prefix length validation (bnc#1012628).
- af_key: fix leaks in key_pol_get_resp and dump_sp (bnc#1012628).
- signal/pid_namespace: Fix reboot_pid_ns to use send_sig not
force_sig (bnc#1012628).
- qed: Set the doorbell address correctly (bnc#1012628).
- net: hns3: fix for FEC configuration (bnc#1012628).
- net: hns3: initialize CPU reverse mapping (bnc#1012628).
- net: mvpp2: cls: Extract the RSS context when parsing the
ethtool rule (bnc#1012628).
- ice: Fix couple of issues in ice_vsi_release (bnc#1012628).
- net: stmmac: Prevent missing interrupts when running NAPI
(bnc#1012628).
- net: stmmac: dwmac4/5: Clear unused address entries
(bnc#1012628).
- net: stmmac: dwmac1000: Clear unused address entries
(bnc#1012628).
- crypto: caam - avoid S/G table fetching for AEAD zero-length
output (bnc#1012628).
- media: venus: firmware: fix leaked of_node references
(bnc#1012628).
- ice: Gracefully handle reset failure in ice_alloc_vfs()
(bnc#1012628).
- media: media_device_enum_links32: clean a reserved field
(bnc#1012628).
- media: vpss: fix a potential NULL pointer dereference
(bnc#1012628).
- selftests/bpf: adjust verifier scale test (bnc#1012628).
- media: marvell-ccic: fix DMA s/g desc number calculation
(bnc#1012628).
- media: ov7740: avoid invalid framesize setting (bnc#1012628).
- crypto: talitos - fix skcipher failure due to wrong output IV
(bnc#1012628).
- media: spi: IR LED: add missing of table registration
(bnc#1012628).
- media: dvb: usb: fix use after free in dvb_usb_device_exit
(bnc#1012628).
- batman-adv: fix for leaked TVLV handler (bnc#1012628).
- regmap: debugfs: Fix memory leak in regmap_debugfs_init
(bnc#1012628).
- ath10k: Fix encoding for protected management frames
(bnc#1012628).
- ath: DFS JP domain W56 fixed pulse type 3 RADAR detection
(bnc#1012628).
- wil6210: fix spurious interrupts in 3-msi (bnc#1012628).
- ath10k: add peer id check in ath10k_peer_find_by_id
(bnc#1012628).
- ath6kl: add some bounds checking (bnc#1012628).
- wil6210: fix missed MISC mbox interrupt (bnc#1012628).
- ath10k: Fix the wrong value of enums for wmi tlv stats id
(bnc#1012628).
- ath9k: Check for errors when reading SREV register
(bnc#1012628).
- spi: rockchip: turn down tx dma bursts (bnc#1012628).
- ath10k: Do not send probe response template for mesh
(bnc#1012628).
- wil6210: fix potential out-of-bounds read (bnc#1012628).
- ath9k: Don't trust TX status TID number when reporting airtime
(bnc#1012628).
- ath10k: fix incorrect multicast/broadcast rate setting
(bnc#1012628).
- ath10k: htt: don't use txdone_fifo with SDIO (bnc#1012628).
- ath10k: Check tx_stats before use it (bnc#1012628).
- commit f5296b5
-------------------------------------------------------------------
Wed Jul 24 14:42:00 CEST 2019 - msuchanek@suse.de
- dma-mapping: use dma_get_mask in dma_addressing_limited
(https://lore.kernel.org/lkml/cda1952f-0265-e055-a3ce-237c59069a3f@suse.com/T/#u).
- commit c584343
-------------------------------------------------------------------
Wed Jul 24 13:00:28 CEST 2019 - msuchanek@suse.de
- scripts/arch-symbols: add missing link.
- commit ee7c635
-------------------------------------------------------------------
Tue Jul 23 18:12:09 CEST 2019 - tiwai@suse.de
- rpm/config.sh: enable kernel module compression (bsc#1135854)
- commit b333e24
-------------------------------------------------------------------
Tue Jul 23 17:48:41 CEST 2019 - tiwai@suse.de
- Add kernel module compression support (bsc#1135854)
For enabling the kernel module compress, add the item COMPRESS_MODULES="xz"
in config.sh, then mkspec will pass it to the spec file.
- commit cdf5806
-------------------------------------------------------------------
Tue Jul 23 15:19:30 CEST 2019 - msuchanek@suse.de
- Workaround gcc regression on ppc64 (bko#204125).
- commit 8b84d1d
-------------------------------------------------------------------
Tue Jul 23 12:03:57 CEST 2019 - msuchanek@suse.de
- config.conf: Add ppc64 kvmsmall config (bsc#1137361).
- Remove superfluous i2c drivers from ppc64 config
-CONFIG_I2C_ALGOPCA=m
-CONFIG_I2C_AMD8111=m
-CONFIG_I2C_CBUS_GPIO=m
-CONFIG_I2C_DESIGNWARE_CORE=y
-CONFIG_I2C_DESIGNWARE_PLATFORM=y
-CONFIG_I2C_DESIGNWARE_PCI=m
-CONFIG_I2C_OCORES=m
-CONFIG_I2C_PCA_PLATFORM=m
Can't get rid of i2c in kvmsmall anyway but at least the DW i2c is gone.
- commit 3d0102c
-------------------------------------------------------------------
Tue Jul 23 00:11:24 CEST 2019 - jeffm@suse.com
- config: enable PPPoE for kvmsmall (bsc#1133945).
- commit 72c928d
-------------------------------------------------------------------
Mon Jul 22 23:44:25 CEST 2019 - jeffm@suse.com
- config: remove unnecessary drivers from kvmsmall
The initial merge was incomplete and needed revisiting, which didn't really
happen. Since then, new options have been added and not removed from
kvmsmall, leading to grow in size.
This commit uses the following blacklist:
_(BT|CHARGER|CHROMEOS|CROS|DVB|DW|EDAC|FPGA|GPIO|GNSS|HID|INFINIBAND|IR|JOYSTICK|LEDS|MFD|PINCTRL|REGULATOR|RTC|SENSORS|SND_SOC|STAGING|TOUCHSCREEN|VIDEO|XEN|XILINX)[_=]
... along with some manual removal of NET_VENDORs with no relevant drivers.
- commit e850d85
-------------------------------------------------------------------
Mon Jul 22 16:43:10 CEST 2019 - mkubecek@suse.cz
- rpm/klp-symbols: update to work with kernel >= 5.3
Since mainline commit b7dca6dd1e59 ("kbuild: create *.mod with full
directory path and remove MODVERDIR") in v5.3-rc1, *.mod files are created
in the build tree rather than in a single directory .tmp_versions
($MODVERDIR). They also do not provide path to the *.ko module file on
their first line as the path is the same (except for the suffix).
Update klp-symbols script to handle this new layout and format of *.mod
files to fix build of 5.3-rc1 and newer kernel.
Note: this is a quick band-aid to fix master branch build without risk of
breaking any other branch. A more proper cleanup will follow.
- commit c32f8e7
-------------------------------------------------------------------
Mon Jul 22 12:18:06 CEST 2019 - mkubecek@suse.cz
- Update to 5.3-rc1
- Eliminated 89 patches (83 stable, 6 other)
- ARM configs need update
- Refresh
patches.suse/btrfs-8447-serialize-subvolume-mounts-with-potentially-mi.patch
patches.suse/kernel-add-product-identifying-information-to-kernel-build.patch
patches.suse/netfilter-ip_conntrack_slp.patch
patches.suse/rpm-kernel-config
patches.suse/supported-flag
patches.suse/vfs-add-super_operations-get_inode_dev
- replace patches.rpmify/BPF-UMH-do-not-detect-if-compiler-can-link-userspace.patch
with patches.rpmify/Kconfig-make-CONFIG_CC_CAN_LINK-always-true.patch
- New config options:
- General setup
- HEADER_TEST=y
- KERNEL_HEADER_TEST=y
- PREEMPT_LL=n
- UCLAMP_TASK=y
- UCLAMP_BUCKETS_COUNT=5
- Processor type and features
- ACRN_GUEST=y
- LEGACY_VSYSCALL_XONLY=y
- IO Schedulers
- BFQ_CGROUP_DEBUG=n (=y in */debug)
- Networking
- NFT_SYNPROXY=m
- NFT_BRIDGE_META=m
- NF_CONNTRACK_BRIDGE=m
- NET_ACT_MPLS=m
- NET_ACT_CTINFO=m
- NET_ACT_CT=m
- BT_HCIBTUSB_MTK=y
- GVE=m
- MLX5_FPGA_IPSEC=y
- MLX5_FPGA_TLS=y
- MLX5_TLS=y
- STMMAC_SELFTESTS=n
- XILINX_AXI_EMAC=m
- SFP=m
- NXP_TJA11XX_PHY=m
- PHY_MIXEL_MIPI_DPHY=m
- File systems
- UBIFS_FS_ZSTD=y
- SUNRPC_DISABLE_INSECURE_ENCTYPES=n
- CEPH_FS_SECURITY_LABEL=y
- Generic driver options
- FW_LOADER_COMPRESS=y
- Storage
- SCSI_FDOMAIN_PCI=m
- SCSI_FDOMAIN_ISA=n
- PCMCIA_FDOMAIN=n
- Input
- KEYBOARD_APPLESPI=m
- Power supply and hardware monitoring
- POWER_SUPPLY_HWMON=y
- CHARGER_WILCO=m
- SENSORS_IRPS5401=m
- SENSORS_PXE1610=m
- REGULATOR_ARIZONA_LDO1=m
- REGULATOR_ARIZONA_MICSUPP=m
- REGULATOR_SLG51000=m
- Multimedia
- DVB_USB_CXUSB_ANALOG=y
- VIDEO_TDA1997X=m
- VIDEO_TLV320AIC23B=m
- VIDEO_ADV7180=m
- VIDEO_ADV7183=m
- VIDEO_ADV7604=m
- VIDEO_ADV7604_CEC=y
- VIDEO_ADV7842=m
- VIDEO_ADV7842_CEC=y
- VIDEO_BT819=m
- VIDEO_BT856=m
- VIDEO_BT866=m
- VIDEO_KS0127=m
- VIDEO_ML86V7667=m
- VIDEO_SAA7110=m
- VIDEO_TC358743=m
- VIDEO_TC358743_CEC=y
- VIDEO_TVP514X=m
- VIDEO_TVP7002=m
- VIDEO_TW9910=m
- VIDEO_VPX3220=m
- VIDEO_SAA7185=m
- VIDEO_ADV7170=m
- VIDEO_ADV7175=m
- VIDEO_ADV7343=m
- VIDEO_ADV7393=m
- VIDEO_ADV7511=m
- VIDEO_ADV7511_CEC=y
- VIDEO_AD9389B=m
- VIDEO_AK881X=m
- VIDEO_THS8200=m
- VIDEO_IMX214=m
- VIDEO_IMX258=m
- VIDEO_IMX274=m
- VIDEO_IMX319=m
- VIDEO_IMX355=m
- VIDEO_OV2659=m
- VIDEO_OV2680=m
- VIDEO_OV2685=m
- VIDEO_OV5647=m
- VIDEO_OV6650=m
- VIDEO_OV5670=m
- VIDEO_OV5695=m
- VIDEO_OV7251=m
- VIDEO_OV772X=m
- VIDEO_OV7740=m
- VIDEO_OV8856=m
- VIDEO_OV9640=m
- VIDEO_OV9650=m
- VIDEO_OV13858=m
- VIDEO_VS6624=m
- VIDEO_MT9M001=m
- VIDEO_MT9M032=m
- VIDEO_MT9M111=m
- VIDEO_MT9P031=m
- VIDEO_MT9T001=m
- VIDEO_MT9T112=m
- VIDEO_MT9V032=m
- VIDEO_MT9V111=m
- VIDEO_SR030PC30=m
- VIDEO_NOON010PC30=m
- VIDEO_M5MOLS=m
- VIDEO_RJ54N1=m
- VIDEO_S5K6AA=m
- VIDEO_S5K6A3=m
- VIDEO_S5K4ECGX=m
- VIDEO_S5K5BAF=m
- VIDEO_SMIAPP=m
- VIDEO_ET8EK8=m
- VIDEO_S5C73M3=m
- VIDEO_AD5820=m
- VIDEO_AK7375=m
- VIDEO_DW9714=m
- VIDEO_DW9807_VCM=m
- VIDEO_ADP1653=m
- VIDEO_LM3560=m
- VIDEO_LM3646=m
- SDR_MAX2175=m
- VIDEO_THS7303=m
- VIDEO_I2C=m
- VIDEO_ST_MIPID02=n
- VIDEO_GS1662=m
- DVB_S5H1432=m
- DVB_DIB9000=m
- DVB_CXD2880=m
- DVB_MN88443X=m
- DVB_LNBH29=m
- DVB_LGS8GL5=m
- Graphics
- DRM_AMD_DC_DCN2_0=y
- DRM_AMD_DC_DSC_SUPPORT=y
- DRM_I915_FORCE_PROBE=""
- DRM_I915_DEBUG_MMIO=n
- DRM_I915_USERFAULT_AUTOSUSPEND=250
- DRM_I915_SPIN_REQUEST=5
- DRM_PANEL_OSD_OSD101T2587_53TS=m
- DRM_PANEL_SAMSUNG_S6E63M0=m
- Sound
- SND_SOC_INTEL_CML_H=m
- SND_SOC_INTEL_CML_LP=m
- SND_SOC_INTEL_BYT_CHT_CX2072X_MACH=m
- SND_SOC_SOF_COMETLAKE_LP_SUPPORT=y
- SND_SOC_SOF_COMETLAKE_H_SUPPORT=y
- SND_SOC_CX2072X=m
- InfiniBand
- RDMA_SIW=m
- Platform specific drivers
- XIAOMI_WMI=m
- ACPI_CMPC=m
- SAMSUNG_Q10=m
- INTEL_SPEED_SELECT_INTERFACE=m
- CROS_EC_ISHTP=m
- WILCO_EC_EVENTS=m
- WILCO_EC_TELEMETRY=m
- Industrial I/O
- XILINX_XADC=n
- ADF4371=n
- DPS310=n
- LEDs
- LEDS_SPI_BYTE=m
- LEDS_TI_LMU_COMMON=m
- LEDS_LM3697=m
- LEDS_LM36274=m
- Other drivers
- MTD_HYPERBUS=m
- HBMC_AM654=m
- XILINX_SDFEC=n
- GPIO_XILINX=m
- WATCHDOG_OPEN_TIMEOUT=0
- MFD_CS47L15=y
- MFD_CS47L92=y
- MFD_ROHM_BD70528=n
- RTC_DRV_BD70528=m
- DW_EDMA=m
- DW_EDMA_PCIE=m
- COMMON_CLK_SI5341=m
- EXTCON_FSA9480=m
- NTB_MSI=y
- NTB_MSI_TEST=n
- RAS_CEC_DEBUG=n
- AL_FIC=n
- Virtualization
- VIRTIO_PMEM=m
- Security options
- KEYS_REQUEST_CACHE=y
- Kernel hardening options
- INIT_ON_ALLOC_DEFAULT_ON=n
- INIT_ON_FREE_DEFAULT_ON=n
- Cryptographic API
- CRYPTO_XXHASH=n
- CRYPTO_DEV_ATMEL_ECC=m
- CRYPTO_DEV_ATMEL_SHA204A=m
- Kernel hacking
- HEADERS_INSTALL=n
- REED_SOLOMON_TEST=n
- TEST_BLACKHOLE_DEV=n
- TEST_MEMINIT=n
- commit 0a6d0d9
-------------------------------------------------------------------
Sun Jul 21 19:42:03 CEST 2019 - mkubecek@suse.cz
- Revert "netfilter: conntrack: remove helper hook again"
(http://lkml.kernel.org/r/20190718092128.zbw4qappq6jsb4ja@breakpoint.cc).
- commit 8e9a006
-------------------------------------------------------------------
Sun Jul 21 10:23:21 CEST 2019 - jslaby@suse.cz
- Linux 5.2.2 (bnc#1012628).
- x86/entry/32: Fix ENDPROC of common_spurious (bnc#1012628).
- crypto/NX: Set receive window credits to max number of CRBs
in RxFIFO (bnc#1012628).
- crypto: talitos - fix hash on SEC1 (bnc#1012628).
- crypto: talitos - move struct talitos_edesc into talitos.h
(bnc#1012628).
- s390/qdio: don't touch the dsci in tiqdio_add_input_queues()
(bnc#1012628).
- s390/qdio: (re-)initialize tiqdio list entries (bnc#1012628).
- s390: fix stfle zero padding (bnc#1012628).
- s390/ipl: Fix detection of has_secure attribute (bnc#1012628).
- ARC: hide unused function unw_hdr_alloc (bnc#1012628).
- x86/irq: Seperate unused system vectors from spurious entry
again (bnc#1012628).
- x86/irq: Handle spurious interrupt after shutdown gracefully
(bnc#1012628).
- x86/ioapic: Implement irq_get_irqchip_state() callback
(bnc#1012628).
- genirq: Add optional hardware synchronization for shutdown
(bnc#1012628).
- genirq: Fix misleading synchronize_irq() documentation
(bnc#1012628).
- genirq: Delay deactivation in free_irq() (bnc#1012628).
- firmware: improve LSM/IMA security behaviour (bnc#1012628).
- drivers: base: cacheinfo: Ensure cpu hotplug work is done
before Intel RDT (bnc#1012628).
- nilfs2: do not use unexported cpu_to_le32()/le32_to_cpu()
in uapi header (bnc#1012628).
- Input: synaptics - enable SMBUS on T480 thinkpad trackpad
(bnc#1012628).
- e1000e: start network tx queue only when link is up
(bnc#1012628).
- Revert "e1000e: fix cyclic resets at link up with active tx"
(bnc#1012628).
- commit 93f0a54
-------------------------------------------------------------------
Tue Jul 16 14:57:13 CEST 2019 - lpechacek@suse.com
- rpm/kernel-binary.spec.in: build kernel-*-kgraft only for default SLE kernel
RT and Azure variants are excluded for the moment. (bsc#1141600)
- commit 620816f
-------------------------------------------------------------------
Sun Jul 14 11:33:41 CEST 2019 - jslaby@suse.cz
- Linux 5.2.1 (bnc#1012628).
- staging: rtl8712: reduce stack usage, again (bnc#1012628).
- staging: bcm2835-camera: Handle empty EOS buffers whilst
streaming (bnc#1012628).
- staging: bcm2835-camera: Remove check of the number of buffers
supplied (bnc#1012628).
- staging: bcm2835-camera: Ensure all buffers are returned on
disable (bnc#1012628).
- staging: bcm2835-camera: Replace spinlock protecting context_map
with mutex (bnc#1012628).
- staging: fsl-dpaa2/ethsw: fix memory leak of switchdev_work
(bnc#1012628).
- staging: vchiq: revert "switch to wait_for_completion_killable"
(bnc#1012628).
- staging: vchiq: make wait events interruptible (bnc#1012628).
- staging: vchiq_2835_arm: revert "quit using custom
down_interruptible()" (bnc#1012628).
- VMCI: Fix integer overflow in VMCI handle arrays (bnc#1012628).
- Revert "x86/build: Move _etext to actual end of .text"
(bnc#1012628).
- carl9170: fix misuse of device driver API (bnc#1012628).
- coresight: tmc-etf: Do not call smp_processor_id from
preemptible (bnc#1012628).
- coresight: tmc-etr: alloc_perf_buf: Do not call smp_processor_id
from preemptible (bnc#1012628).
- coresight: tmc-etr: Do not call smp_processor_id() from
preemptible (bnc#1012628).
- coresight: etb10: Do not call smp_processor_id from preemptible
(bnc#1012628).
- coresight: Potential uninitialized variable in probe()
(bnc#1012628).
- iio: adc: stm32-adc: add missing vdda-supply (bnc#1012628).
- binder: return errors from buffer copy functions (bnc#1012628).
- binder: fix memory leak in error path (bnc#1012628).
- lkdtm: support llvm-objcopy (bnc#1012628).
- HID: Add another Primax PIXART OEM mouse quirk (bnc#1012628).
- staging: mt7621-pci: fix PCIE_FTS_NUM_LO macro (bnc#1012628).
- staging: comedi: amplc_pci230: fix null pointer deref on
interrupt (bnc#1012628).
- staging: bcm2835-camera: Restore return behavior of
ctrl_set_bitrate() (bnc#1012628).
- staging: wilc1000: fix error path cleanup in
wilc_wlan_initialize() (bnc#1012628).
- staging: comedi: dt282x: fix a null pointer deref on interrupt
(bnc#1012628).
- p54: fix crash during initialization (bnc#1012628).
- drivers/usb/typec/tps6598x.c: fix 4CC cmd write (bnc#1012628).
- drivers/usb/typec/tps6598x.c: fix portinfo width (bnc#1012628).
- usb: renesas_usbhs: add a workaround for a race condition of
workqueue (bnc#1012628).
- usb: dwc2: use a longer AHB idle timeout in dwc2_core_reset()
(bnc#1012628).
- usb: gadget: ether: Fix race between gether_disconnect and
rx_submit (bnc#1012628).
- usb: gadget: f_fs: data_len used before properly set
(bnc#1012628).
- p54usb: Fix race between disconnect and firmware loading
(bnc#1012628).
- Revert "serial: 8250: Don't service RX FIFO if interrupts are
disabled" (bnc#1012628).
- USB: serial: option: add support for GosunCn ME3630 RNDIS mode
(bnc#1012628).
- USB: serial: ftdi_sio: add ID for isodebug v1 (bnc#1012628).
- mwifiex: Don't abort on small, spec-compliant vendor IEs
(bnc#1012628).
- Documentation/admin: Remove the vsyscall=native documentation
(bnc#1012628).
- Documentation: Add section about CPU vulnerabilities for Spectre
(bnc#1012628).
- x86/tls: Fix possible spectre-v1 in do_get_thread_area()
(bnc#1012628).
- x86/ptrace: Fix possible spectre-v1 in ptrace_get_debugreg()
(bnc#1012628).
- perf header: Assign proper ff->ph in
perf_event__synthesize_features() (bnc#1012628).
- perf thread-stack: Fix thread stack return from kernel for
kernel-only case (bnc#1012628).
- perf pmu: Fix uncore PMU alias list for ARM64 (bnc#1012628).
- perf intel-pt: Fix itrace defaults for perf script intel-pt
documentation (bnc#1012628).
- perf auxtrace: Fix itrace defaults for perf script
(bnc#1012628).
- perf intel-pt: Fix itrace defaults for perf script
(bnc#1012628).
- block, bfq: NULL out the bic when it's no longer valid
(bnc#1012628).
- block: fix .bi_size overflow (bnc#1012628).
- tpm: Fix TPM 1.2 Shutdown sequence to prevent future TPM
operations (bnc#1012628).
- tpm: Actually fail on TPM errors during "get random"
(bnc#1012628).
- ALSA: hda/realtek - Headphone Mic can't record after S3
(bnc#1012628).
- ALSA: usb-audio: Fix parse of UAC2 Extension Units
(bnc#1012628).
- media: stv0297: fix frequency range limit (bnc#1012628).
- udf: Fix incorrect final NOT_ALLOCATED (hole) extent length
(bnc#1012628).
- fscrypt: don't set policy for a dead directory (bnc#1012628).
- crypto: talitos - rename alternative AEAD algos (bnc#1012628).
- crypto: lrw - use correct alignmask (bnc#1012628).
- commit 51ca500
-------------------------------------------------------------------
Thu Jul 11 05:18:41 CEST 2019 - glin@suse.com
- net: bpfilter: print umh messages to /dev/kmsg (bsc#1140221).
- commit 139acc9
-------------------------------------------------------------------
Wed Jul 10 11:10:30 CEST 2019 - jslaby@suse.cz
- series.conf: sync with master
- commit 80522d2
-------------------------------------------------------------------
Wed Jul 10 10:36:44 CEST 2019 - jslaby@suse.cz
- rpm/kernel-binary.spec.in: handle modules.builtin.modinfo
It was added in 5.2.
- commit eb88df3
-------------------------------------------------------------------
Tue Jul 9 16:47:26 CEST 2019 - rgoldwyn@suse.com
- Disable CONFIG_OVERLAY_FS_REDIRECT_ALWAYS_FOLLOW in config (bsc#1140494)
- commit 8d950e4
-------------------------------------------------------------------
Tue Jul 9 16:03:03 CEST 2019 - schwab@suse.de
- Build against openSUSE:Factory:RISCV for riscv64
- commit 74d6e96
-------------------------------------------------------------------
Tue Jul 9 08:40:27 CEST 2019 - jslaby@suse.cz
- Delete patches.suse/Revert-ovl-detect-overlapping-layers.patch.
- Delete
patches.suse/Revert-ovl-don-t-fail-with-disconnected-lower-NFS.patch.
- Delete
patches.suse/Revert-ovl-fix-bogus-Wmaybe-unitialized-warning.patch.
transactional-update was fixed, see sr#714110.
- commit 927afc9
-------------------------------------------------------------------
Mon Jul 8 06:57:19 CEST 2019 - mkubecek@suse.cz
- Update to 5.2 final
- Eliminated 1 patch
- commit b36439f
-------------------------------------------------------------------
Sun Jul 7 19:29:51 CEST 2019 - msuchanek@suse.de
- Refresh tpm: tpm_ibm_vtpm: Fix unallocated banks (boo#1139244).
- commit 99f9469
-------------------------------------------------------------------
Fri Jul 5 15:14:59 CEST 2019 - tiwai@suse.de
- config: align CONFIG_PHYSICAL_START and CONFIG_PHYSICAL_ALIGN to default values
As suggested in bsc#1067593, our kconfig keeps the old default values
while the upstream took different (actually swapped) values for x86
CONFIG_PHYSICAL_START and CONFIG_PHYSICAL_ALIGN. Let's follow the
upstream default now.
- commit baa2434
-------------------------------------------------------------------
Fri Jul 5 02:34:23 CEST 2019 - mkubecek@suse.cz
- Rename patches.suse/[PATCH]_tpm:_fixes_uninitialized_allocated_banks_for_IBM_vtpm_driver
to patches.suse/tpm-fixes-uninitialized-allocated-banks-for-IBM-vtpm-driver.patch
Let's not risk some tool somewhere does not handle special characters correctly.
- commit 8a3fff9
-------------------------------------------------------------------
Thu Jul 4 13:54:09 CEST 2019 - msuchanek@suse.de
- tpm: fixes uninitialized allocated banks for IBM vtpm driver
(boo#1139244).
- Delete patches.suse/Revert-tpm-pass-an-array-of-tpm_extend_digest-struct.patch.
- commit 43ec0a5
-------------------------------------------------------------------
Wed Jul 3 19:09:38 CEST 2019 - msuchanek@suse.de
- crypto: user - prevent operating on larval algorithms
(bsc#1133401).
- Delete patches.suse/crypto-algapi-guard-against-uninitialized-spawn-list.patch.
- commit 90eea5d
-------------------------------------------------------------------
Wed Jul 3 14:58:41 CEST 2019 - schwab@suse.de
- rpm/dtb.spec.in.in: don't make dtb directory inaccessible
There is no reason to lock down the dtb directory for ordinary users.
- commit a69437a
-------------------------------------------------------------------
Wed Jul 3 14:37:23 CEST 2019 - jslaby@suse.cz
- Linux 5.1.16 (bnc#1012628).
- arm64: insn: Fix ldadd instruction encoding (bnc#1012628).
- tipc: pass tunnel dev as NULL to udp_tunnel(6)_xmit_skb
(bnc#1012628).
- fanotify: update connector fsid cache on add mark (bnc#1012628).
- RDMA: Directly cast the sockaddr union to sockaddr
(bnc#1012628).
- futex: Update comments and docs about return values of arch
futex code (bnc#1012628).
- bpf, arm64: use more scalable stadd over ldxr / stxr loop in
xadd (bnc#1012628).
- arm64: futex: Avoid copying out uninitialised stack in failed
cmpxchg() (bnc#1012628).
- bpf: udp: ipv6: Avoid running reuseport's bpf_prog from
__udp6_lib_err (bnc#1012628).
- bpf: udp: Avoid calling reuseport's bpf_prog from udp_gro
(bnc#1012628).
- bpf: fix unconnected udp hooks (bnc#1012628).
- bpf: fix nested bpf tracepoints with per-cpu data (bnc#1012628).
- bpf: lpm_trie: check left child of last leftmost node for NULL
(bnc#1012628).
- bpf: simplify definition of BPF_FIB_LOOKUP related flags
(bnc#1012628).
- net: aquantia: fix vlans not working over bridged network
(bnc#1012628).
- tun: wake up waitqueues after IFF_UP is set (bnc#1012628).
- tipc: check msg->req data len in tipc_nl_compat_bearer_disable
(bnc#1012628).
- tipc: change to use register_pernet_device (bnc#1012628).
- team: Always enable vlan tx offload (bnc#1012628).
- sctp: change to hold sk after auth shkey is created successfully
(bnc#1012628).
- net/tls: fix page double free on TX cleanup (bnc#1012628).
- net: stmmac: set IC bit when transmitting frames with HW
timestamp (bnc#1012628).
- net: stmmac: fixed new system time seconds value calculation
(bnc#1012628).
- net: remove duplicate fetch in sock_getsockopt (bnc#1012628).
- net/packet: fix memory leak in packet_set_ring() (bnc#1012628).
- ipv4: Use return value of inet_iif() for __raw_v4_lookup in
the while loop (bnc#1012628).
- bonding: Always enable vlan tx offload (bnc#1012628).
- af_packet: Block execution of tasks waiting for transmit to
complete in AF_PACKET (bnc#1012628).
- irqchip/mips-gic: Use the correct local interrupt map registers
(bnc#1012628).
- SUNRPC: Fix up calculation of client message length
(bnc#1012628).
- cpu/speculation: Warn on unsupported mitigations= parameter
(bnc#1012628).
- NFS/flexfiles: Use the correct TCP timeout for flexfiles I/O
(bnc#1012628).
- efi/memreserve: deal with memreserve entries in unmapped memory
(bnc#1012628).
- mm: fix page cache convergence regression (bnc#1012628).
- x86/resctrl: Prevent possible overrun during bitmap operations
(bnc#1012628).
- x86/microcode: Fix the microcode load on CPU hotplug for real
(bnc#1012628).
- x86/speculation: Allow guests to use SSBD even if host does not
(bnc#1012628).
- scsi: vmw_pscsi: Fix use-after-free in pvscsi_queue_lck()
(bnc#1012628).
- io_uring: ensure req->file is cleared on allocation
(bnc#1012628).
- dm log writes: make sure super sector log updates are written
in order (bnc#1012628).
- dm init: fix incorrect uses of kstrndup() (bnc#1012628).
- mm, swap: fix THP swap out (bnc#1012628).
- mm/page_idle.c: fix oops because end_pfn is larger than max_pfn
(bnc#1012628).
- mm: hugetlb: soft-offline: dissolve_free_huge_page() return
zero on !PageHuge (bnc#1012628).
- mm: soft-offline: return -EBUSY if
set_hwpoison_free_buddy_page() fails (bnc#1012628).
- drm/i915: Skip modeset for cdclk changes if possible
(bnc#1012628).
- drm/i915: Remove redundant store of logical CDCLK state
(bnc#1012628).
- drm/i915: Save the old CDCLK atomic state (bnc#1012628).
- drm/i915: Force 2*96 MHz cdclk on glk/cnl when audio power is
enabled (bnc#1012628).
- clk: socfpga: stratix10: fix divider entry for the emac clocks
(bnc#1012628).
- clk: tegra210: Fix default rates for HDA clocks (bnc#1012628).
- fs/binfmt_flat.c: make load_flat_shared_library() work
(bnc#1012628).
- mm/mempolicy.c: fix an incorrect rebind node in
mpol_rebind_nodemask (bnc#1012628).
- fs/proc/array.c: allow reporting eip/esp for all coredumping
threads (bnc#1012628).
- qmi_wwan: Fix out-of-bounds read (bnc#1012628).
- Revert "x86/uaccess, ftrace: Fix ftrace_likely_update()
vs. SMAP" (bnc#1012628).
- arm64: Don't unconditionally add -Wno-psabi to KBUILD_CFLAGS
(bnc#1012628).
- commit 2af8a22
-------------------------------------------------------------------
Wed Jul 3 12:52:03 CEST 2019 - jslaby@suse.cz
- Update config files.
Set CONFIG_SCSI_SCAN_ASYNC=y (bnc#1137686).
- commit a156b11
-------------------------------------------------------------------
Wed Jul 3 07:48:43 CEST 2019 - mkubecek@suse.cz
- config: switch to SLUB allocator (Jira:PM-1158)
- new config options:
- SLUB=y
- SLUB_DEBUG=y
- SLUB_MEMCG_SYSFS_ON=y
- SLAB_FREELIST_HARDENED=n
- SLUB_CPU_PARTIAL=y
- SLUB_DEBUG_ON=n
- SLUB_STATS=n
- commit 0ab8cfd
-------------------------------------------------------------------
Tue Jul 2 13:17:14 CEST 2019 - jslaby@suse.cz
- Update patches.suse/Revert-ovl-detect-overlapping-layers.patch
(bnc#1140057).
- Update
patches.suse/Revert-ovl-don-t-fail-with-disconnected-lower-NFS.patch
(bnc#1140057).
- Update
patches.suse/Revert-ovl-fix-bogus-Wmaybe-unitialized-warning.patch
(bnc#1140057).
Add bnc to references.
- commit 512bcbe
-------------------------------------------------------------------
Tue Jul 2 12:39:50 CEST 2019 - jslaby@suse.cz
- Revert "ovl: detect overlapping layers" (openqa tests failing).
- Revert "ovl: don't fail with disconnected lower NFS" (openqa
tests failing).
- Revert "ovl: fix bogus -Wmaybe-unitialized warning" (openqa
tests failing).
- commit cb24bc9
-------------------------------------------------------------------
Sun Jun 30 23:03:34 CEST 2019 - mkubecek@suse.cz
- Update to 5.2-rc7
- Refresh configs
- commit 2d405cc
-------------------------------------------------------------------
Sun Jun 30 21:30:23 CEST 2019 - msuchanek@suse.de
- Delete patches.rpmify/BPF-UMH-do-not-detect-if-compiler-can-link-userspace.patch.
Obsoleted by the gcc9 cross-compilers with libc.
- commit 0e0679b
-------------------------------------------------------------------
Sun Jun 30 16:37:35 CEST 2019 - msuchanek@suse.de
- Revert "tpm: pass an array of tpm_extend_digest structures to
tpm_pcr_extend()" (boo#1139244).
- commit b09a129
-------------------------------------------------------------------
Fri Jun 28 14:33:14 CEST 2019 - msuchanek@suse.de
- Refresh patches.suse/supported-flag.
Supported kernel does not build with the patch in master so imported
patch from SLE15.
- commit 10d9b2c
-------------------------------------------------------------------
Thu Jun 27 14:44:00 CEST 2019 - msuchanek@suse.de
- Update config files
- Add core options from SLE15 which are not enabled on master for no
obvious reason
- Add core option from x86 which are not enabled on non-x86 for no
obvious reason
- Enable fadump
Changes:
Scheduling:
+CONFIG_CONTEXT_TRACKING=y
-CONFIG_TICK_CPU_ACCOUNTING=y
+CONFIG_VIRT_CPU_ACCOUNTING_GEN=y
+CONFIG_VIRT_CPU_ACCOUNTING=y
+CONFIG_IRQ_TIME_ACCOUNTING=y
+CONFIG_TASKS_RCU=y
+CONFIG_RT_GROUP_SCHED=y
mm:
+# CONFIG_TRANSPARENT_HUGEPAGE_ALWAYS is not set
+CONFIG_TRANSPARENT_HUGEPAGE_MADVISE=y
+CONFIG_FRONTSWAP=y
+CONFIG_ZSWAP=y
arc/ppc:
+CONFIG_FA_DUMP=y
fs:
+CONFIG_9P_FSCACHE=y
net:
+CONFIG_TIPC=m
+CONFIG_TIPC_DIAG=m
+CONFIG_TIPC_MEDIA_UDP=y
misc:
-CONFIG_SERIAL_SIFIVE=m
+CONFIG_SYSCTL_SYSCALL=y
debug&test:
+CONFIG_PAGE_EXTENSION=y
+CONFIG_PAGE_OWNER=y
+CONFIG_DEBUG_MISC=y
+CONFIG_RCU_TRACE=y
+CONFIG_KGDB_SERIAL_CONSOLE=y
+CONFIG_SCOM_DEBUGFS=y
+CONFIG_CRYPTO_TEST=m
+CONFIG_RCU_TORTURE_TEST=m
+CONFIG_TEST_FIRMWARE=m
+CONFIG_TEST_LIVEPATCH=m
+CONFIG_TEST_LKM=m
+CONFIG_TEST_SYSCTL=m
+CONFIG_TORTURE_TEST=m
- commit ce08519
-------------------------------------------------------------------
Tue Jun 25 09:55:47 CEST 2019 - jslaby@suse.cz
- Linux 5.1.15 (bnc#1012628).
- tracing: Silence GCC 9 array bounds warning (bnc#1012628).
- mmc: sdhci: sdhci-pci-o2micro: Correctly set bus width when
tuning (bnc#1012628).
- mmc: sdhi: disallow HS400 for M3-W ES1.2, RZ/G2M, and V3H
(bnc#1012628).
- mmc: mediatek: fix SDIO IRQ interrupt handle flow (bnc#1012628).
- mmc: mediatek: fix SDIO IRQ detection issue (bnc#1012628).
- mmc: core: API to temporarily disable retuning for SDIO CRC
errors (bnc#1012628).
- mmc: core: Add sdio_retune_hold_now() and sdio_retune_release()
(bnc#1012628).
- mmc: core: Prevent processing SDIO IRQs when the card is
suspended (bnc#1012628).
- scsi: ufs: Avoid runtime suspend possibly being blocked forever
(bnc#1012628).
- usb: chipidea: udc: workaround for endpoint conflict issue
(bnc#1012628).
- xhci: detect USB 3.2 capable host controllers correctly
(bnc#1012628).
- usb: xhci: Don't try to recover an endpoint if port is in
error state (bnc#1012628).
- cifs: add spinlock for the openFileList to cifsInodeInfo
(bnc#1012628).
- cifs: fix GlobalMid_Lock bug in cifs_reconnect (bnc#1012628).
- IB/hfi1: Validate fault injection opcode user input
(bnc#1012628).
- IB/hfi1: Close PSM sdma_progress sleep window (bnc#1012628).
- IB/hfi1: Avoid hardlockup with flushlist_lock (bnc#1012628).
- IB/hfi1: Correct tid qp rcd to match verbs context
(bnc#1012628).
- IB/hfi1: Silence txreq allocation warnings (bnc#1012628).
- iio: imu: st_lsm6dsx: fix PM support for st_lsm6dsx i2c
controller (bnc#1012628).
- iio: temperature: mlx90632 Relax the compatibility check
(bnc#1012628).
- Input: synaptics - enable SMBus on ThinkPad E480 and E580
(bnc#1012628).
- Input: uinput - add compat ioctl number translation for
UI_*_FF_UPLOAD (bnc#1012628).
- Input: silead - add MSSL0017 to acpi_device_id (bnc#1012628).
- apparmor: fix PROFILE_MEDIATES for untrusted input
(bnc#1012628).
- apparmor: enforce nullbyte at end of tag string (bnc#1012628).
- apparmor: reset pos on failure to unpack for various functions
(bnc#1012628).
- Revert "brcmfmac: disable command decode in sdio_aos"
(bnc#1012628).
- brcmfmac: sdio: Disable auto-tuning around commands expected
to fail (bnc#1012628).
- brcmfmac: sdio: Don't tune while the card is off (bnc#1012628).
- lkdtm/usercopy: Moves the KERNEL_DS test to non-canonical
(bnc#1012628).
- ARC: fix build warnings (bnc#1012628).
- dmaengine: jz4780: Fix transfers being ACKed too soon
(bnc#1012628).
- dmaengine: dw-axi-dmac: fix null dereference when pointer
first is null (bnc#1012628).
- dmaengine: mediatek-cqdma: sleeping in atomic context
(bnc#1012628).
- dmaengine: sprd: Fix the possible crash when getting descriptor
status (bnc#1012628).
- dmaengine: sprd: Add validation of current descriptor in irq
handler (bnc#1012628).
- dmaengine: sprd: Fix the incorrect start for 2-stage destination
channels (bnc#1012628).
- dmaengine: sprd: Fix block length overflow (bnc#1012628).
- dmaengine: sprd: Fix the right place to configure 2-stage
transfer (bnc#1012628).
- ARC: [plat-hsdk]: Add missing multicast filter bins number to
GMAC node (bnc#1012628).
- ARC: [plat-hsdk]: Add missing FIFO size entry in GMAC node
(bnc#1012628).
- MIPS: mark ginvt() as __always_inline (bnc#1012628).
- fpga: stratix10-soc: fix use-after-free on s10_init()
(bnc#1012628).
- fpga: dfl: afu: Pass the correct device to dma_mapping_error()
(bnc#1012628).
- fpga: dfl: Add lockdep classes for pdata->lock (bnc#1012628).
- parport: Fix mem leak in parport_register_dev_model
(bnc#1012628).
- parisc: Fix compiler warnings in float emulation code
(bnc#1012628).
- habanalabs: fix bug in checking huge page optimization
(bnc#1012628).
- IB/rdmavt: Fix alloc_qpn() WARN_ON() (bnc#1012628).
- IB/hfi1: Insure freeze_work work_struct is canceled on shutdown
(bnc#1012628).
- IB/{qib, hfi1, rdmavt}: Correct ibv_devinfo max_mr value
(bnc#1012628).
- IB/hfi1: Validate page aligned for a given virtual address
(bnc#1012628).
- MIPS: uprobes: remove set but not used variable 'epc'
(bnc#1012628).
- crypto: hmac - fix memory leak in hmac_init_tfm() (bnc#1012628).
- xtensa: Fix section mismatch between memblock_reserve and
mem_reserve (bnc#1012628).
- kselftest/cgroup: fix unexpected testing failure on
test_memcontrol (bnc#1012628).
- kselftest/cgroup: fix unexpected testing failure on test_core
(bnc#1012628).
- kselftest/cgroup: fix incorrect test_core skip (bnc#1012628).
- userfaultfd: selftest: fix compiler warning (bnc#1012628).
- selftests: vm: install test_vmalloc.sh for run_vmtests
(bnc#1012628).
- net: dsa: mv88e6xxx: avoid error message on remove from VLAN 0
(bnc#1012628).
- net: hns: Fix loopback test failed at copper ports
(bnc#1012628).
- mdesc: fix a missing-check bug in get_vdev_port_node_info()
(bnc#1012628).
- sparc: perf: fix updated event period in response to
PERF_EVENT_IOC_PERIOD (bnc#1012628).
- net: ethernet: mediatek: Use hw_feature to judge if HWLRO is
supported (bnc#1012628).
- net: ethernet: mediatek: Use NET_IP_ALIGN to judge if HW
RX_2BYTE_OFFSET is enabled (bnc#1012628).
- selftests: set sysctl bc_forwarding properly in
router_broadcast.sh (bnc#1012628).
- drm/arm/mali-dp: Add a loop around the second set CVAL and
try 5 times (bnc#1012628).
- drm/arm/hdlcd: Actually validate CRTC modes (bnc#1012628).
- drm/arm/hdlcd: Allow a bit of clock tolerance (bnc#1012628).
- nvmet: fix data_len to 0 for bdev-backed write_zeroes
(bnc#1012628).
- kbuild: tar-pkg: enable communication with jobserver
(bnc#1012628).
- scripts/checkstack.pl: Fix arm64 wrong or unknown architecture
(bnc#1012628).
- net: phylink: avoid reducing support mask (bnc#1012628).
- scsi: ufs: Check that space was properly alloced in
copy_query_response (bnc#1012628).
- scsi: smartpqi: unlock on error in
pqi_submit_raid_request_synchronous() (bnc#1012628).
- net: ipvlan: Fix ipvlan device tso disabled while
NETIF_F_IP_CSUM is set (bnc#1012628).
- udmabuf: actually unmap the scatterlist (bnc#1012628).
- tests: fix pidfd-test compilation (bnc#1012628).
- s390/qeth: handle limited IPv4 broadcast in L3 TX path
(bnc#1012628).
- s390/qeth: check dst entry before use (bnc#1012628).
- s390/qeth: fix VLAN attribute in bridge_hostnotify udev event
(bnc#1012628).
- hwmon: (core) add thermal sensors only if dev->of_node is
present (bnc#1012628).
- hwmon: (pmbus/core) Treat parameters as paged if on multiple
pages (bnc#1012628).
- arm64: Silence gcc warnings about arch ABI drift (bnc#1012628).
- nvme: Fix u32 overflow in the number of namespace list
calculation (bnc#1012628).
- ovl: detect overlapping layers (bnc#1012628).
- ovl: don't fail with disconnected lower NFS (bnc#1012628).
- ovl: fix bogus -Wmaybe-unitialized warning (bnc#1012628).
- btrfs: start readahead also in seed devices (bnc#1012628).
- can: xilinx_can: use correct bittiming_const for CAN FD core
(bnc#1012628).
- can: flexcan: fix timeout when set small bitrate (bnc#1012628).
- can: purge socket error queue on sock destruct (bnc#1012628).
- riscv: mm: synchronize MMU after pte change (bnc#1012628).
- powerpc/bpf: use unsigned division instruction for 64-bit
operations (bnc#1012628).
- ARM: imx: cpuidle-imx6sx: Restrict the SW2ISO increase to
i.MX6SX (bnc#1012628).
- ARM: mvebu_v7_defconfig: fix Ethernet on Clearfog (bnc#1012628).
- ARM: dts: dra76x: Update MMC2_HS200_MANUAL1 iodelay values
(bnc#1012628).
- ARM: dts: am57xx-idk: Remove support for voltage switching
for SD card (bnc#1012628).
- arm64/sve: <uapi/asm/ptrace.h> should not depend on
<uapi/linux/prctl.h> (bnc#1012628).
- arm64: ssbd: explicitly depend on <linux/prctl.h> (bnc#1012628).
- KVM: x86/mmu: Allocate PAE root array when using SVM's 32-bit
NPT (bnc#1012628).
- ovl: make i_ino consistent with st_ino in more cases
(bnc#1012628).
- drm/vmwgfx: Use the backdoor port if the HB port is not
available (bnc#1012628).
- drm/i915: Don't clobber M/N values during fastset check
(bnc#1012628).
- binder: fix possible UAF when freeing buffer (bnc#1012628).
- staging: erofs: add requirements field in superblock
(bnc#1012628).
- Bluetooth: Align minimum encryption key size for LE and BR/EDR
connections (bnc#1012628).
- Bluetooth: Fix regression with minimum encryption key size
alignment (bnc#1012628).
- SMB3: retry on STATUS_INSUFFICIENT_RESOURCES instead of failing
write (bnc#1012628).
- x86/vdso: Prevent segfaults due to hoisted vclock reads
(bnc#1012628).
- fs/namespace: fix unprivileged mount propagation (bnc#1012628).
- cfg80211: fix memory leak of wiphy device name (bnc#1012628).
- mac80211: drop robust management frames from unknown TA
(bnc#1012628).
- {nl,mac}80211: allow 4addr AP operation on crypto controlled
devices (bnc#1012628).
- mac80211: handle deauthentication/disassociation from TDLS peer
(bnc#1012628).
- nl80211: fix station_info pertid memory leak (bnc#1012628).
- mac80211: Do not use stack memory with scatterlist for GMAC
(bnc#1012628).
- x86/resctrl: Don't stop walking closids when a locksetup group
is found (bnc#1012628).
- powerpc/mm/64s/hash: Reallocate context ids on fork
(bnc#1012628).
- Refresh patches.suse/apparmor-compatibility-with-v2.x-net.patch.
- commit e222743
-------------------------------------------------------------------
Mon Jun 24 19:17:51 CEST 2019 - msuchanek@suse.de
- crypto: algapi - guard against uninitialized spawn list in
crypto_remove_spawns (bsc#1133401).
- commit 543f67d
-------------------------------------------------------------------
Mon Jun 24 13:39:01 CEST 2019 - tiwai@suse.de
- fonts: Prefer a bigger font for high resolution screens
(bsc#1138496).
- fonts: Use BUILD_BUG_ON() for checking empty font table
(bsc#1138496).
- fonts: Fix coding style (bsc#1138496).
- commit f99f70b
-------------------------------------------------------------------
Sun Jun 23 20:14:02 CEST 2019 - mkubecek@suse.cz
- Update to 5.2-rc6
- Eliminated 5 patches
- patches.suse/net-phy-rename-Asix-Electronics-PHY-driver.patch
- patches.suse/tcp-limit-payload-size-of-sacked-skbs.patch
- patches.suse/tcp-tcp_fragment-should-apply-sane-memory-limits.patch
- patches.suse/tcp-add-tcp_min_snd_mss-sysctl.patch
- patches.suse/tcp-enforce-tcp_min_snd_mss-in-tcp_mtu_probing.patch
- Refresh
- patches.suse/apparmor-compatibility-with-v2.x-net.patch
- commit 75acedc
-------------------------------------------------------------------
Sat Jun 22 13:55:36 CEST 2019 - jslaby@suse.cz
- Linux 5.1.14 (bnc#1012628).
- tcp: refine memory limit test in tcp_fragment() (bnc#1012628).
- commit f291042
-------------------------------------------------------------------
Sat Jun 22 13:54:24 CEST 2019 - jslaby@suse.cz
- Linux 5.1.13 (bnc#1012628).
- coredump: fix race condition between collapse_huge_page()
and core dumping (bnc#1012628).
- nvme-tcp: fix queue mapping when queue count is limited
(bnc#1012628).
- nvme-tcp: fix possible null deref on a timed out io queue
connect (bnc#1012628).
- nvme-tcp: rename function to have nvme_tcp prefix (bnc#1012628).
- mm: mmu_gather: remove __tlb_reset_range() for force flush
(bnc#1012628).
- ocfs2: fix error path kobject memory leak (bnc#1012628).
- mlxsw: spectrum: Prevent force of 56G (bnc#1012628).
- scsi: libsas: delete sas port if expander discover failed
(bnc#1012628).
- scsi: scsi_dh_alua: Fix possible null-ptr-deref (bnc#1012628).
- scsi: smartpqi: properly set both the DMA mask and the coherent
DMA mask (bnc#1012628).
- scsi: libcxgbi: add a check for NULL pointer in
cxgbi_check_route() (bnc#1012628).
- net: phy: dp83867: Set up RGMII TX delay (bnc#1012628).
- net: phy: dp83867: increase SGMII autoneg timer duration
(bnc#1012628).
- net: phy: dp83867: fix speed 10 in sgmii mode (bnc#1012628).
- net: phylink: ensure consistent phy interface mode
(bnc#1012628).
- blk-mq: Fix memory leak in error handling (bnc#1012628).
- net: sh_eth: fix mdio access in sh_eth_close() for R-Car Gen2
and RZ/A1 SoCs (bnc#1012628).
- arm64: use the correct function type for __arm64_sys_ni_syscall
(bnc#1012628).
- arm64: use the correct function type in SYSCALL_DEFINE0
(bnc#1012628).
- arm64: fix syscall_fn_t type (bnc#1012628).
- ALSA: fireface: Use ULL suffixes for 64-bit constants
(bnc#1012628).
- KVM: PPC: Book3S HV: Don't take kvm->lock around
kvm_for_each_vcpu (bnc#1012628).
- KVM: PPC: Book3S: Use new mutex to synchronize access to rtas
token list (bnc#1012628).
- KVM: PPC: Book3S HV: Use new mutex to synchronize MMU setup
(bnc#1012628).
- dfs_cache: fix a wrong use of kfree in flush_cache_ent()
(bnc#1012628).
- xenbus: Avoid deadlock during suspend due to open transactions
(bnc#1012628).
- xen/pvcalls: Remove set but not used variable (bnc#1012628).
- dpaa_eth: use only online CPU portals (bnc#1012628).
- ia64: fix build errors by exporting paddr_to_nid()
(bnc#1012628).
- perf record: Fix s390 missing module symbol and warning for
non-root users (bnc#1012628).
- perf namespace: Protect reading thread's namespace
(bnc#1012628).
- s390/zcrypt: Fix wrong dispatching for control domain CPRBs
(bnc#1012628).
- perf data: Fix 'strncat may truncate' build failure with recent
gcc (bnc#1012628).
- configfs: Fix use-after-free when accessing sd->s_dentry
(bnc#1012628).
- ALSA: hda - Force polling mode on CNL for fixing codec
communication (bnc#1012628).
- i2c: dev: fix potential memory leak in i2cdev_ioctl_rdwr
(bnc#1012628).
- net: aquantia: fix LRO with FCS error (bnc#1012628).
- net: aquantia: tx clean budget logic error (bnc#1012628).
- drm/etnaviv: lock MMU while dumping core (bnc#1012628).
- ACPI/PCI: PM: Add missing wakeup.flags.valid checks
(bnc#1012628).
- net: tulip: de4x5: Drop redundant MODULE_DEVICE_TABLE()
(bnc#1012628).
- dpaa2-eth: Use PTR_ERR_OR_ZERO where appropriate (bnc#1012628).
- dpaa2-eth: Fix potential spectre issue (bnc#1012628).
- io_uring: Fix __io_uring_register() false success (bnc#1012628).
- net: stmmac: dwmac-mediatek: modify csr_clk value to fix mdio
read/write fail (bnc#1012628).
- net: stmmac: fix csr_clk can't be zero issue (bnc#1012628).
- net: stmmac: update rx tail pointer register to fix rx dma
hang issue (bnc#1012628).
- gpio: fix gpio-adp5588 build errors (bnc#1012628).
- perf/ring-buffer: Always use {READ,WRITE}_ONCE() for
rb->user_page data (bnc#1012628).
- perf/ring_buffer: Add ordering to rb->nest increment
(bnc#1012628).
- perf/ring_buffer: Fix exposing a temporarily decreased data_head
(bnc#1012628).
- x86/CPU/AMD: Don't force the CPB cap when running under a
hypervisor (bnc#1012628).
- mISDN: make sure device name is NUL terminated (bnc#1012628).
- usb: xhci: Fix a potential null pointer dereference in
xhci_debugfs_create_endpoint() (bnc#1012628).
- powerpc/powernv: Return for invalid IMC domain (bnc#1012628).
- clk: ti: clkctrl: Fix clkdm_clk handling (bnc#1012628).
- selftests: netfilter: missing error check when setting up veth
interface (bnc#1012628).
- ipvs: Fix use-after-free in ip_vs_in (bnc#1012628).
- netfilter: nft_fib: Fix existence check support (bnc#1012628).
- netfilter: nf_queue: fix reinject verdict handling
(bnc#1012628).
- perf/x86/intel/ds: Fix EVENT vs. UEVENT PEBS constraints
(bnc#1012628).
- netfilter: nf_tables: fix oops during rule dump (bnc#1012628).
- pinctrl: intel: Clear interrupt status in mask/unmask callback
(bnc#1012628).
- staging: wilc1000: Fix some double unlock bugs in
wilc_wlan_cleanup() (bnc#1012628).
- Staging: vc04_services: Fix a couple error codes (bnc#1012628).
- staging: erofs: set sb->s_root to NULL when failing from
__getname() (bnc#1012628).
- iio: imu: mpu6050: Fix FIFO layout for ICM20602 (bnc#1012628).
- net/mlx5e: Avoid detaching non-existing netdev under switchdev
mode (bnc#1012628).
- net: correct udp zerocopy refcnt also when zerocopy only on
append (bnc#1012628).
- net/mlx5e: Support tagged tunnel over bond (bnc#1012628).
- mlxsw: spectrum_buffers: Reduce pool size on Spectrum-2
(bnc#1012628).
- net/mlx5e: Fix source port matching in fdb peer flow rule
(bnc#1012628).
- mlxsw: spectrum_flower: Fix TOS matching (bnc#1012628).
- net/mlx5e: Add ndo_set_feature for uplink representor
(bnc#1012628).
- mlxsw: spectrum_router: Refresh nexthop neighbour when it
becomes dead (bnc#1012628).
- net/mlx5: Update pci error handler entries and command
translation (bnc#1012628).
- net: ethtool: Allow matching on vlan DEI bit (bnc#1012628).
- net: dsa: microchip: Don't try to read stats for unused ports
(bnc#1012628).
- net: mvpp2: prs: Use the correct helpers when removing all
VID filters (bnc#1012628).
- net: mvpp2: prs: Fix parser range for VID filtering
(bnc#1012628).
- geneve: Don't assume linear buffers in error handler
(bnc#1012628).
- vxlan: Don't assume linear buffers in error handler
(bnc#1012628).
- net/mlx5: Avoid reloading already removed devices (bnc#1012628).
- vsock/virtio: set SOCK_DONE on peer shutdown (bnc#1012628).
- tipc: purge deferredq list for each grp member in
tipc_group_delete (bnc#1012628).
- sunhv: Fix device naming inconsistency between sunhv_console
and sunhv_reg (bnc#1012628).
- sctp: Free cookie before we memdup a new one (bnc#1012628).
- nfc: Ensure presence of required attributes in the
deactivate_target handler (bnc#1012628).
- net: tls, correctly account for copied bytes with multiple
sk_msgs (bnc#1012628).
- net: openvswitch: do not free vport if register_netdevice()
is failed (bnc#1012628).
- net: dsa: rtl8366: Fix up VLAN filtering (bnc#1012628).
- neigh: fix use-after-free read in pneigh_get_next (bnc#1012628).
- lapb: fixed leak of control-blocks (bnc#1012628).
- ipv6: flowlabel: fl6_sock_lookup() must use atomic_inc_not_zero
(bnc#1012628).
- hv_netvsc: Set probe mode to sync (bnc#1012628).
- be2net: Fix number of Rx queues used for flow hashing
(bnc#1012628).
- ax25: fix inconsistent lock state in ax25_destroy_timer
(bnc#1012628).
- netfilter: nat: fix udp checksum corruption (bnc#1012628).
- commit 5fe336c
-------------------------------------------------------------------
Thu Jun 20 13:07:36 CEST 2019 - msuchanek@suse.de
- kernel-binary: rpm does not support multiline condition
- commit aceae50
-------------------------------------------------------------------
Thu Jun 20 12:40:20 CEST 2019 - msuchanek@suse.de
- kernel-binary: Use -c grep option in klp project detection.
- commit 5def2a2
-------------------------------------------------------------------
Thu Jun 20 12:10:03 CEST 2019 - msuchanek@suse.de
- kernel-binary: fix missing \
- commit 8325214
-------------------------------------------------------------------
Thu Jun 20 11:31:11 CEST 2019 - jslaby@suse.cz
- Update
patches.kernel.org/5.1.8-025-btrfs-qgroup-Check-bg-while-resuming-relocation.patch
(bnc#1012628 bnc#1134806).
- commit e868173
-------------------------------------------------------------------
Wed Jun 19 17:00:16 CEST 2019 - jslaby@suse.cz
- Linux 5.1.12 (bnc#1012628).
- powerpc/64s: Fix THP PMD collapse serialisation (bnc#1012628).
- powerpc: Fix kexec failure on book3s/32 (bnc#1012628).
- drm: add fallback override/firmware EDID modes workaround
(bnc#1012628).
- drm/edid: abstract override/firmware EDID retrieval
(bnc#1012628).
- x86/resctrl: Prevent NULL pointer dereference when local MBM
is disabled (bnc#1012628).
- x86/mm/KASLR: Compute the size of the vmemmap section properly
(bnc#1012628).
- x86/kasan: Fix boot with 5-level paging and KASAN (bnc#1012628).
- x86/microcode, cpuhotplug: Add a microcode loader CPU hotplug
callback (bnc#1012628).
- RAS/CEC: Fix binary search function (bnc#1012628).
- RAS/CEC: Convert the timer callback to a workqueue
(bnc#1012628).
- timekeeping: Repair ktime_get_coarse*() granularity
(bnc#1012628).
- tracing/uprobe: Fix NULL pointer dereference in
trace_uprobe_create() (bnc#1012628).
- USB: serial: option: add Telit 0x1260 and 0x1261 compositions
(bnc#1012628).
- USB: serial: option: add support for Simcom SIM7500/SIM7600
RNDIS mode (bnc#1012628).
- USB: serial: pl2303: add Allied Telesis VT-Kit3 (bnc#1012628).
- USB: usb-storage: Add new ID to ums-realtek (bnc#1012628).
- USB: Fix chipmunk-like voice when using Logitech C270 for
recording audio (bnc#1012628).
- usb: dwc2: host: Fix wMaxPacketSize handling (fix webcam
regression) (bnc#1012628).
- usb: dwc2: Fix DMA cache alignment issues (bnc#1012628).
- drm/vmwgfx: NULL pointer dereference from
vmw_cmd_dx_view_define() (bnc#1012628).
- drm/vmwgfx: integer underflow in vmw_cmd_dx_set_shader()
leading to an invalid read (bnc#1012628).
- tools/kvm_stat: fix fields filter for child events
(bnc#1012628).
- kvm: selftests: aarch64: fix default vm mode (bnc#1012628).
- kvm: selftests: aarch64: dirty_log_test: fix unaligned memslot
size (bnc#1012628).
- KVM: s390: fix memory slot handling for
KVM_SET_USER_MEMORY_REGION (bnc#1012628).
- KVM: x86/pmu: do not mask the value that is written to fixed
PMUs (bnc#1012628).
- KVM: x86/pmu: mask the result of rdpmc according to the width
of the counters (bnc#1012628).
- KVM: x86: do not spam dmesg with VMCS/VMCB dumps (bnc#1012628).
- KVM: LAPIC: Fix lapic_timer_advance_ns parameter overflow
(bnc#1012628).
- kvm: vmx: Fix -Wmissing-prototypes warnings (bnc#1012628).
- KVM: selftests: Fix a condition in test_hv_cpuid()
(bnc#1012628).
- KVM: nVMX: really fix the size checks on KVM_SET_NESTED_STATE
(bnc#1012628).
- KVM: arm/arm64: Move cc/it checks under hyp's Makefile to
avoid instrumentation (bnc#1012628).
- tools/io_uring: fix Makefile for pthread library link
(bnc#1012628).
- nvme-pci: use blk-mq mapping for unmanaged irqs (bnc#1012628).
- usbnet: ipheth: fix racing condition (bnc#1012628).
- tracing: Prevent hist_field_var_ref() from accessing NULL
tracing_map_elts (bnc#1012628).
- selftests/timers: Add missing fflush(stdout) calls
(bnc#1012628).
- selftests: fib_rule_tests: fix local IPv4 address typo
(bnc#1012628).
- libnvdimm: Fix compilation warnings with W=1 (bnc#1012628).
- drm/amdgpu: keep stolen memory on picasso (bnc#1012628).
- scsi: bnx2fc: fix incorrect cast to u64 on shift operation
(bnc#1012628).
- scsi: myrs: Fix uninitialized variable (bnc#1012628).
- platform/x86: pmc_atom: Add several Beckhoff Automation boards
to critclk_systems DMI table (bnc#1012628).
- platform/x86: pmc_atom: Add Lex 3I380D industrial PC to
critclk_systems DMI table (bnc#1012628).
- nvme: fix memory leak for power latency tolerance (bnc#1012628).
- nvme: release namespace SRCU protection before performing
controller ioctls (bnc#1012628).
- nvme: merge nvme_ns_ioctl into nvme_ioctl (bnc#1012628).
- nvme: remove the ifdef around nvme_nvm_ioctl (bnc#1012628).
- nvme: fix srcu locking on error return in nvme_get_ns_from_disk
(bnc#1012628).
- nvme-pci: Fix controller freeze wait disabling (bnc#1012628).
- selftests/bpf: fix bpf_get_current_task (bnc#1012628).
- tools/bpftool: move set_max_rlimit() before
__bpf_object__open_xattr() (bnc#1012628).
- arm64/mm: Inhibit huge-vmap with ptdump (bnc#1012628).
- bpf, tcp: correctly handle DONT_WAIT flags and timeo == 0
(bnc#1012628).
- enetc: Fix NULL dma address unmap for Tx BD extensions
(bnc#1012628).
- net: macb: fix error format in dev_err() (bnc#1012628).
- arm64: Print physical address of page table base in show_pte()
(bnc#1012628).
- scsi: lpfc: add check for loss of ndlp when sending RRQ
(bnc#1012628).
- scsi: lpfc: correct rcu unlock issue in lpfc_nvme_info_show
(bnc#1012628).
- scsi: lpfc: resolve lockdep warnings (bnc#1012628).
- scsi: qedi: remove set but not used variables 'cdev' and 'udev'
(bnc#1012628).
- scsi: qedi: remove memset/memcpy to nfunc and use func instead
(bnc#1012628).
- scsi: qla2xxx: Add cleanup for PCI EEH recovery (bnc#1012628).
- bpf: sockmap fix msg->sg.size account on ingress skb
(bnc#1012628).
- bpf: sockmap remove duplicate queue free (bnc#1012628).
- bpf: sockmap, only stop/flush strp if it was enabled at some
point (bnc#1012628).
- drivers/perf: arm_spe: Don't error on high-order pages for
aux buf (bnc#1012628).
- f2fs: fix to avoid accessing xattr across the boundary
(bnc#1012628).
- Drivers: misc: fix out-of-bounds access in function
param_set_kgdbts_var (bnc#1012628).
- Revert "ALSA: seq: Protect in-kernel ioctl calls with mutex"
(bnc#1012628).
- ALSA: seq: Fix race of get-subscription call vs port-delete
ioctls (bnc#1012628).
- ALSA: seq: Protect in-kernel ioctl calls with mutex
(bnc#1012628).
- x86/uaccess, kcov: Disable stack protector (bnc#1012628).
- drm/i915/dmc: protect against reading random memory
(bnc#1012628).
- drm/i915: Fix per-pixel alpha with CCS (bnc#1012628).
- drm/i915/dsi: Use a fuzzy check for burst mode clock check
(bnc#1012628).
- drm/i915/sdvo: Implement proper HDMI audio support for SDVO
(bnc#1012628).
- drm/amdgpu/{uvd,vcn}: fetch ring's read_ptr after alloc
(bnc#1012628).
- ASoC: soc-core: fixup references at soc_cleanup_card_resources()
(bnc#1012628).
- ASoC: fsl_asrc: Fix the issue about unsupported rate
(bnc#1012628).
- ASoC: cs42xx8: Add regcache mask dirty (bnc#1012628).
- cgroup: Use css_tryget() instead of css_tryget_online() in
task_get_css() (bnc#1012628).
- bcache: only set BCACHE_DEV_WB_RUNNING when cached device
attached (bnc#1012628).
- bcache: fix stack corruption by PRECEDING_KEY() (bnc#1012628).
- i2c: acorn: fix i2c warning (bnc#1012628).
- Smack: Restore the smackfsdef mount option and add missing
prefixes (bnc#1012628).
- iommu/arm-smmu: Avoid constant zero in TLBI writes
(bnc#1012628).
- media: dvb: warning about dvb frequency limits produces too
much noise (bnc#1012628).
- ptrace: restore smp_rmb() in __ptrace_may_access()
(bnc#1012628).
- signal/ptrace: Don't leak unitialized kernel memory with
PTRACE_PEEK_SIGINFO (bnc#1012628).
- mm/vmscan.c: fix trying to reclaim unevictable LRU page
(bnc#1012628).
- fs/ocfs2: fix race in ocfs2_dentry_attach_lock() (bnc#1012628).
- mm/list_lru.c: fix memory leak in __memcg_init_list_lru_node
(bnc#1012628).
- io_uring: fix memory leak of UNIX domain socket inode
(bnc#1012628).
- libata: Extend quirks for the ST1000LM024 drives with NOLPM
quirk (bnc#1012628).
- selinux: fix a missing-check bug in selinux_sb_eat_lsm_opts()
(bnc#1012628).
- selinux: fix a missing-check bug in selinux_add_mnt_opt( )
(bnc#1012628).
- selinux: log raw contexts as untrusted strings (bnc#1012628).
- ALSA: firewire-motu: fix destruction of data for isochronous
resources (bnc#1012628).
- ALSA: hda/realtek - Update headset mode for ALC256
(bnc#1012628).
- ALSA: ice1712: Check correct return value to snd_i2c_sendbytes
(EWS/DMX 6Fire) (bnc#1012628).
- ALSA: oxfw: allow PCM capture for Stanton SCS.1m (bnc#1012628).
- Revert "ALSA: hda/realtek - Improve the headset mic for Acer
Aspire laptops" (bnc#1012628).
- HID: wacom: Sync INTUOSP2_BT touch state after each frame if
necessary (bnc#1012628).
- HID: wacom: Correct button numbering 2nd-gen Intuos Pro over
Bluetooth (bnc#1012628).
- HID: wacom: Send BTN_TOUCH in response to INTUOSP2_BT eraser
contact (bnc#1012628).
- HID: wacom: Don't report anything prior to the tool entering
range (bnc#1012628).
- HID: wacom: Don't set tool type until we're in range
(bnc#1012628).
- HID: multitouch: handle faulty Elo touch device (bnc#1012628).
- Revert "HID: Increase maximum report size allowed by
hid_field_extract()" (bnc#1012628).
- HID: input: fix assignment of .value (bnc#1012628).
- HID: input: make sure the wheel high resolution multiplier is
set (bnc#1012628).
- nouveau: Fix build with CONFIG_NOUVEAU_LEGACY_CTX_SUPPORT
disabled (bnc#1012628).
- drm/nouveau: add kconfig option to turn off nouveau legacy
contexts. (v3) (bnc#1012628).
- commit c3db4a3
-------------------------------------------------------------------
Wed Jun 19 13:07:54 CEST 2019 - mkubecek@suse.cz
- config: refresh i386/default
- commit a562f5a
-------------------------------------------------------------------
Wed Jun 19 09:21:31 CEST 2019 - jslaby@suse.cz
- Update config files.
Enable SECURITY_YAMA to allow protection against ptrace attacks
(bnc#1128245).
- commit fb39868
-------------------------------------------------------------------
Tue Jun 18 14:44:46 CEST 2019 - jslaby@suse.cz
- Update config files.
Set HARDENED_USERCOPY=y (bnc#1127808). This can be disabled on the
commandline using hardened_usercopy=n.
- commit 04b86aa
-------------------------------------------------------------------
Tue Jun 18 09:07:43 CEST 2019 - tiwai@suse.de
- config: enable CONFIG_FONT_TER16x32 for HiDPI monitors (boo#1138496)
- commit 073136d
-------------------------------------------------------------------
Mon Jun 17 22:04:53 CEST 2019 - mkubecek@suse.cz
- tcp: enforce tcp_min_snd_mss in tcp_mtu_probing()
(CVE-2019-11479 bsc#1137586).
- tcp: add tcp_min_snd_mss sysctl (CVE-2019-11479 bsc#1137586).
- tcp: tcp_fragment() should apply sane memory limits
(CVE-2019-11478 bsc#1137586).
- tcp: limit payload size of sacked skbs (CVE-2019-11477
bsc#1137586).
- commit ab45ff3
-------------------------------------------------------------------
Mon Jun 17 20:12:49 CEST 2019 - jslaby@suse.cz
- Update
patches.kernel.org/5.1.11-001-tcp-limit-payload-size-of-sacked-skbs.patch
(bnc#1012628 bsc#1137586 CVE-2019-11477).
- Update
patches.kernel.org/5.1.11-002-tcp-tcp_fragment-should-apply-sane-memory-limi.patch
(bnc#1012628 bsc#1137586 CVE-2019-11477).
- Update
patches.kernel.org/5.1.11-003-tcp-add-tcp_min_snd_mss-sysctl.patch
(bnc#1012628 bsc#1137586 CVE-2019-11477).
- Update
patches.kernel.org/5.1.11-004-tcp-enforce-tcp_min_snd_mss-in-tcp_mtu_probing.patch
(bnc#1012628 bsc#1137586 CVE-2019-11477).
Add CVE references back.
- commit fa73bae
-------------------------------------------------------------------
Mon Jun 17 20:11:12 CEST 2019 - jslaby@suse.cz
- Linux 5.1.11 (bnc#1012628).
- commit 4aff7dc
-------------------------------------------------------------------
Mon Jun 17 16:44:35 CEST 2019 - jslaby@suse.cz
- move patches from .fixes to .suse
There is no patches.fixes in stable.
- commit ad24342
-------------------------------------------------------------------
Mon Jun 17 16:44:11 CEST 2019 - jslaby@suse.cz
- tcp: enforce tcp_min_snd_mss in tcp_mtu_probing() (bsc#1137586
CVE-2019-11479).
- tcp: add tcp_min_snd_mss sysctl (bsc#1137586 CVE-2019-11479).
- tcp: tcp_fragment() should apply sane memory limits (bsc#1137586
CVE-2019-11478).
- tcp: limit payload size of sacked skbs (bsc#1137586
CVE-2019-11477).
- commit a5ec6d9
-------------------------------------------------------------------
Mon Jun 17 08:59:40 CEST 2019 - jslaby@suse.cz
- Linux 5.1.10 (bnc#1012628).
- media: rockchip/vpu: Fix/re-order probe-error/remove path
(bnc#1012628).
- media: rockchip/vpu: Add missing dont_use_autosuspend() calls
(bnc#1012628).
- rapidio: fix a NULL pointer dereference when create_workqueue()
fails (bnc#1012628).
- fs/fat/file.c: issue flush after the writeback of FAT
(bnc#1012628).
- sysctl: return -EINVAL if val violates minmax (bnc#1012628).
- ipc: prevent lockup on alloc_msg and free_msg (bnc#1012628).
- drm/msm: correct attempted NULL pointer dereference in debugfs
(bnc#1012628).
- drm/pl111: Initialize clock spinlock early (bnc#1012628).
- mm/mprotect.c: fix compilation warning because of unused 'mm'
variable (bnc#1012628).
- ARM: prevent tracing IPI_CPU_BACKTRACE (bnc#1012628).
- mm/hmm: select mmu notifier when selecting HMM (bnc#1012628).
- hugetlbfs: on restore reserve error path retain subpool
reservation (bnc#1012628).
- mm/memory_hotplug: release memory resource after
arch_remove_memory() (bnc#1012628).
- mem-hotplug: fix node spanned pages when we have a node with
only ZONE_MOVABLE (bnc#1012628).
- mm/cma.c: fix crash on CMA allocation if bitmap allocation fails
(bnc#1012628).
- initramfs: free initrd memory if opening /initrd.image fails
(bnc#1012628).
- mm/compaction.c: fix an undefined behaviour (bnc#1012628).
- mm/memory_hotplug.c: fix the wrong usage of N_HIGH_MEMORY
(bnc#1012628).
- mm/cma.c: fix the bitmap status to show failed allocation reason
(bnc#1012628).
- mm: page_mkclean vs MADV_DONTNEED race (bnc#1012628).
- mm/cma_debug.c: fix the break condition in cma_maxchunk_get()
(bnc#1012628).
- mm/slab.c: fix an infinite loop in leaks_show() (bnc#1012628).
- kernel/sys.c: prctl: fix false positive in validate_prctl_map()
(bnc#1012628).
- thermal: rcar_gen3_thermal: disable interrupt in .remove
(bnc#1012628).
- drivers: thermal: tsens: Don't print error message on
-EPROBE_DEFER (bnc#1012628).
- mfd: tps65912-spi: Add missing of table registration
(bnc#1012628).
- mfd: intel-lpss: Set the device in reset state when init
(bnc#1012628).
- drm/nouveau/disp/dp: respect sink limits when selecting failsafe
link configuration (bnc#1012628).
- mfd: twl6040: Fix device init errors for ACCCTL register
(bnc#1012628).
- perf/x86/intel: Allow PEBS multi-entry in watermark mode
(bnc#1012628).
- drm/nouveau/kms/gf119-gp10x: push HeadSetControlOutputResource()
mthd when encoders change (bnc#1012628).
- drm/nouveau: fix duplication of nv50_head_atom struct
(bnc#1012628).
- drm/bridge: adv7511: Fix low refresh rate selection
(bnc#1012628).
- objtool: Don't use ignore flag for fake jumps (bnc#1012628).
- drm/nouveau/kms/gv100-: fix spurious window immediate interlocks
(bnc#1012628).
- bpf: fix undefined behavior in narrow load handling
(bnc#1012628).
- EDAC/mpc85xx: Prevent building as a module (bnc#1012628).
- pwm: meson: Use the spin-lock only to protect register
modifications (bnc#1012628).
- mailbox: stm32-ipcc: check invalid irq (bnc#1012628).
- ntp: Allow TAI-UTC offset to be set to zero (bnc#1012628).
- f2fs: fix to avoid panic in do_recover_data() (bnc#1012628).
- f2fs: fix to avoid panic in f2fs_inplace_write_data()
(bnc#1012628).
- f2fs: fix error path of recovery (bnc#1012628).
- f2fs: fix to avoid panic in f2fs_remove_inode_page()
(bnc#1012628).
- f2fs: fix to do sanity check on free nid (bnc#1012628).
- f2fs: fix to clear dirty inode in error path of f2fs_iget()
(bnc#1012628).
- f2fs: fix to avoid panic in dec_valid_block_count()
(bnc#1012628).
- f2fs: fix to use inline space only if inline_xattr is enable
(bnc#1012628).
- f2fs: fix to avoid panic in dec_valid_node_count()
(bnc#1012628).
- f2fs: fix to do sanity check on valid block count of segment
(bnc#1012628).
- f2fs: fix to avoid deadloop in foreground GC (bnc#1012628).
- f2fs: fix to retrieve inline xattr space (bnc#1012628).
- f2fs: fix to do checksum even if inode page is uptodate
(bnc#1012628).
- media: atmel: atmel-isc: fix asd memory allocation
(bnc#1012628).
- percpu: remove spurious lock dependency between percpu and sched
(bnc#1012628).
- configfs: fix possible use-after-free in configfs_register_group
(bnc#1012628).
- uml: fix a boot splat wrt use of cpu_all_mask (bnc#1012628).
- PCI: dwc: Free MSI in dw_pcie_host_init() error path
(bnc#1012628).
- PCI: dwc: Free MSI IRQ page in dw_pcie_free_msi() (bnc#1012628).
- fbcon: Don't reset logo_shown when logo is currently shown
(bnc#1012628).
- ovl: do not generate duplicate fsnotify events for "fake" path
(bnc#1012628).
- mmc: mmci: Prevent polling for busy detection in IRQ context
(bnc#1012628).
- netfilter: nf_flow_table: fix missing error check for
rhashtable_insert_fast (bnc#1012628).
- netfilter: nf_conntrack_h323: restore boundary check correctness
(bnc#1012628).
- mips: Make sure dt memory regions are valid (bnc#1012628).
- netfilter: nf_tables: fix base chain stat rcu_dereference usage
(bnc#1012628).
- watchdog: imx2_wdt: Fix set_timeout for big timeout values
(bnc#1012628).
- watchdog: fix compile time error of pretimeout governors
(bnc#1012628).
- blk-mq: move cancel of requeue_work into blk_mq_release
(bnc#1012628).
- iommu/vt-d: Set intel_iommu_gfx_mapped correctly (bnc#1012628).
- vfio-pci/nvlink2: Fix potential VMA leak (bnc#1012628).
- misc: pci_endpoint_test: Fix test_reg_bar to be updated in
pci_endpoint_test (bnc#1012628).
- PCI: designware-ep: Use aligned ATU window for raising MSI
interrupts (bnc#1012628).
- nvme-pci: unquiesce admin queue on shutdown (bnc#1012628).
- nvme-pci: shutdown on timeout during deletion (bnc#1012628).
- netfilter: nf_flow_table: check ttl value in flow offload data
path (bnc#1012628).
- netfilter: nf_flow_table: fix netdev refcnt leak (bnc#1012628).
- ALSA: hda - Register irq handler after the chip initialization
(bnc#1012628).
- powerpc/pseries: Track LMB nid instead of using device tree
(bnc#1012628).
- arm64: defconfig: Update UFSHCD for Hi3660 soc (bnc#1012628).
- iommu/vt-d: Don't request page request irq under
dmar_global_lock (bnc#1012628).
- nvmem: core: fix read buffer in place (bnc#1012628).
- nvmem: sunxi_sid: Support SID on A83T and H5 (bnc#1012628).
- fuse: retrieve: cap requested size to negotiated max_write
(bnc#1012628).
- nfsd: allow fh_want_write to be called twice (bnc#1012628).
- nfsd: avoid uninitialized variable warning (bnc#1012628).
- vfio: Fix WARNING "do not call blocking ops when !TASK_RUNNING"
(bnc#1012628).
- iommu/arm-smmu-v3: Don't disable SMMU in kdump kernel
(bnc#1012628).
- switchtec: Fix unintended mask of MRPC event (bnc#1012628).
- net: thunderbolt: Unregister ThunderboltIP protocol handler
when suspending (bnc#1012628).
- x86/PCI: Fix PCI IRQ routing table memory leak (bnc#1012628).
- soc/tegra: pmc: Remove reset sysfs entries on error
(bnc#1012628).
- i40e: Queues are reserved despite "Invalid argument" error
(bnc#1012628).
- power: supply: cpcap-battery: Fix signed counter sample register
(bnc#1012628).
- platform/chrome: cros_ec_proto: check for NULL transfer function
(bnc#1012628).
- PCI: keystone: Invoke phy_reset() API before enabling PHY
(bnc#1012628).
- PCI: keystone: Prevent ARM32 specific code to be compiled for
ARM64 (bnc#1012628).
- soc: mediatek: pwrap: Zero initialize rdata in pwrap_init_cipher
(bnc#1012628).
- clk: rockchip: Turn on "aclk_dmac1" for suspend on rk3288
(bnc#1012628).
- usb: ohci-da8xx: disable the regulator if the overcurrent irq
fired (bnc#1012628).
- iommu/vt-d: Flush IOTLB for untrusted device in time
(bnc#1012628).
- soc: rockchip: Set the proper PWM for rk3288 (bnc#1012628).
- arm64: dts: imx8mq: Mark iomuxc_gpr as i.MX6Q compatible
(bnc#1012628).
- ARM: dts: imx51: Specify IMX5_CLK_IPG as "ahb" clock to SDMA
(bnc#1012628).
- ARM: dts: imx50: Specify IMX5_CLK_IPG as "ahb" clock to SDMA
(bnc#1012628).
- ARM: dts: imx53: Specify IMX5_CLK_IPG as "ahb" clock to SDMA
(bnc#1012628).
- ARM: dts: imx6sx: Specify IMX6SX_CLK_IPG as "ahb" clock to SDMA
(bnc#1012628).
- ARM: dts: imx6sll: Specify IMX6SLL_CLK_IPG as "ipg" clock to
SDMA (bnc#1012628).
- ARM: dts: imx7d: Specify IMX7D_CLK_IPG as "ipg" clock to SDMA
(bnc#1012628).
- ARM: dts: imx6ul: Specify IMX6UL_CLK_IPG as "ipg" clock to SDMA
(bnc#1012628).
- ARM: dts: imx6sx: Specify IMX6SX_CLK_IPG as "ipg" clock to SDMA
(bnc#1012628).
- ARM: dts: imx6qdl: Specify IMX6QDL_CLK_IPG as "ipg" clock to
SDMA (bnc#1012628).
- PCI: rpadlpar: Fix leaked device_node references in add/remove
paths (bnc#1012628).
- drm/amd/display: disable link before changing link settings
(bnc#1012628).
- drm/amd/display: Use plane->color_space for dpp if specified
(bnc#1012628).
- ARM: OMAP2+: pm33xx-core: Do not Turn OFF CEFUSE as PPA may
be using it (bnc#1012628).
- pinctrl: pinctrl-intel: move gpio suspend/resume to noirq phase
(bnc#1012628).
- platform/x86: intel_pmc_ipc: adding error handling
(bnc#1012628).
- power: supply: max14656: fix potential use-before-alloc
(bnc#1012628).
- f2fs: fix potential recursive call when enabling data_flush
(bnc#1012628).
- net: hns3: return 0 and print warning when hit duplicate MAC
(bnc#1012628).
- PCI: dwc: Remove default MSI initialization for platform
specific MSI chips (bnc#1012628).
- PCI: rcar: Fix a potential NULL pointer dereference
(bnc#1012628).
- PCI: rcar: Fix 64bit MSI message address handling (bnc#1012628).
- scsi: qla2xxx: Reset the FCF_ASYNC_{SENT|ACTIVE} flags
(bnc#1012628).
- Input: goodix - add GT5663 CTP support (bnc#1012628).
- video: hgafb: fix potential NULL pointer dereference
(bnc#1012628).
- video: imsttfb: fix potential NULL pointer dereferences
(bnc#1012628).
- block, bfq: increase idling for weight-raised queues
(bnc#1012628).
- PCI: xilinx: Check for __get_free_pages() failure (bnc#1012628).
- arm64: dts: qcom: qcs404: Fix regulator supply names
(bnc#1012628).
- gpio: gpio-omap: add check for off wake capable gpios
(bnc#1012628).
- gpio: gpio-omap: limit errata 1.101 handling to wkup domain
gpios only (bnc#1012628).
- ice: Add missing case in print_link_msg for printing flow
control (bnc#1012628).
- media: v4l2-ctrl: v4l2_ctrl_request_setup returns with error
upon failure (bnc#1012628).
- batman-adv: Adjust name for batadv_dat_send_data (bnc#1012628).
- ice: Enable LAN_EN for the right recipes (bnc#1012628).
- ice: Do not set LB_EN for prune switch rules (bnc#1012628).
- dmaengine: idma64: Use actual device for DMA transfers
(bnc#1012628).
- pwm: tiehrpwm: Update shadow register for disabling PWMs
(bnc#1012628).
- media: v4l2-fwnode: Defaults may not override endpoint
configuration in firmware (bnc#1012628).
- ARM: dts: exynos: Always enable necessary APIO_1V8 and ABB_1V8
regulators on Arndale Octa (bnc#1012628).
- pwm: Fix deadlock warning when removing PWM device
(bnc#1012628).
- ARM: exynos: Fix undefined instruction during Exynos5422 resume
(bnc#1012628).
- usb: typec: fusb302: Check vconn is off when we start toggling
(bnc#1012628).
- soc: renesas: Identify R-Car M3-W ES1.3 (bnc#1012628).
- ARM: shmobile: porter: enable R-Car Gen2 regulator quirk
(bnc#1012628).
- gpio: vf610: Do not share irq_chip (bnc#1012628).
- percpu: do not search past bitmap when allocating an area
(bnc#1012628).
- Revert "Bluetooth: Align minimum encryption key size for LE
and BR/EDR connections" (bnc#1012628).
- Revert "drm/nouveau: add kconfig option to turn off nouveau
legacy contexts. (v3)" (bnc#1012628).
- ovl: check the capability before cred overridden (bnc#1012628).
- ovl: support stacked SEEK_HOLE/SEEK_DATA (bnc#1012628).
- ALSA: seq: Cover unsubscribe_port() in list_mutex (bnc#1012628).
- io_uring: fix failure to verify SQ_AFF cpu (bnc#1012628).
- Refresh
patches.suse/RFC-Bluetooth-Check-key-sizes-only-when-Secure-Simple-Pairing-is-enabled.patch.
- commit 0aa1dd8
-------------------------------------------------------------------
Sun Jun 16 23:57:23 CEST 2019 - mkubecek@suse.cz
- Update to 5.2-rc5
- Config changes:
- Sound:
- SND_SOC_SOF_NOCODEC_SUPPORT=n on x86, =y on ARM
- Storage:
- MQ_IOSCHED_DEADLINE m -> y on arm64
- commit b5857f8
-------------------------------------------------------------------
Thu Jun 13 13:55:57 CEST 2019 - msuchanek@suse.de
- Build klp-symbols in kernel devel projects.
- commit ffd0ed9
-------------------------------------------------------------------
Thu Jun 13 12:09:06 CEST 2019 - jdelvare@suse.de
- supported.conf: Enable it87_wdt and f71808e_wdt
Both drivers are for watchdog devices included in Super-I/O chipsets
which are popular on x86 PC mainboards. Code is clean and simple,
so supporting them isn't a problem.
- commit b818771
-------------------------------------------------------------------
Wed Jun 12 08:08:07 CEST 2019 - jslaby@suse.cz
- Update config files.
The previous commit did not play well. 5.1.9 is broken with =n of that
option, so leave it as =y as it was before 5.1.9.
- commit e68f829
-------------------------------------------------------------------
Wed Jun 12 07:10:07 CEST 2019 - jslaby@suse.cz
- Update config files.
Set CONFIG_NOUVEAU_LEGACY_CTX_SUPPORT=n, the same as master.
- commit cf58ab1
-------------------------------------------------------------------
Tue Jun 11 22:55:37 CEST 2019 - jslaby@suse.cz
- Linux 5.1.9 (bnc#1012628).
- ethtool: fix potential userspace buffer overflow (bnc#1012628).
- Fix memory leak in sctp_process_init (bnc#1012628).
- ipv4: not do cache for local delivery if bc_forwarding is
enabled (bnc#1012628).
- ipv6: fix the check before getting the cookie in rt6_get_cookie
(bnc#1012628).
- net: ethernet: ti: cpsw_ethtool: fix ethtool ring param set
(bnc#1012628).
- net: mvpp2: Use strscpy to handle stat strings (bnc#1012628).
- net: rds: fix memory leak in rds_ib_flush_mr_pool (bnc#1012628).
- net: sfp: read eeprom in maximum 16 byte increments
(bnc#1012628).
- packet: unconditionally free po->rollover (bnc#1012628).
- pktgen: do not sleep with the thread lock held (bnc#1012628).
- Revert "fib_rules: return 0 directly if an exactly same rule
exists when NLM_F_EXCL not supplied" (bnc#1012628).
- udp: only choose unbound UDP socket for multicast when not in
a VRF (bnc#1012628).
- ipv6: use READ_ONCE() for inet->hdrincl as in ipv4
(bnc#1012628).
- ipv6: fix EFAULT on sendto with icmpv6 and hdrincl
(bnc#1012628).
- net: aquantia: fix wol configuration not applied sometimes
(bnc#1012628).
- neighbor: Reset gc_entries counter if new entry is released
before insert (bnc#1012628).
- neighbor: Call __ipv4_neigh_lookup_noref in neigh_xmit
(bnc#1012628).
- cls_matchall: avoid panic when receiving a packet before filter
set (bnc#1012628).
- ipmr_base: Do not reset index in mr_table_dump (bnc#1012628).
- net/mlx4_en: ethtool, Remove unsupported SFP EEPROM high pages
query (bnc#1012628).
- net/tls: replace the sleeping lock around RX resync with a
bit lock (bnc#1012628).
- rcu: locking and unlocking need to always be at least barriers
(bnc#1012628).
- habanalabs: fix debugfs code (bnc#1012628).
- ARC: mm: SIGSEGV userspace trying to access kernel virtual
memory (bnc#1012628).
- parisc: Use implicit space register selection for loading the
coherence index of I/O pdirs (bnc#1012628).
- parisc: Fix crash due alternative coding for NP iopdir_fdc bit
(bnc#1012628).
- SUNRPC fix regression in umount of a secure mount (bnc#1012628).
- SUNRPC: Fix a use after free when a server rejects the
RPCSEC_GSS credential (bnc#1012628).
- NFSv4.1: Again fix a race where CB_NOTIFY_LOCK fails to wake
a waiter (bnc#1012628).
- NFSv4.1: Fix bug only first CB_NOTIFY_LOCK is handled
(bnc#1012628).
- fuse: fallocate: fix return with locked inode (bnc#1012628).
- fuse: fix copy_file_range() in the writeback case (bnc#1012628).
- pstore: Set tfm to NULL on free_buf_for_compression
(bnc#1012628).
- pstore/ram: Run without kernel crash dump region (bnc#1012628).
- kbuild: use more portable 'command -v' for cc-cross-prefix
(bnc#1012628).
- memstick: mspro_block: Fix an error code in
mspro_block_issue_req() (bnc#1012628).
- mmc: tmio: fix SCC error handling to avoid false positive CRC
error (bnc#1012628).
- mmc: sdhci_am654: Fix SLOTTYPE write (bnc#1012628).
- x86/power: Fix 'nosmt' vs hibernation triple fault during resume
(bnc#1012628).
- x86/insn-eval: Fix use-after-free access to LDT entry
(bnc#1012628).
- i2c: xiic: Add max_read_len quirk (bnc#1012628).
- s390/mm: fix address space detection in exception handling
(bnc#1012628).
- nvme-rdma: fix queue mapping when queue count is limited
(bnc#1012628).
- xen-blkfront: switch kcalloc to kvcalloc for large array
allocation (bnc#1012628).
- MIPS: Bounds check virt_addr_valid (bnc#1012628).
- MIPS: pistachio: Build uImage.gz by default (bnc#1012628).
- genwqe: Prevent an integer overflow in the ioctl (bnc#1012628).
- test_firmware: Use correct snprintf() limit (bnc#1012628).
- drm/rockchip: fix fb references in async update (bnc#1012628).
- drm/vc4: fix fb references in async update (bnc#1012628).
- drm/gma500/cdv: Check vbt config bits when detecting lvds panels
(bnc#1012628).
- drm/msm: fix fb references in async update (bnc#1012628).
- drm: add non-desktop quirk for Valve HMDs (bnc#1012628).
- drm/nouveau: add kconfig option to turn off nouveau legacy
contexts. (v3) (bnc#1012628).
- drm: add non-desktop quirks to Sensics and OSVR headsets
(bnc#1012628).
- drm: Fix timestamp docs for variable refresh properties
(bnc#1012628).
- drm/amdgpu/psp: move psp version specific function pointers
to early_init (bnc#1012628).
- drm/radeon: prefer lower reference dividers (bnc#1012628).
- drm/amdgpu: remove ATPX_DGPU_REQ_POWER_FOR_DISPLAYS check when
hotplug-in (bnc#1012628).
- drm/i915: Fix I915_EXEC_RING_MASK (bnc#1012628).
- drm/amdgpu/soc15: skip reset on init (bnc#1012628).
- drm/amd/display: Add ASICREV_IS_PICASSO (bnc#1012628).
- drm/amdgpu: fix ring test failure issue during s3 in vce 3.0
(V2) (bnc#1012628).
- drm/i915/fbc: disable framebuffer compression on GeminiLake
(bnc#1012628).
- drm/i915/gvt: emit init breadcrumb for gvt request
(bnc#1012628).
- drm: don't block fb changes for async plane updates
(bnc#1012628).
- drm/i915/gvt: Initialize intel_gvt_gtt_entry in stack
(bnc#1012628).
- drm/amd: fix fb references in async update (bnc#1012628).
- ipv4: Define __ipv4_neigh_lookup_noref when CONFIG_INET is
disabled (bnc#1012628).
- commit 8904439
-------------------------------------------------------------------
Tue Jun 11 14:08:19 CEST 2019 - jslaby@suse.cz
- Revert "drm: allow render capable master with DRM_AUTH ioctls"
(fix radv check).
- commit 3ca4077
-------------------------------------------------------------------
Tue Jun 11 10:22:40 CEST 2019 - jslaby@suse.cz
- drm/i915: Maintain consistent documentation subsection ordering
(fix kernel-doc).
- Delete
patches.rpmify/Revert-doc-Cope-with-the-deprecation-of-AutoReporter.patch.
Use usptream fix instead of revert.
- commit 4e8aae9
-------------------------------------------------------------------
Mon Jun 10 20:41:26 CEST 2019 - lduncan@suse.com
- scsi: mpt3sas_ctl: fix double-fetch bug in _ctl_ioctl_main()
(bsc#1136922 cve-2019-12456).
- commit 0c3fc9f
-------------------------------------------------------------------
Mon Jun 10 08:33:39 CEST 2019 - jslaby@suse.cz
- Revert "doc: Cope with the deprecation of AutoReporter"
(fix kernel-doc).
- commit 1c5c2b4
-------------------------------------------------------------------
Mon Jun 10 07:06:10 CEST 2019 - mkubecek@suse.cz
- Update to 5.2-rc4
- Eliminated 1 patch
- patches.rpmify/mlx5-avoid-64-bit-division.patch
- Refresh configs
- IKHEADERS_PROC -> IKHEADERS
- commit c8bdb02
-------------------------------------------------------------------
Sun Jun 9 21:03:00 CEST 2019 - jslaby@suse.cz
- Linux 5.1.8 (bnc#1012628).
- sparc64: Fix regression in non-hypervisor TLB flush xcall
(bnc#1012628).
- include/linux/bitops.h: sanitize rotate primitives
(bnc#1012628).
- xhci: update bounce buffer with correct sg num (bnc#1012628).
- xhci: Use %zu for printing size_t type (bnc#1012628).
- xhci: Convert xhci_handshake() to use
readl_poll_timeout_atomic() (bnc#1012628).
- usb: xhci: avoid null pointer deref when bos field is NULL
(bnc#1012628).
- usbip: usbip_host: fix BUG: sleeping function called from
invalid context (bnc#1012628).
- usbip: usbip_host: fix stub_dev lock context imbalance
regression (bnc#1012628).
- USB: Fix slab-out-of-bounds write in usb_get_bos_descriptor
(bnc#1012628).
- USB: sisusbvga: fix oops in error path of sisusb_probe
(bnc#1012628).
- USB: Add LPM quirk for Surface Dock GigE adapter (bnc#1012628).
- USB: rio500: refuse more than one device at a time
(bnc#1012628).
- USB: rio500: fix memory leak in close after disconnect
(bnc#1012628).
- media: usb: siano: Fix general protection fault in smsusb
(bnc#1012628).
- media: usb: siano: Fix false-positive "uninitialized variable"
warning (bnc#1012628).
- media: smsusb: better handle optional alignment (bnc#1012628).
- brcmfmac: fix NULL pointer derefence during USB disconnect
(bnc#1012628).
- scsi: zfcp: fix missing zfcp_port reference put on -EBUSY from
port_remove (bnc#1012628).
- scsi: zfcp: fix to prevent port_remove with pure auto scan LUNs
(only sdevs) (bnc#1012628).
- tracing: Avoid memory leak in predicate_parse() (bnc#1012628).
- Btrfs: fix wrong ctime and mtime of a directory after log replay
(bnc#1012628).
- Btrfs: fix race updating log root item during fsync
(bnc#1012628).
- Btrfs: fix fsync not persisting changed attributes of a
directory (bnc#1012628).
- btrfs: correct zstd workspace manager lock to use spin_lock_bh()
(bnc#1012628).
- btrfs: qgroup: Check bg while resuming relocation to avoid
NULL pointer dereference (bnc#1012628).
- Btrfs: incremental send, fix file corruption when no-holes
feature is enabled (bnc#1012628).
- btrfs: reloc: Also queue orphan reloc tree for cleanup to
avoid BUG_ON() (bnc#1012628).
- iio: dac: ds4422/ds4424 fix chip verification (bnc#1012628).
- iio: adc: ads124: avoid buffer overflow (bnc#1012628).
- iio: adc: modify NPCM ADC read reference voltage (bnc#1012628).
- iio: adc: ti-ads8688: fix timestamp is not updated in buffer
(bnc#1012628).
- s390/crypto: fix gcm-aes-s390 selftest failures (bnc#1012628).
- s390/crypto: fix possible sleep during spinlock aquired
(bnc#1012628).
- KVM: PPC: Book3S HV: XIVE: Do not clear IRQ data of passthrough
interrupts (bnc#1012628).
- KVM: PPC: Book3S HV: Fix lockdep warning when entering guest
on POWER9 (bnc#1012628).
- KVM: PPC: Book3S HV: Restore SPRG3 in kvmhv_p9_guest_entry()
(bnc#1012628).
- powerpc/perf: Fix MMCRA corruption by bhrb_filter (bnc#1012628).
- powerpc/kexec: Fix loading of kernel + initramfs with
kexec_file_load() (bnc#1012628).
- ALSA: line6: Assure canceling delayed work at disconnection
(bnc#1012628).
- ALSA: hda/realtek - Set default power save node to 0
(bnc#1012628).
- ALSA: hda/realtek - Improve the headset mic for Acer Aspire
laptops (bnc#1012628).
- KVM: s390: Do not report unusabled IDs via KVM_CAP_MAX_VCPU_ID
(bnc#1012628).
- drm/nouveau/i2c: Disable i2c bus access after ->fini()
(bnc#1012628).
- i2c: mlxcpld: Fix wrong initialization order in probe
(bnc#1012628).
- i2c: synquacer: fix synquacer_i2c_doxfer() return value
(bnc#1012628).
- tty: serial: msm_serial: Fix XON/XOFF (bnc#1012628).
- tty: max310x: Fix external crystal register setup (bnc#1012628).
- mm, memcg: consider subtrees in memory.events (bnc#1012628).
- kasan: initialize tag to 0xff in __kasan_kmalloc (bnc#1012628).
- kernel/signal.c: trace_signal_deliver when signal_group_exit
(bnc#1012628).
- signal/arm64: Use force_sig not force_sig_fault for SIGKILL
(bnc#1012628).
- mm, compaction: make sure we isolate a valid PFN (bnc#1012628).
- arm64: Fix the arm64_personality() syscall wrapper redirection
(bnc#1012628).
- docs: Fix conf.py for Sphinx 2.0 (bnc#1012628).
- doc: Cope with the deprecation of AutoReporter (bnc#1012628).
- doc: Cope with Sphinx logging deprecations (bnc#1012628).
- x86/ima: Check EFI_RUNTIME_SERVICES before using (bnc#1012628).
- ima: fix wrong signed policy requirement when not appraising
(bnc#1012628).
- ima: show rules with IMA_INMASK correctly (bnc#1012628).
- evm: check hash algorithm passed to init_desc() (bnc#1012628).
- clk: imx: imx8mm: fix int pll clk gate (bnc#1012628).
- vt/fbcon: deinitialize resources in visual_init() after failed
memory allocation (bnc#1012628).
- serial: sh-sci: disable DMA for uart_console (bnc#1012628).
- staging: vc04_services: prevent integer overflow in
create_pagelist() (bnc#1012628).
- staging: wlan-ng: fix adapter initialization failure
(bnc#1012628).
- cifs: fix memory leak of pneg_inbuf on -EOPNOTSUPP ioctl case
(bnc#1012628).
- CIFS: cifs_read_allocate_pages: don't iterate through whole
page array on ENOMEM (bnc#1012628).
- Revert "lockd: Show pid of lockd for remote locks"
(bnc#1012628).
- gcc-plugins: Fix build failures under Darwin host (bnc#1012628).
- drm/tegra: gem: Fix CPU-cache maintenance for BO's allocated
using get_pages() (bnc#1012628).
- drm/vmwgfx: Fix user space handle equal to zero (bnc#1012628).
- drm/vmwgfx: Fix compat mode shader operation (bnc#1012628).
- drm/vmwgfx: Don't send drm sysfs hotplug events on initial
master set (bnc#1012628).
- drm/sun4i: Fix sun8i HDMI PHY clock initialization
(bnc#1012628).
- drm/sun4i: Fix sun8i HDMI PHY configuration for > 148.5 MHz
(bnc#1012628).
- drm/imx: ipuv3-plane: fix atomic update status query for
non-plus i.MX6Q (bnc#1012628).
- drm/fb-helper: generic: Call drm_client_add() after setup is
done (bnc#1012628).
- drm/atomic: Wire file_priv through for property changes
(bnc#1012628).
- drm: Expose "FB_DAMAGE_CLIPS" property to atomic aware
user-space only (bnc#1012628).
- drm/rockchip: shutdown drm subsystem on shutdown (bnc#1012628).
- drm/lease: Make sure implicit planes are leased (bnc#1012628).
- drm/cma-helper: Fix drm_gem_cma_free_object() (bnc#1012628).
- Revert "x86/build: Move _etext to actual end of .text"
(bnc#1012628).
- x86/kprobes: Set instruction page as executable (bnc#1012628).
- commit ed4965b
-------------------------------------------------------------------
Fri Jun 7 13:15:46 CEST 2019 - mvedovati@suse.com
- rpm/post.sh: correct typo in err msg (bsc#1137625)
- commit 9fe85cc
-------------------------------------------------------------------
Thu Jun 6 07:50:21 CEST 2019 - jslaby@suse.cz
- s390: drop meaningless 'targets' from tools Makefile (s390
kmp build fix).
- commit c8cc0ca
-------------------------------------------------------------------
Wed Jun 5 17:39:56 CEST 2019 - mvedovati@suse.com
- Enhance kvmsmall configuration (bsc#1137361)
Add a minimal set of modules to kvmsmall, to make this config usable
to set up guest VMs interacting with the host.
- commit 34c4eab
-------------------------------------------------------------------
Wed Jun 5 09:51:56 CEST 2019 - mkubecek@suse.cz
- config: refresh configs
No functional change.
- commit cbc8b7e
-------------------------------------------------------------------
Tue Jun 4 16:33:51 CEST 2019 - oneukum@suse.com
- mwifiex: Fix heap overflow in mwifiex_uap_parse_tail_ies()
(bsc#1136935).
- commit eda4f06
-------------------------------------------------------------------
Tue Jun 4 15:22:55 CEST 2019 - oneukum@suse.com
- mwifiex: Abort at too short BSS descriptor element (bsc#1136424
CVE-2019-3846).
- commit 1841f21
-------------------------------------------------------------------
Tue Jun 4 15:04:37 CEST 2019 - oneukum@suse.com
- mwifiex: Fix possible buffer overflows at parsing bss descriptor
- commit 3972810
-------------------------------------------------------------------
Tue Jun 4 10:18:35 CEST 2019 - jslaby@suse.cz
- Update config files.
Enable CRASH_DUMP and RELOCATABLE on ppc64le to be on par with the
other archs and to allow for kdump (bnc#1135217).
- commit a6a9f0e
-------------------------------------------------------------------
Tue Jun 4 09:56:38 CEST 2019 - jslaby@suse.cz
- Linux 5.1.7 (bnc#1012628).
- tipc: fix modprobe tipc failed after switch order of device
registration (bnc#1012628).
- Revert "tipc: fix modprobe tipc failed after switch order of
device registration" (bnc#1012628).
- crypto: vmx - ghash: do nosimd fallback manually (bnc#1012628).
- net: correct zerocopy refcnt with udp MSG_MORE (bnc#1012628).
- cxgb4: Revert "cxgb4: Remove SGE_HOST_PAGE_SIZE dependency on
page size" (bnc#1012628).
- net/tls: don't ignore netdev notifications if no TLS features
(bnc#1012628).
- net/tls: fix state removal with feature flags off (bnc#1012628).
- selftests/tls: add test for sleeping even though there is data
(bnc#1012628).
- net/tls: fix no wakeup on partial reads (bnc#1012628).
- selftests/tls: test for lowat overshoot with multiple records
(bnc#1012628).
- net/tls: fix lowat calculation if some data came from previous
record (bnc#1012628).
- bnxt_en: Reduce memory usage when running in kdump kernel
(bnc#1012628).
- bnxt_en: Fix possible BUG() condition when calling
pci_disable_msix() (bnc#1012628).
- bnxt_en: Fix aggregation buffer leak under OOM condition
(bnc#1012628).
- net: stmmac: dma channel control register need to be init first
(bnc#1012628).
- net: stmmac: fix ethtool flow control not able to get/set
(bnc#1012628).
- net/mlx5e: Disable rxhash when CQE compress is enabled
(bnc#1012628).
- net/mlx5: Allocate root ns memory using kzalloc to match kfree
(bnc#1012628).
- tipc: Avoid copying bytes beyond the supplied data
(bnc#1012628).
- net/mlx5: Avoid double free in fs init error unwinding path
(bnc#1012628).
- usbnet: fix kernel crash after disconnect (bnc#1012628).
- r8169: fix MAC address being lost in PCI D3 (bnc#1012628).
- net: stmmac: fix reset gpio free missing (bnc#1012628).
- net: sched: don't use tc_action->order during action dump
(bnc#1012628).
- net: phy: marvell10g: report if the PHY fails to boot firmware
(bnc#1012628).
- net: mvpp2: fix bad MVPP2_TXQ_SCHED_TOKEN_CNTR_REG queue value
(bnc#1012628).
- net: mvneta: Fix err code path of probe (bnc#1012628).
- net-gro: fix use-after-free read in napi_gro_frags()
(bnc#1012628).
- net: fec: fix the clk mismatch in failed_reset path
(bnc#1012628).
- net: dsa: mv88e6xxx: fix handling of upper half of
STATS_TYPE_PORT (bnc#1012628).
- mlxsw: spectrum_acl: Avoid warning after identical rules
insertion (bnc#1012628).
- llc: fix skb leak in llc_build_and_send_ui_pkt() (bnc#1012628).
- ipv6: Fix redirect with VRF (bnc#1012628).
- ipv6: Consider sk_bound_dev_if when binding a raw socket to
an address (bnc#1012628).
- ipv4/igmp: fix build error if !CONFIG_IP_MULTICAST
(bnc#1012628).
- ipv4/igmp: fix another memory leak in igmpv3_del_delrec()
(bnc#1012628).
- inet: switch IP ID generator to siphash (bnc#1012628).
- ethtool: Check for vlan etype or vlan tci when parsing flow_rule
(bnc#1012628).
- cxgb4: offload VLAN flows regardless of VLAN ethtype
(bnc#1012628).
- bonding/802.3ad: fix slave link initialization transition states
(bnc#1012628).
- commit 55f2451
-------------------------------------------------------------------
Mon Jun 3 08:02:40 CEST 2019 - mkubecek@suse.cz
- Update to 5.2-rc3
- Eliminated 1 patch
- patches.suse/kvm-memunmap-also-needs-HAS_IOMEM.patch
- commit 038ee83
-------------------------------------------------------------------
Mon Jun 3 07:27:42 CEST 2019 - jslaby@suse.cz
- Refresh
patches.suse/memcg-make-it-work-on-sparse-non-0-node-systems.patch.
Update upstream status.
- commit 2e484d7
-------------------------------------------------------------------
Fri May 31 20:31:30 CEST 2019 - jslaby@suse.cz
- Linux 5.1.6 (bnc#1012628).
- x86: Hide the int3_emulate_call/jmp functions from UML
(bnc#1012628).
- ext4: do not delete unlinked inode from orphan list on failed
truncate (bnc#1012628).
- ext4: wait for outstanding dio during truncate in nojournal mode
(bnc#1012628).
- KVM: x86: fix return value for reserved EFER (bnc#1012628).
- bio: fix improper use of smp_mb__before_atomic() (bnc#1012628).
- sbitmap: fix improper use of smp_mb__before_atomic()
(bnc#1012628).
- Revert "scsi: sd: Keep disk read-only when re-reading partition"
(bnc#1012628).
- crypto: hash - fix incorrect HASH_MAX_DESCSIZE (bnc#1012628).
- crypto: vmx - CTR: always increment IV as quadword
(bnc#1012628).
- mmc: sdhci-iproc: cygnus: Set NO_HISPD bit to fix HS50 data
hold time problem (bnc#1012628).
- mmc: sdhci-iproc: Set NO_HISPD bit to fix HS50 data hold time
problem (bnc#1012628).
- tracing: Add a check_val() check before updating cond_snapshot()
track_val (bnc#1012628).
- dax: Arrange for dax_supported check to span multiple devices
(bnc#1012628).
- kvm: Check irqchip mode before assign irqfd (bnc#1012628).
- kvm: svm/avic: fix off-by-one in checking host APIC ID
(bnc#1012628).
- KVM: nVMX: Fix using __this_cpu_read() in preemptible context
(bnc#1012628).
- libnvdimm/pmem: Bypass CONFIG_HARDENED_USERCOPY overhead
(bnc#1012628).
- arm64/kernel: kaslr: reduce module randomization range to 2 GB
(bnc#1012628).
- arm64: Kconfig: Make ARM64_PSEUDO_NMI depend on BROKEN for now
(bnc#1012628).
- arm64/iommu: handle non-remapped addresses in ->mmap and
->get_sgtable (bnc#1012628).
- gfs2: Fix sign extension bug in gfs2_update_stats (bnc#1012628).
- btrfs: don't double unlock on error in btrfs_punch_hole
(bnc#1012628).
- btrfs: Check the compression level before getting a workspace
(bnc#1012628).
- Btrfs: do not abort transaction at btrfs_update_root() after
failure to COW path (bnc#1012628).
- Btrfs: avoid fallback to transaction commit during fsync of
files with holes (bnc#1012628).
- Btrfs: fix race between ranged fsync and writeback of adjacent
ranges (bnc#1012628).
- btrfs: sysfs: Fix error path kobject memory leak (bnc#1012628).
- btrfs: sysfs: don't leak memory when failing add fsid
(bnc#1012628).
- fbdev: fix divide error in fb_var_to_videomode (bnc#1012628).
- arm64: errata: Add workaround for Cortex-A76 erratum #1463225
(bnc#1012628).
- ovl: relax WARN_ON() for overlapping layers use case
(bnc#1012628).
- fbdev: fix WARNING in __alloc_pages_nodemask bug (bnc#1012628).
- media: cpia2: Fix use-after-free in cpia2_exit (bnc#1012628).
- media: serial_ir: Fix use-after-free in serial_ir_init_module
(bnc#1012628).
- media: vb2: add waiting_in_dqbuf flag (bnc#1012628).
- media: vivid: use vfree() instead of kfree() for dev->bitmap_cap
(bnc#1012628).
- ssb: Fix possible NULL pointer dereference in
ssb_host_pcmcia_exit (bnc#1012628).
- bpf: devmap: fix use-after-free Read in __dev_map_entry_free
(bnc#1012628).
- batman-adv: mcast: fix multicast tt/tvlv worker locking
(bnc#1012628).
- at76c50x-usb: Don't register led_trigger if usb_register_driver
failed (bnc#1012628).
- acct_on(): don't mess with freeze protection (bnc#1012628).
- netfilter: ctnetlink: Resolve conntrack L3-protocol flush
regression (bnc#1012628).
- Revert "btrfs: Honour FITRIM range constraints during free
space trim" (bnc#1012628).
- gfs2: Fix lru_count going negative (bnc#1012628).
- cxgb4: Fix error path in cxgb4_init_module (bnc#1012628).
- afs: Fix getting the afs.fid xattr (bnc#1012628).
- NFS: make nfs_match_client killable (bnc#1012628).
- gfs2: fix race between gfs2_freeze_func and unmount
(bnc#1012628).
- io_uring: use cpu_online() to check p->sq_thread_cpu instead
of cpu_possible() (bnc#1012628).
- IB/hfi1: Fix WQ_MEM_RECLAIM warning (bnc#1012628).
- gfs2: Fix occasional glock use-after-free (bnc#1012628).
- mmc: core: Verify SD bus width (bnc#1012628).
- tools/bpf: fix perf build error with uClibc (seen on ARC)
(bnc#1012628).
- i40e: Fix of memory leak and integer truncation in
i40e_virtchnl.c (bnc#1012628).
- libbpf: fix invalid munmap call (bnc#1012628).
- selftests/bpf: set RLIMIT_MEMLOCK properly for
test_libbpf_open.c (bnc#1012628).
- bpftool: exclude bash-completion/bpftool from .gitignore pattern
(bnc#1012628).
- ice: Separate if conditions for ice_set_features()
(bnc#1012628).
- ice: Preserve VLAN Rx stripping settings (bnc#1012628).
- blk-mq: split blk_mq_alloc_and_init_hctx into two parts
(bnc#1012628).
- blk-mq: grab .q_usage_counter when queuing request from plug
code path (bnc#1012628).
- dmaengine: tegra210-dma: free dma controller in remove()
(bnc#1012628).
- net: ena: gcc 8: fix compilation warning (bnc#1012628).
- net: ena: fix: set freed objects to NULL to avoid failing
future allocations (bnc#1012628).
- hv_netvsc: fix race that may miss tx queue wakeup (bnc#1012628).
- Bluetooth: Ignore CC events not matching the last HCI command
(bnc#1012628).
- pinctrl: zte: fix leaked of_node references (bnc#1012628).
- ASoC: Intel: kbl_da7219_max98357a: Map BTN_0 to KEY_PLAYPAUSE
(bnc#1012628).
- usb: dwc2: gadget: Increase descriptors count for ISOC's
(bnc#1012628).
- usb: dwc3: move synchronize_irq() out of the spinlock protected
block (bnc#1012628).
- usb: gadget: f_fs: don't free buffer prematurely (bnc#1012628).
- ASoC: hdmi-codec: unlock the device on startup errors
(bnc#1012628).
- powerpc/perf: Return accordingly on invalid chip-id in
(bnc#1012628).
- powerpc/boot: Fix missing check of lseek() return value
(bnc#1012628).
- powerpc/perf: Fix loop exit condition in nest_imc_event_init
(bnc#1012628).
- spi: atmel-quadspi: fix crash while suspending (bnc#1012628).
- ASoC: imx: fix fiq dependencies (bnc#1012628).
- spi: pxa2xx: fix SCR (divisor) calculation (bnc#1012628).
- net/mlx5: E-Switch, Use atomic rep state to serialize state
change (bnc#1012628).
- brcm80211: potential NULL dereference in
brcmf_cfg80211_vndr_cmds_dcmd_handler() (bnc#1012628).
- ACPI / property: fix handling of data_nodes in
acpi_get_next_subnode() (bnc#1012628).
- drm/nouveau/bar/nv50: ensure BAR is mapped (bnc#1012628).
- media: stm32-dcmi: return appropriate error codes during probe
(bnc#1012628).
- ARM: vdso: Remove dependency with the arch_timer driver
internals (bnc#1012628).
- arm64: Fix compiler warning from pte_unmap() with
-Wunused-but-set-variable (bnc#1012628).
- mt76: remove mt76_queue dependency from tx_queue_skb function
pointer (bnc#1012628).
- x86/ftrace: Set trampoline pages as executable (bnc#1012628).
- powerpc/watchdog: Use hrtimers for per-CPU heartbeat
(bnc#1012628).
- cpufreq: Fix kobject memleak (bnc#1012628).
- scsi: qla2xxx: Fix a qla24xx_enable_msix() error path
(bnc#1012628).
- scsi: qla2xxx: Fix abort handling in tcm_qla2xxx_write_pending()
(bnc#1012628).
- scsi: qla2xxx: Avoid that lockdep complains about unsafe
locking in tcm_qla2xxx_close_session() (bnc#1012628).
- scsi: qla2xxx: Fix hardirq-unsafe locking (bnc#1012628).
- x86/modules: Avoid breaking W^X while loading modules
(bnc#1012628).
- Btrfs: fix data bytes_may_use underflow with fallocate due to
failed quota reserve (bnc#1012628).
- btrfs: fix panic during relocation after ENOSPC before writeback
happens (bnc#1012628).
- btrfs: Don't panic when we can't find a root key (bnc#1012628).
- iwlwifi: pcie: don't crash on invalid RX interrupt
(bnc#1012628).
- rtc: 88pm860x: prevent use-after-free on device remove
(bnc#1012628).
- rtc: stm32: manage the get_irq probe defer case (bnc#1012628).
- scsi: qedi: Abort ep termination if offload not scheduled
(bnc#1012628).
- s390/kexec_file: Fix detection of text segment in ELF loader
(bnc#1012628).
- ALSA: hda: fix unregister device twice on ASoC driver
(bnc#1012628).
- sched/nohz: Run NOHZ idle load balancer on HK_FLAG_MISC CPUs
(bnc#1012628).
- net: ethernet: ti: cpsw: fix allmulti cfg in dual_mac mode
(bnc#1012628).
- w1: fix the resume command API (bnc#1012628).
- net: hns3: fix pause configure fail problem (bnc#1012628).
- net: hns3: fix for TX clean num when cleaning TX BD
(bnc#1012628).
- net: phy: improve genphy_soft_reset (bnc#1012628).
- s390: qeth: address type mismatch warning (bnc#1012628).
- arm64: futex: Fix FUTEX_WAKE_OP atomic ops with non-zero result
value (bnc#1012628).
- net: hns3: use atomic_t replace u32 for arq's count
(bnc#1012628).
- dmaengine: pl330: _stop: clear interrupt status (bnc#1012628).
- mac80211/cfg80211: update bss channel on channel switch
(bnc#1012628).
- drm: prefix header search paths with $(srctree)/ (bnc#1012628).
- libbpf: fix samples/bpf build failure due to undefined
UINT32_MAX (bnc#1012628).
- slimbus: fix a potential NULL pointer dereference in
of_qcom_slim_ngd_register (bnc#1012628).
- regulator: core: Actually put the gpiod after use (bnc#1012628).
- ASoC: fsl_sai: Update is_slave_mode with correct value
(bnc#1012628).
- Fix nfs4.2 return -EINVAL when do dedupe operation
(bnc#1012628).
- mwifiex: prevent an array overflow (bnc#1012628).
- rsi: Fix NULL pointer dereference in kmalloc (bnc#1012628).
- net: cw1200: fix a NULL pointer dereference (bnc#1012628).
- nvme: set 0 capacity if namespace block size exceeds PAGE_SIZE
(bnc#1012628).
- nvme-rdma: fix a NULL deref when an admin connect times out
(bnc#1012628).
- nvme-tcp: fix a NULL deref when an admin connect times out
(bnc#1012628).
- crypto: sun4i-ss - Fix invalid calculation of hash end
(bnc#1012628).
- bcache: avoid potential memleak of list of journal_replay(s)
in the CACHE_SYNC branch of run_cache_set (bnc#1012628).
- bcache: return error immediately in bch_journal_replay()
(bnc#1012628).
- bcache: fix failure in journal relplay (bnc#1012628).
- bcache: add failure check to run_cache_set() for journal replay
(bnc#1012628).
- bcache: avoid clang -Wunintialized warning (bnc#1012628).
- RDMA/cma: Consider scope_id while binding to ipv6 ll address
(bnc#1012628).
- vfio-ccw: Do not call flush_workqueue while holding the spinlock
(bnc#1012628).
- vfio-ccw: Release any channel program when releasing/removing
vfio-ccw mdev (bnc#1012628).
- x86/build: Move _etext to actual end of .text (bnc#1012628).
- smpboot: Place the __percpu annotation correctly (bnc#1012628).
- x86/uaccess: Dont leak the AC flag into __put_user() argument
evaluation (bnc#1012628).
- x86/mm: Remove in_nmi() warning from 64-bit implementation of
vmalloc_fault() (bnc#1012628).
- mm/uaccess: Use 'unsigned long' to placate UBSAN warnings on
older GCC versions (bnc#1012628).
- Bluetooth: hci_qca: Fix crash with non-serdev devices
(bnc#1012628).
- Bluetooth: hci_qca: Give enough time to ROME controller to
bootup (bnc#1012628).
- Bluetooth: btbcm: Add default address for BCM43341B
(bnc#1012628).
- Bluetooth: mediatek: Fixed incorrect type in assignment
(bnc#1012628).
- HID: logitech-hidpp: use RAP instead of FAP to get the protocol
version (bnc#1012628).
- pinctrl: pistachio: fix leaked of_node references (bnc#1012628).
- pinctrl: st: fix leaked of_node references (bnc#1012628).
- pinctrl: samsung: fix leaked of_node references (bnc#1012628).
- clk: rockchip: undo several noc and special clocks as critical
on rk3288 (bnc#1012628).
- perf/arm-cci: Remove broken race mitigation (bnc#1012628).
- dmaengine: at_xdmac: remove BUG_ON macro in tasklet
(bnc#1012628).
- media: coda: clear error return value before picture run
(bnc#1012628).
- media: ov6650: Move v4l2_clk_get() to ov6650_video_probe()
helper (bnc#1012628).
- media: au0828: stop video streaming only when last user stops
(bnc#1012628).
- media: ov2659: make S_FMT succeed even if requested format
doesn't match (bnc#1012628).
- audit: fix a memory leak bug (bnc#1012628).
- media: stm32-dcmi: fix crash when subdev do not expose any
formats (bnc#1012628).
- media: au0828: Fix NULL pointer dereference in
au0828_analog_stream_enable() (bnc#1012628).
- media: pvrusb2: Prevent a buffer overflow (bnc#1012628).
- iio: adc: stm32-dfsdm: fix unmet direct dependencies detected
(bnc#1012628).
- block: fix use-after-free on gendisk (bnc#1012628).
- powerpc/numa: improve control of topology updates (bnc#1012628).
- powerpc/64: Fix booting large kernels with STRICT_KERNEL_RWX
(bnc#1012628).
- random: fix CRNG initialization when random.trust_cpu=1
(bnc#1012628).
- random: add a spinlock_t to struct batched_entropy
(bnc#1012628).
- cgroup: protect cgroup->nr_(dying_)descendants by css_set_lock
(bnc#1012628).
- sched/core: Check quota and period overflow at usec to nsec
conversion (bnc#1012628).
- sched/rt: Check integer overflow at usec to nsec conversion
(bnc#1012628).
- sched/core: Handle overflow in cpu_shares_write_u64
(bnc#1012628).
- staging: vc04_services: handle kzalloc failure (bnc#1012628).
- drm/msm/dpu: release resources on modeset failure (bnc#1012628).
- drm/msm: a5xx: fix possible object reference leak (bnc#1012628).
- drm/msm: dpu: Don't set frame_busy_mask for async updates
(bnc#1012628).
- drm/msm: Fix NULL pointer dereference (bnc#1012628).
- irq_work: Do not raise an IPI when queueing work on the local
CPU (bnc#1012628).
- thunderbolt: Take domain lock in switch sysfs attribute
callbacks (bnc#1012628).
- s390/qeth: handle error from qeth_update_from_chp_desc()
(bnc#1012628).
- USB: core: Don't unbind interfaces following device reset
failure (bnc#1012628).
- x86/irq/64: Limit IST stack overflow check to #DB stack
(bnc#1012628).
- drm: etnaviv: avoid DMA API warning when importing buffers
(bnc#1012628).
- dt-bindings: phy-qcom-qmp: Add UFS PHY reset (bnc#1012628).
- phy: sun4i-usb: Make sure to disable PHY0 passby for peripheral
mode (bnc#1012628).
- phy: mapphone-mdm6600: add gpiolib dependency (bnc#1012628).
- phy: ti: usb2: fix OMAP_CONTROL_PHY dependency (bnc#1012628).
- dpaa2-eth: Fix Rx classification status (bnc#1012628).
- i40e: Able to add up to 16 MAC filters on an untrusted VF
(bnc#1012628).
- i40e: don't allow changes to HW VLAN stripping on active port
VLANs (bnc#1012628).
- ACPI/IORT: Reject platform device creation on NUMA node mapping
failure (bnc#1012628).
- arm64: vdso: Fix clock_getres() for CLOCK_REALTIME
(bnc#1012628).
- RDMA/cxgb4: Fix null pointer dereference on alloc_skb failure
(bnc#1012628).
- fscrypt: use READ_ONCE() to access ->i_crypt_info (bnc#1012628).
- perf/x86/msr: Add Icelake support (bnc#1012628).
- perf/x86/intel/rapl: Add Icelake support (bnc#1012628).
- perf/x86/intel/cstate: Add Icelake support (bnc#1012628).
- PM / devfreq: Fix static checker warning in
try_then_request_governor (bnc#1012628).
- hwmon: (vt1211) Use request_muxed_region for Super-IO accesses
(bnc#1012628).
- hwmon: (smsc47m1) Use request_muxed_region for Super-IO accesses
(bnc#1012628).
- hwmon: (smsc47b397) Use request_muxed_region for Super-IO
accesses (bnc#1012628).
- hwmon: (pc87427) Use request_muxed_region for Super-IO accesses
(bnc#1012628).
- hwmon: (f71805f) Use request_muxed_region for Super-IO accesses
(bnc#1012628).
- scsi: libsas: Do discovery on empty PHY to update PHY info
(bnc#1012628).
- mmc: core: make pwrseq_emmc (partially) support sleepy GPIO
controllers (bnc#1012628).
- mmc_spi: add a status check for spi_sync_locked (bnc#1012628).
- mmc: sdhci-of-esdhc: add erratum eSDHC5 support (bnc#1012628).
- mmc: sdhci-of-esdhc: add erratum A-009204 support (bnc#1012628).
- mmc: sdhci-of-esdhc: add erratum eSDHC-A001 and A-008358 support
(bnc#1012628).
- net: hns3: free the pending skb when clean RX ring
(bnc#1012628).
- drm/amdgpu: fix old fence check in amdgpu_fence_emit
(bnc#1012628).
- PM / core: Propagate dev->power.wakeup_path when no callbacks
(bnc#1012628).
- clk: rockchip: Fix video codec clocks on rk3288 (bnc#1012628).
- extcon: arizona: Disable mic detect if running when driver is
removed (bnc#1012628).
- clk: rockchip: Make rkpwm a critical clock on rk3288
(bnc#1012628).
- clk: zynqmp: fix check for fractional clock (bnc#1012628).
- s390: zcrypt: initialize variables before_use (bnc#1012628).
- x86/microcode: Fix the ancient deprecated microcode loading
method (bnc#1012628).
- drm/amd/display: Initialize stream_update with memset
(bnc#1012628).
- s390/mm: silence compiler warning when compiling without
CONFIG_PGSTE (bnc#1012628).
- s390: cio: fix cio_irb declaration (bnc#1012628).
- drm/amd/display: use proper formula to calculate bandwidth
from timing (bnc#1012628).
- selftests: cgroup: fix cleanup path in
test_memcg_subtree_control() (bnc#1012628).
- net: hns3: fix keep_alive_timer not stop problem (bnc#1012628).
- qmi_wwan: Add quirk for Quectel dynamic config (bnc#1012628).
- net: hns3: add error handler for initializing command queue
(bnc#1012628).
- cpufreq: ppc_cbe: fix possible object reference leak
(bnc#1012628).
- cpufreq/pasemi: fix possible object reference leak
(bnc#1012628).
- cpufreq: pmac32: fix possible object reference leak
(bnc#1012628).
- cpufreq: kirkwood: fix possible object reference leak
(bnc#1012628).
- cpufreq: imx6q: fix possible object reference leak
(bnc#1012628).
- cpufreq: ap806: fix possible object reference leak
(bnc#1012628).
- block: sed-opal: fix IOC_OPAL_ENABLE_DISABLE_MBR (bnc#1012628).
- habanalabs: prevent device PTE read/write during hard-reset
(bnc#1012628).
- habanalabs: all FD must be closed before removing device
(bnc#1012628).
- samples/bpf: fix build with new clang (bnc#1012628).
- x86/build: Keep local relocations with ld.lld (bnc#1012628).
- spi: Don't call spi_get_gpio_descs() before device name is set
(bnc#1012628).
- regulator: core: Avoid potential deadlock on
regulator_unregister (bnc#1012628).
- ASoC: core: remove link components before cleaning up card
resources (bnc#1012628).
- drm/pl111: fix possible object reference leak (bnc#1012628).
- iio: ad_sigma_delta: Properly handle SPI bus locking vs CS
assertion (bnc#1012628).
- iio: hmc5843: fix potential NULL pointer dereferences
(bnc#1012628).
- iio: common: ssp_sensors: Initialize calculated_time in
ssp_common_process_data (bnc#1012628).
- iio: adc: ti-ads7950: Fix improper use of mlock (bnc#1012628).
- net: hns3: check resetting status in hns3_get_stats()
(bnc#1012628).
- net: hns3: add protect when handling mac addr list
(bnc#1012628).
- selftests/bpf: ksym_search won't check symbols exists
(bnc#1012628).
- rtlwifi: fix a potential NULL pointer dereference (bnc#1012628).
- mwifiex: Fix mem leak in mwifiex_tm_cmd (bnc#1012628).
- brcmfmac: fix missing checks for kmemdup (bnc#1012628).
- b43: shut up clang -Wuninitialized variable warning
(bnc#1012628).
- brcmfmac: convert dev_init_lock mutex to completion
(bnc#1012628).
- brcmfmac: fix WARNING during USB disconnect in case of unempty
psq (bnc#1012628).
- brcmfmac: fix race during disconnect when USB completion is
in progress (bnc#1012628).
- brcmfmac: fix Oops when bringing up interface during USB
disconnect (bnc#1012628).
- rtc: xgene: fix possible race condition (bnc#1012628).
- spi: Add missing error handling for CS GPIOs (bnc#1012628).
- rtlwifi: fix potential NULL pointer dereference (bnc#1012628).
- scsi: ufs: Fix regulator load and icc-level configuration
(bnc#1012628).
- scsi: ufs: Avoid configuring regulator with undefined voltage
range (bnc#1012628).
- drm/panel: otm8009a: Add delay at the end of initialization
(bnc#1012628).
- drm/amd/display: Prevent cursor hotspot overflow for RV overlay
planes (bnc#1012628).
- arm64: cpu_ops: fix a leaked reference by adding missing
of_node_put (bnc#1012628).
- locking/static_key: Fix false positive warnings on concurrent
dec/inc (bnc#1012628).
- wil6210: fix return code of wmi_mgmt_tx and wmi_mgmt_tx_ext
(bnc#1012628).
- x86/uaccess, ftrace: Fix ftrace_likely_update() vs. SMAP
(bnc#1012628).
- iwlwifi: mvm: IBSS: use BE FIFO for multicast (bnc#1012628).
- x86/uaccess, signal: Fix AC=1 bloat (bnc#1012628).
- x86/ia32: Fix ia32_restore_sigcontext() AC leak (bnc#1012628).
- x86/uaccess: Fix up the fixup (bnc#1012628).
- chardev: add additional check for minor range overlap
(bnc#1012628).
- RDMA/hns: Fix bad endianess of port_pd variable (bnc#1012628).
- sh: sh7786: Add explicit I/O cast to sh7786_mm_sel()
(bnc#1012628).
- HID: core: move Usage Page concatenation to Main item
(bnc#1012628).
- ASoC: eukrea-tlv320: fix a leaked reference by adding missing
of_node_put (bnc#1012628).
- ASoC: fsl_utils: fix a leaked reference by adding missing
of_node_put (bnc#1012628).
- ASoC: wcd9335: fix a leaked reference by adding missing
of_node_put (bnc#1012628).
- cxgb3/l2t: Fix undefined behaviour (bnc#1012628).
- clk: renesas: rcar-gen3: Correct parent clock of SYS-DMAC
(bnc#1012628).
- block: avoid to break XEN by multi-page bvec (bnc#1012628).
- block: pass page to xen_biovec_phys_mergeable (bnc#1012628).
- clk: renesas: rcar-gen3: Correct parent clock of Audio-DMAC
(bnc#1012628).
- HID: logitech-hidpp: change low battery level threshold from
31 to 30 percent (bnc#1012628).
- spi: tegra114: reset controller on probe (bnc#1012628).
- habanalabs: prevent CPU soft lockup on Palladium (bnc#1012628).
- kobject: Don't trigger kobject_uevent(KOBJ_REMOVE) twice
(bnc#1012628).
- media: video-mux: fix null pointer dereferences (bnc#1012628).
- media: wl128x: prevent two potential buffer overflows
(bnc#1012628).
- media: gspca: Kill URBs on USB device disconnect (bnc#1012628).
- media: mtk-vcodec: fix access to incorrect planes member
(bnc#1012628).
- thunderbolt: property: Fix a missing check of kzalloc
(bnc#1012628).
- thunderbolt: Fix to check the return value of kmemdup
(bnc#1012628).
- drm: rcar-du: lvds: Set LVEN and LVRES bits together on D3
(bnc#1012628).
- drm: rcar-du: lvds: Fix post-DLL divider calculation
(bnc#1012628).
- timekeeping: Force upper bound for setting CLOCK_REALTIME
(bnc#1012628).
- IB/mlx5: Compare only index part of a memory window rkey
(bnc#1012628).
- scsi: qedf: Add missing return in qedf_post_io_req() in the
fcport offload check (bnc#1012628).
- misc: fastrpc: consider address offset before sending to DSP
(bnc#1012628).
- misc: fastrpc: make sure memory read and writes are visible
(bnc#1012628).
- misc: fastrpc: Fix a possible double free (bnc#1012628).
- virtio_console: initialize vtermno value for ports
(bnc#1012628).
- tty: ipwireless: fix missing checks for ioremap (bnc#1012628).
- staging: mt7621-mmc: Initialize completions a single time
during probe (bnc#1012628).
- overflow: Fix -Wtype-limits compilation warnings (bnc#1012628).
- x86/mce: Fix machine_check_poll() tests for error types
(bnc#1012628).
- rcutorture: Fix cleanup path for invalid torture_type strings
(bnc#1012628).
- x86/mce: Handle varying MCA bank counts (bnc#1012628).
- rcuperf: Fix cleanup path for invalid perf_type strings
(bnc#1012628).
- rcu: Do a single rhp->func read in rcu_head_after_call_rcu()
(bnc#1012628).
- x86/platform/uv: Fix missing checks of kcalloc() return values
(bnc#1012628).
- RDMA/rxe: Fix slab-out-bounds access which lead to kernel
crash later (bnc#1012628).
- spi: stm32-qspi: add spi_master_put in release function
(bnc#1012628).
- usb: core: Add PM runtime calls to usb_hcd_platform_shutdown
(bnc#1012628).
- ice: Fix for adaptive interrupt moderation (bnc#1012628).
- scsi: qla4xxx: avoid freeing unallocated dma memory
(bnc#1012628).
- scsi: lpfc: avoid uninitialized variable warning (bnc#1012628).
- media: vicodec: bugfix - call v4l2_m2m_buf_copy_metadata also
if decoding fails (bnc#1012628).
- ice: Prevent unintended multiple chain resets (bnc#1012628).
- selinux: avoid uninitialized variable warning (bnc#1012628).
- batman-adv: allow updating DAT entry timeouts on incoming ARP
Replies (bnc#1012628).
- dmaengine: tegra210-adma: use devm_clk_*() helpers
(bnc#1012628).
- x86/CPU/hygon: Fix phys_proc_id calculation logic for multi-die
processors (bnc#1012628).
- net/mlx5e: Fix compilation warning in en_tc.c (bnc#1012628).
- staging: mt7621-mmc: Check for nonzero number of scatterlist
entries (bnc#1012628).
- hwrng: omap - Set default quality (bnc#1012628).
- thunderbolt: Fix to check return value of ida_simple_get
(bnc#1012628).
- thunderbolt: Fix to check for kmemdup failure (bnc#1012628).
- spi: export tracepoint symbols to modules (bnc#1012628).
- regulator: add regulator_get_linear_step() stub helper
(bnc#1012628).
- drm/amd/display: fix releasing planes when exiting odm
(bnc#1012628).
- drm/amd/display: Link train only when link is DP and backend
is enabled (bnc#1012628).
- drm/amd/display: Update ABM crtc state on non-modeset
(bnc#1012628).
- drm/amd/display: Reset alpha state for planes to the correct
values (bnc#1012628).
- thunderbolt: property: Fix a NULL pointer dereference
(bnc#1012628).
- media: v4l2-fwnode: The first default data lane is 0 on C-PHY
(bnc#1012628).
- media: ov7670: restore default settings after power-up
(bnc#1012628).
- media: staging/intel-ipu3: mark PM function as __maybe_unused
(bnc#1012628).
- media: vicodec: reset last_src/dst_buf based on the IS_OUTPUT
(bnc#1012628).
- ice: Fix issue with VF reset and multiple VFs support on PFs
(bnc#1012628).
- e1000e: Disable runtime PM on CNP+ (bnc#1012628).
- tinydrm/mipi-dbi: Use dma-safe buffers for all SPI transfers
(bnc#1012628).
- igb: Exclude device from suspend direct complete optimization
(bnc#1012628).
- media: si2165: fix a missing check of return value
(bnc#1012628).
- media: dvbsky: Avoid leaking dvb frontend (bnc#1012628).
- media: m88ds3103: serialize reset messages in
m88ds3103_set_frontend (bnc#1012628).
- drm/amd/display: add pipe lock during stream update
(bnc#1012628).
- media: staging: davinci_vpfe: disallow building with
COMPILE_TEST (bnc#1012628).
- drm/amd/display: Fix Divide by 0 in memory calculations
(bnc#1012628).
- drm/amd/display: Re-add custom degamma support (bnc#1012628).
- drm/amd/display: half bandwidth for YCbCr420 during validation
(bnc#1012628).
- drm/amd/display: Set stream->mode_changed when connectors change
(bnc#1012628).
- scsi: ufs: fix a missing check of devm_reset_control_get
(bnc#1012628).
- media: vimc: stream: fix thread state before sleep
(bnc#1012628).
- media: gspca: do not resubmit URBs when streaming has stopped
(bnc#1012628).
- media: vicodec: avoid clang frame size warning (bnc#1012628).
- media: go7007: avoid clang frame overflow warning with KASAN
(bnc#1012628).
- media: mtk-vcodec: fix access to vb2_v4l2_buffer struct
(bnc#1012628).
- media: imx: vdic: Restore default case to
prepare_vdi_in_buffers() (bnc#1012628).
- media: vimc: zero the media_device on probe (bnc#1012628).
- media: vim2m: replace devm_kzalloc by kzalloc (bnc#1012628).
- media: cedrus: Add a quirk for not setting DMA offset
(bnc#1012628).
- scsi: lpfc: Fix FDMI manufacturer attribute value (bnc#1012628).
- scsi: lpfc: Fix fc4type information for FDMI (bnc#1012628).
- scsi: lpfc: Fix io lost on host resets (bnc#1012628).
- media: saa7146: avoid high stack usage with clang (bnc#1012628).
- scsi: lpfc: Fix SLI3 commands being issued on SLI4 devices
(bnc#1012628).
- scsi: lpfc: Fix mailbox hang on adapter init (bnc#1012628).
- scsi: lpfc: Resolve inconsistent check of hdwq in
lpfc_scsi_cmd_iocb_cmpl (bnc#1012628).
- scsi: lpfc: Resolve irq-unsafe lockdep heirarchy warning in
lpfc_io_free (bnc#1012628).
- scsi: lpfc: Fix use-after-free mailbox cmd completion
(bnc#1012628).
- audit: fix a memleak caused by auditing load module
(bnc#1012628).
- spi : spi-topcliff-pch: Fix to handle empty DMA buffers
(bnc#1012628).
- drm: writeback: Fix leak of writeback job (bnc#1012628).
- drm/omap: dsi: Fix PM for display blank with paired dss_pll
calls (bnc#1012628).
- drm/omap: Notify all devices in the pipeline of output
disconnection (bnc#1012628).
- spi: rspi: Fix sequencer reset during initialization
(bnc#1012628).
- regulator: wm831x ldo: Fix notifier mutex lock warning
(bnc#1012628).
- regulator: wm831x isink: Fix notifier mutex lock warning
(bnc#1012628).
- regulator: ltc3676: Fix notifier mutex lock warning
(bnc#1012628).
- regulator: ltc3589: Fix notifier mutex lock warning
(bnc#1012628).
- regulator: pv88060: Fix notifier mutex lock warning
(bnc#1012628).
- spi: imx: stop buffer overflow in RX FIFO flush (bnc#1012628).
- regulator: lp8755: Fix notifier mutex lock warning
(bnc#1012628).
- regulator: da9211: Fix notifier mutex lock warning
(bnc#1012628).
- regulator: da9063: Fix notifier mutex lock warning
(bnc#1012628).
- regulator: pv88080: Fix notifier mutex lock warning
(bnc#1012628).
- regulator: wm831x: Fix notifier mutex lock warning
(bnc#1012628).
- regulator: pv88090: Fix notifier mutex lock warning
(bnc#1012628).
- regulator: da9062: Fix notifier mutex lock warning
(bnc#1012628).
- regulator: da9055: Fix notifier mutex lock warning
(bnc#1012628).
- spi: Fix zero length xfer bug (bnc#1012628).
- ASoC: davinci-mcasp: Fix clang warning without CONFIG_PM
(bnc#1012628).
- ASoC: ti: fix davinci_mcasp_probe dependencies (bnc#1012628).
- drm/v3d: Handle errors from IRQ setup (bnc#1012628).
- drm/amd/display: Fix exception from AUX acquire failure
(bnc#1012628).
- drm/amd/display: Reset planes that were disabled in init_pipes
(bnc#1012628).
- drm/drv: Hold ref on parent device during drm_device lifetime
(bnc#1012628).
- drm: Wake up next in drm_read() chain if we are forced to
putback the event (bnc#1012628).
- drm/sun4i: dsi: Change the start delay calculation
(bnc#1012628).
- drm/sun4i: dsi: Restrict DSI tcon clock divider (bnc#1012628).
- vfio-ccw: Prevent quiesce function going into an infinite loop
(bnc#1012628).
- extcon: axp288: Add a depends on ACPI to the Kconfig entry
(bnc#1012628).
- ice: Put __ICE_PREPARED_FOR_RESET check in ice_prepare_for_reset
(bnc#1012628).
- drm/sun4i: dsi: Enforce boundaries on the start delay
(bnc#1012628).
- NFS: Fix a double unlock from nfs_match,get_client
(bnc#1012628).
- Update config files.
- commit 7375706
-------------------------------------------------------------------
Wed May 29 22:36:56 CEST 2019 - mkubecek@suse.cz
- config: refresh vanilla configs
- commit cbe6c1c
-------------------------------------------------------------------
Wed May 29 21:04:21 CEST 2019 - mkubecek@suse.cz
- reenable ARM architectures
- commit 194828b
-------------------------------------------------------------------
Wed May 29 21:03:47 CEST 2019 - mkubecek@suse.cz
- refresh configs after Tumbleweed switch to gcc9 as default
- commit 3b7ae7e
-------------------------------------------------------------------
Wed May 29 12:14:39 CEST 2019 - yousaf.kaukab@suse.com
- config: armv7hl: lpae: Update to v5.2.0-rc2
- commit 38ac345
-------------------------------------------------------------------
Wed May 29 12:12:44 CEST 2019 - yousaf.kaukab@suse.com
- config: armv7hl: Update to v5.2.0-rc2
- commit 737b08e
-------------------------------------------------------------------
Wed May 29 12:10:57 CEST 2019 - yousaf.kaukab@suse.com
- config: armv6hl: Update to v5.2.0-rc2
- commit c7bc712
-------------------------------------------------------------------
Tue May 28 15:25:47 CEST 2019 - yousaf.kaukab@suse.com
- config: arm64: Update to v5.2.0-rc2
- commit 18d0586
-------------------------------------------------------------------
Mon May 27 09:14:33 CEST 2019 - jslaby@suse.cz
- Update config files.
- commit 6ad4f79
-------------------------------------------------------------------
Mon May 27 07:39:13 CEST 2019 - mkubecek@suse.cz
- Update to 5.2-rc2
- Eliminated 4 patches
- patches.suse/dm-make-sure-to-obey-max_io_len_target_boundary.patch
- patches.suse/kvm-make-kvm_vcpu_-un-map-dependency-on-CONFIG_HAS_I.patch
- patches.suse/vfio_pci-Add-local-source-directory-as-include.patch
- patches.suse/x86-kvm-pmu-Set-AMD-s-virt-PMU-version-to-1.patch
- add s390x/zfcpdump build fix
- patches.suse/kvm-memunmap-also-needs-HAS_IOMEM.patch
- commit b02c459
-------------------------------------------------------------------
Sun May 26 10:57:36 CEST 2019 - jslaby@suse.cz
- Linux 5.1.5 (bnc#1012628).
- ipv6: fix src addr routing with the exception table
(bnc#1012628).
- ipv6: prevent possible fib6 leaks (bnc#1012628).
- net: Always descend into dsa/ (bnc#1012628).
- net: avoid weird emergency message (bnc#1012628).
- net/mlx4_core: Change the error print to info print
(bnc#1012628).
- net: test nouarg before dereferencing zerocopy pointers
(bnc#1012628).
- net: usb: qmi_wwan: add Telit 0x1260 and 0x1261 compositions
(bnc#1012628).
- nfp: flower: add rcu locks when accessing netdev for tunnels
(bnc#1012628).
- ppp: deflate: Fix possible crash in deflate_init (bnc#1012628).
- rtnetlink: always put IFLA_LINK for links with a link-netnsid
(bnc#1012628).
- tipc: switch order of device registration to fix a crash
(bnc#1012628).
- vsock/virtio: free packets during the socket release
(bnc#1012628).
- tipc: fix modprobe tipc failed after switch order of device
registration (bnc#1012628).
- mlxsw: core: Prevent QSFP module initialization for old hardware
(bnc#1012628).
- mlxsw: core: Prevent reading unsupported slave address from
SFP EEPROM (bnc#1012628).
- flow_offload: support CVLAN match (bnc#1012628).
- net/mlx5e: Fix calling wrong function to get inner vlan key
and mask (bnc#1012628).
- net/mlx5: Fix peer pf disable hca command (bnc#1012628).
- vsock/virtio: Initialize core virtio vsock before registering
the driver (bnc#1012628).
- net/mlx5e: Add missing ethtool driver info for representors
(bnc#1012628).
- net/mlx5e: Additional check for flow destination comparison
(bnc#1012628).
- net/mlx5: Imply MLXFW in mlx5_core (bnc#1012628).
- net/mlx5e: Fix ethtool rxfh commands when CONFIG_MLX5_EN_RXNFC
is disabled (bnc#1012628).
- blk-mq: free hw queue's resource in hctx's release handler
(bnc#1012628).
- regulator: core: fix error path for
regulator_set_voltage_unlocked (bnc#1012628).
- parisc: Export running_on_qemu symbol for modules (bnc#1012628).
- parisc: Add memory clobber to TLB purges (bnc#1012628).
- parisc: Skip registering LED when running in QEMU (bnc#1012628).
- parisc: Add memory barrier to asm pdc and sync instructions
(bnc#1012628).
- parisc: Allow live-patching of __meminit functions
(bnc#1012628).
- parisc: Use PA_ASM_LEVEL in boot code (bnc#1012628).
- parisc: Rename LEVEL to PA_ASM_LEVEL to avoid name clash with
DRBD code (bnc#1012628).
- stm class: Fix channel free in stm output free path
(bnc#1012628).
- stm class: Fix channel bitmap on 32-bit systems (bnc#1012628).
- brd: re-enable __GFP_HIGHMEM in brd_insert_page() (bnc#1012628).
- proc: prevent changes to overridden credentials (bnc#1012628).
- Revert "MD: fix lock contention for flush bios" (bnc#1012628).
- md: batch flush requests (bnc#1012628).
- md: add mddev->pers to avoid potential NULL pointer dereference
(bnc#1012628).
- md: add a missing endianness conversion in check_sb_changes
(bnc#1012628).
- dcache: sort the freeing-without-RCU-delay mess for good
(bnc#1012628).
- intel_th: msu: Fix single mode with IOMMU (bnc#1012628).
- p54: drop device reference count if fails to enable device
(bnc#1012628).
- of: fix clang -Wunsequenced for be32_to_cpu() (bnc#1012628).
- brcmfmac: Add DMI nvram filename quirk for ACEPC T8 and T11
mini PCs (bnc#1012628).
- cifs: fix credits leak for SMB1 oplock breaks (bnc#1012628).
- cifs: fix strcat buffer overflow and reduce raciness in
smb21_set_oplock_level() (bnc#1012628).
- phy: ti-pipe3: fix missing bit-wise or operator when assigning
val (bnc#1012628).
- media: ov6650: Fix sensor possibly not detected on probe
(bnc#1012628).
- media: seco-cec: fix building with RC_CORE=m (bnc#1012628).
- media: imx: csi: Allow unknown nearest upstream entities
(bnc#1012628).
- media: imx: Clear fwnode link struct for each endpoint iteration
(bnc#1012628).
- media: imx: Rename functions that add IPU-internal subdevs
(bnc#1012628).
- media: imx: Don't register IPU subdevs/links if CSI port missing
(bnc#1012628).
- RDMA/mlx5: Use get_zeroed_page() for clock_info (bnc#1012628).
- RDMA/ipoib: Allow user space differentiate between valid
dev_port (bnc#1012628).
- NFS4: Fix v4.0 client state corruption when mount (bnc#1012628).
- PNFS fallback to MDS if no deviceid found (bnc#1012628).
- clk: hi3660: Mark clk_gate_ufs_subsys as critical (bnc#1012628).
- clk: tegra: Fix PLLM programming on Tegra124+ when PMC overrides
divider (bnc#1012628).
- clk: mediatek: Disable tuner_en before change PLL rate
(bnc#1012628).
- clk: rockchip: fix wrong clock definitions for rk3328
(bnc#1012628).
- udlfb: delete the unused parameter for dlfb_handle_damage
(bnc#1012628).
- udlfb: fix sleeping inside spinlock (bnc#1012628).
- udlfb: introduce a rendering mutex (bnc#1012628).
- fuse: fix writepages on 32bit (bnc#1012628).
- fuse: honor RLIMIT_FSIZE in fuse_file_fallocate (bnc#1012628).
- ovl: fix missing upper fs freeze protection on copy up for ioctl
(bnc#1012628).
- fsnotify: fix unlink performance regression (bnc#1012628).
- gcc-plugins: arm_ssp_per_task_plugin: Fix for older GCC < 6
(bnc#1012628).
- iommu/tegra-smmu: Fix invalid ASID bits on Tegra30/114
(bnc#1012628).
- ceph: flush dirty inodes before proceeding with remount
(bnc#1012628).
- x86_64: Add gap to int3 to allow for call emulation
(bnc#1012628).
- x86_64: Allow breakpoints to emulate call instructions
(bnc#1012628).
- ftrace/x86_64: Emulate call function while updating in
breakpoint handler (bnc#1012628).
- tracing: Fix partial reading of trace event's id file
(bnc#1012628).
- tracing: probeevent: Fix to make the type of $comm string
(bnc#1012628).
- memory: tegra: Fix integer overflow on tick value calculation
(bnc#1012628).
- perf intel-pt: Fix instructions sampling rate (bnc#1012628).
- perf intel-pt: Fix improved sample timestamp (bnc#1012628).
- perf intel-pt: Fix sample timestamp wrt non-taken branches
(bnc#1012628).
- MIPS: perf: Fix build with CONFIG_CPU_BMIPS5000 enabled
(bnc#1012628).
- objtool: Allow AR to be overridden with HOSTAR (bnc#1012628).
- x86/mpx, mm/core: Fix recursive munmap() corruption
(bnc#1012628).
- fbdev/efifb: Ignore framebuffer memmap entries that lack any
memory types (bnc#1012628).
- fbdev: sm712fb: fix brightness control on reboot, don't set SR30
(bnc#1012628).
- fbdev: sm712fb: fix VRAM detection, don't set SR70/71/74/75
(bnc#1012628).
- fbdev: sm712fb: fix white screen of death on reboot, don't
set CR3B-CR3F (bnc#1012628).
- fbdev: sm712fb: fix boot screen glitch when sm712fb replaces
VGA (bnc#1012628).
- fbdev: sm712fb: fix crashes during framebuffer writes by
correctly mapping VRAM (bnc#1012628).
- fbdev: sm712fb: fix support for 1024x768-16 mode (bnc#1012628).
- fbdev: sm712fb: use 1024x768 by default on non-MIPS, fix
garbled display (bnc#1012628).
- fbdev: sm712fb: fix crashes and garbled display during DPMS
modesetting (bnc#1012628).
- PCI: Mark AMD Stoney Radeon R7 GPU ATS as broken (bnc#1012628).
- PCI: Mark Atheros AR9462 to avoid bus reset (bnc#1012628).
- PCI: Reset Lenovo ThinkPad P50 nvgpu at boot if necessary
(bnc#1012628).
- PCI: Init PCIe feature bits for managed host bridge alloc
(bnc#1012628).
- PCI/AER: Change pci_aer_init() stub to return void
(bnc#1012628).
- PCI: rcar: Add the initialization of PCIe link in resume_noirq()
(bnc#1012628).
- PCI: Factor out pcie_retrain_link() function (bnc#1012628).
- PCI: Work around Pericom PCIe-to-PCI bridge Retrain Link erratum
(bnc#1012628).
- dm cache metadata: Fix loading discard bitset (bnc#1012628).
- dm zoned: Fix zone report handling (bnc#1012628).
- dm init: fix max devices/targets checks (bnc#1012628).
- dm delay: fix a crash when invalid device is specified
(bnc#1012628).
- dm crypt: move detailed message into debug level (bnc#1012628).
- dm integrity: correctly calculate the size of metadata area
(bnc#1012628).
- dm ioctl: fix hang in early create error condition
(bnc#1012628).
- dm mpath: always free attached_handler_name in parse_path()
(bnc#1012628).
- fuse: Add FOPEN_STREAM to use stream_open() (bnc#1012628).
- md/raid: raid5 preserve the writeback action after the parity
check (bnc#1012628).
- dmaengine: imx-sdma: Only check ratio on parts that support 1:1
(bnc#1012628).
- driver core: Postpone DMA tear-down until after devres release
for probe failure (bnc#1012628).
- bpf: relax inode permission check for retrieving bpf program
(bnc#1012628).
- bpf: add map_lookup_elem_sys_only for lookups from syscall side
(bnc#1012628).
- bpf, lru: avoid messing with eviction heuristics upon syscall
lookup (bnc#1012628).
- y2038: Make CONFIG_64BIT_TIME unconditional (bnc#1012628).
- btrfs: reloc: Fix NULL pointer dereference due to expanded
reloc_root lifespan (bnc#1012628).
- ARM: dts: imx6q-logicpd: Reduce inrush current on USBH1
(bnc#1012628).
- ARM: dts: imx6q-logicpd: Reduce inrush current on start
(bnc#1012628).
- fbdev: sm712fb: fix memory frequency by avoiding a switch/case
fallthrough (bnc#1012628).
- Update config files.
- commit bde30e1
-------------------------------------------------------------------
Thu May 23 18:08:50 CEST 2019 - tiwai@suse.de
- Bluetooth: Check key sizes only when Secure Simple Pairing is
enabled (bsc#1135556).
- commit ed1f493
-------------------------------------------------------------------
Wed May 22 18:56:35 CEST 2019 - msuchanek@suse.de
- Delete patches.suse/Revert-Bluetooth-btusb-driver-to-enable-the-usb-wake.patch (boo#1130448).
Should be fixed in 5.1-rc5
commit 771acc7e4a6e5dba779cb1a7fd851a164bc81033
Author: Brian Norris <briannorris@chromium.org>
Date: Tue Apr 9 11:49:17 2019 -0700
Bluetooth: btusb: request wake pin with NOAUTOEN
- commit 817786b
-------------------------------------------------------------------
Wed May 22 13:11:40 CEST 2019 - jslaby@suse.cz
- Update config files.
- commit 0739fa4
-------------------------------------------------------------------
Wed May 22 09:23:31 CEST 2019 - jslaby@suse.cz
- dm: make sure to obey max_io_len_target_boundary (bnc#1135868).
- commit dbeb07c
-------------------------------------------------------------------
Wed May 22 08:01:07 CEST 2019 - jslaby@suse.cz
- Linux 5.1.4 (bnc#1012628).
- s390/mm: convert to the generic get_user_pages_fast code
(bnc#1012628).
- s390/mm: make the pxd_offset functions more robust
(bnc#1012628).
- libnvdimm/namespace: Fix label tracking error (bnc#1012628).
- powerpc/32s: fix flush_hash_pages() on SMP (bnc#1012628).
- xen/pvh: correctly setup the PV EFI interface for dom0
(bnc#1012628).
- xen/pvh: set xen_domain_type to HVM in xen_pvh_init
(bnc#1012628).
- kbuild: turn auto.conf.cmd into a mandatory include file
(bnc#1012628).
- smb3: display session id in debug data (bnc#1012628).
- KVM: lapic: Busy wait for timer to expire when using hv_timer
(bnc#1012628).
- KVM: x86: Skip EFER vs. guest CPUID checks for host-initiated
writes (bnc#1012628).
- KVM: Fix the bitmap range to copy during clear dirty
(bnc#1012628).
- Revert "KVM: nVMX: Expose RDPMC-exiting only when guest supports
PMU" (bnc#1012628).
- jbd2: fix potential double free (bnc#1012628).
- ALSA: hda/realtek - Fix for Lenovo B50-70 inverted internal
microphone bug (bnc#1012628).
- ALSA: hda/realtek - Fixup headphone noise via runtime suspend
(bnc#1012628).
- ALSA: hda/realtek - Corrected fixup for System76 Gazelle
(gaze14) (bnc#1012628).
- ext4: avoid panic during forced reboot due to aborted journal
(bnc#1012628).
- ext4: fix use-after-free in dx_release() (bnc#1012628).
- ext4: fix data corruption caused by overlapping unaligned and
aligned IO (bnc#1012628).
- ext4: zero out the unused memory region in the extent tree block
(bnc#1012628).
- tty: Don't force RISCV SBI console as preferred console
(bnc#1012628).
- fs/writeback.c: use rcu_barrier() to wait for inflight wb
switches going into workqueue when umount (bnc#1012628).
- mm/compaction.c: correct zone boundary handling when isolating
pages from a pageblock (bnc#1012628).
- ARM: dts: imx: Fix the AR803X phy-mode (bnc#1012628).
- ipmi:ssif: compare block number correctly for multi-part return
messages (bnc#1012628).
- ipmi: Add the i2c-addr property for SSIF interfaces
(bnc#1012628).
- bcache: never set KEY_PTRS of journal key to 0 in
journal_reclaim() (bnc#1012628).
- bcache: fix a race between cache register and cacheset
unregister (bnc#1012628).
- Btrfs: fix race between send and deduplication that lead to
failures and crashes (bnc#1012628).
- Btrfs: do not start a transaction at iterate_extent_inodes()
(bnc#1012628).
- Btrfs: do not start a transaction during fiemap (bnc#1012628).
- Btrfs: send, flush dellaloc in order to avoid data loss
(bnc#1012628).
- btrfs: Honour FITRIM range constraints during free space trim
(bnc#1012628).
- btrfs: Correctly free extent buffer in case
btree_read_extent_buffer_pages fails (bnc#1012628).
- btrfs: Check the first key and level for cached extent buffer
(bnc#1012628).
- ext4: fix ext4_show_options for file systems w/o journal
(bnc#1012628).
- ext4: actually request zeroing of inode table after grow
(bnc#1012628).
- ext4: fix use-after-free race with debug_want_extra_isize
(bnc#1012628).
- ext4: avoid drop reference to iloc.bh twice (bnc#1012628).
- ext4: ignore e_value_offs for xattrs with value-in-ea-inode
(bnc#1012628).
- ext4: make sanity check in mballoc more strict (bnc#1012628).
- jbd2: check superblock mapped prior to committing (bnc#1012628).
- tty/vt: fix write/write race in ioctl(KDSKBSENT) handler
(bnc#1012628).
- tty: vt.c: Fix TIOCL_BLANKSCREEN console blanking if
blankinterval == 0 (bnc#1012628).
- mtd: maps: Allow MTD_PHYSMAP with MTD_RAM (bnc#1012628).
- mtd: maps: physmap: Store gpio_values correctly (bnc#1012628).
- mtd: spi-nor: intel-spi: Avoid crossing 4K address boundary
on read/write (bnc#1012628).
- mfd: max77620: Fix swapped FPS_PERIOD_MAX_US values
(bnc#1012628).
- mfd: da9063: Fix OTP control register names to match datasheets
for DA9063/63L (bnc#1012628).
- ACPICA: Linux: move ACPI_DEBUG_DEFAULT flag out of ifndef
(bnc#1012628).
- ACPI: PM: Set enable_for_wake for wakeup GPEs during
suspend-to-idle (bnc#1012628).
- userfaultfd: use RCU to free the task struct when fork fails
(bnc#1012628).
- ocfs2: fix ocfs2 read inode data panic in ocfs2_iget
(bnc#1012628).
- hugetlb: use same fault hash key for shared and private mappings
(bnc#1012628).
- mm/hugetlb.c: don't put_page in lock of hugetlb_lock
(bnc#1012628).
- mm/huge_memory: fix vmf_insert_pfn_{pmd, pud}() crash, handle
unaligned addresses (bnc#1012628).
- mm/mincore.c: make mincore() more conservative (bnc#1012628).
- crypto: ccree - handle tee fips error during power management
resume (bnc#1012628).
- crypto: ccree - add function to handle cryptocell tee fips error
(bnc#1012628).
- crypto: ccree - HOST_POWER_DOWN_EN should be the last CC access
during suspend (bnc#1012628).
- crypto: ccree - pm resume first enable the source clk
(bnc#1012628).
- crypto: ccree - don't map AEAD key and IV on stack
(bnc#1012628).
- crypto: ccree - use correct internal state sizes for export
(bnc#1012628).
- crypto: ccree - don't map MAC key on stack (bnc#1012628).
- crypto: ccree - fix mem leak on error path (bnc#1012628).
- crypto: ccree - remove special handling of chained sg
(bnc#1012628).
- bpf: fix out of bounds backwards jmps due to dead code removal
(bnc#1012628).
- bpf, arm64: remove prefetch insn in xadd mapping (bnc#1012628).
- ASoC: codec: hdac_hdmi add device_link to card device
(bnc#1012628).
- ASoC: fsl_esai: Fix missing break in switch statement
(bnc#1012628).
- ASoC: RT5677-SPI: Disable 16Bit SPI Transfers (bnc#1012628).
- ASoC: max98090: Fix restore of DAPM Muxes (bnc#1012628).
- ALSA: hdea/realtek - Headset fixup for System76 Gazelle (gaze14)
(bnc#1012628).
- ALSA: hda/realtek - EAPD turn on later (bnc#1012628).
- ALSA: hda/hdmi - Consider eld_valid when reporting jack event
(bnc#1012628).
- ALSA: hda/hdmi - Read the pin sense from register when repolling
(bnc#1012628).
- ALSA: usb-audio: Fix a memory leak bug (bnc#1012628).
- ALSA: line6: toneport: Fix broken usage of timer for delayed
execution (bnc#1012628).
- mmc: sdhci-pci: Fix BYT OCP setting (bnc#1012628).
- mmc: core: Fix tag set memory leak (bnc#1012628).
- mmc: tegra: fix ddr signaling for non-ddr modes (bnc#1012628).
- dt-bindings: mmc: Add disable-cqe-dcmd property (bnc#1012628).
- drivers/dax: Allow to include DEV_DAX_PMEM as builtin
(bnc#1012628).
- crypto: arm64/aes-neonbs - don't access already-freed walk.iv
(bnc#1012628).
- crypto: arm/aes-neonbs - don't access already-freed walk.iv
(bnc#1012628).
- crypto: caam/qi2 - generate hash keys in-place (bnc#1012628).
- crypto: caam/qi2 - fix DMA mapping of stack memory
(bnc#1012628).
- crypto: caam/qi2 - fix zero-length buffer DMA mapping
(bnc#1012628).
- crypto: rockchip - update IV buffer to contain the next IV
(bnc#1012628).
- crypto: gcm - fix incompatibility between "gcm" and "gcm_base"
(bnc#1012628).
- crypto: arm64/gcm-aes-ce - fix no-NEON fallback code
(bnc#1012628).
- crypto: x86/crct10dif-pcl - fix use via crypto_shash_digest()
(bnc#1012628).
- crypto: crct10dif-generic - fix use via crypto_shash_digest()
(bnc#1012628).
- crypto: skcipher - don't WARN on unprocessed data after slow
walk step (bnc#1012628).
- crypto: vmx - fix copy-paste error in CTR mode (bnc#1012628).
- crypto: ccp - Do not free psp_master when PLATFORM_INIT fails
(bnc#1012628).
- crypto: ccm - fix incompatibility between "ccm" and "ccm_base"
(bnc#1012628).
- crypto: chacha20poly1305 - set cra_name correctly (bnc#1012628).
- crypto: chacha-generic - fix use as arm64 no-NEON fallback
(bnc#1012628).
- crypto: lrw - don't access already-freed walk.iv (bnc#1012628).
- crypto: salsa20 - don't access already-freed walk.iv
(bnc#1012628).
- crypto: crypto4xx - fix cfb and ofb "overran dst buffer" issues
(bnc#1012628).
- crypto: crypto4xx - fix ctr-aes missing output IV (bnc#1012628).
- x86/MCE/AMD: Don't report L1 BTB MCA errors on some family
17h models (bnc#1012628).
- x86/MCE: Add an MCE-record filtering function (bnc#1012628).
- sched/x86: Save [ER]FLAGS on context switch (bnc#1012628).
- arm64: Save and restore OSDLR_EL1 across suspend/resume
(bnc#1012628).
- arm64: Clear OSDLR_EL1 on CPU boot (bnc#1012628).
- arm64: compat: Reduce address limit (bnc#1012628).
- arm64: arch_timer: Ensure counter register reads occur with
seqlock held (bnc#1012628).
- arm64: mmap: Ensure file offset is treated as unsigned
(bnc#1012628).
- power: supply: axp288_fuel_gauge: Add ACEPC T8 and T11 mini
PCs to the blacklist (bnc#1012628).
- power: supply: axp288_charger: Fix unchecked return value
(bnc#1012628).
- ARM: exynos: Fix a leaked reference by adding missing
of_node_put (bnc#1012628).
- mmc: sdhci-of-arasan: Add DTS property to disable DCMDs
(bnc#1012628).
- ARM: dts: exynos: Fix audio (microphone) routing on Odroid XU3
(bnc#1012628).
- ARM: dts: exynos: Fix audio routing on Odroid XU3 (bnc#1012628).
- ARM: dts: exynos: Fix interrupt for shared EINTs on Exynos5260
(bnc#1012628).
- ARM: dts: qcom: ipq4019: enlarge PCIe BAR range (bnc#1012628).
- arm64: dts: rockchip: Disable DCMDs on RK3399's eMMC controller
(bnc#1012628).
- arm64: dts: rockchip: fix IO domain voltage setting of APIO5
on rockpro64 (bnc#1012628).
- objtool: Fix function fallthrough detection (bnc#1012628).
- x86/speculation/mds: Improve CPU buffer clear documentation
(bnc#1012628).
- x86/speculation/mds: Revert CPU buffer clear on double fault
exit (bnc#1012628).
- locking/rwsem: Prevent decrement of reader count before
increment (bnc#1012628).
- commit 047096a
-------------------------------------------------------------------
Tue May 21 07:25:27 CEST 2019 - jslaby@suse.cz
- TTY: serial_core, add ->install (bnc#1129693).
- commit da4e6dd
-------------------------------------------------------------------
Mon May 20 17:23:52 CEST 2019 - mkubecek@suse.cz
- kvm: make kvm_vcpu_(un)map dependency on CONFIG_HAS_IOMEM
explicit.
Fixes build of s390x/zfcpdump.
- commit b33dbfc
-------------------------------------------------------------------
Mon May 20 14:27:33 CEST 2019 - mkubecek@suse.cz
- config: refresh vanilla configs
- commit 4c41263
-------------------------------------------------------------------
Mon May 20 12:53:46 CEST 2019 - mkubecek@suse.cz
- net: phy: rename Asix Electronics PHY driver.
Fix duplicate module name asix by renaming phy driver to ax88796b.
- Update config files.
- commit df18320
-------------------------------------------------------------------
Mon May 20 12:52:22 CEST 2019 - mkubecek@suse.cz
- mlx5: avoid 64-bit division.
Fix i386 build.
- commit 89c5a47
-------------------------------------------------------------------
Mon May 20 08:34:00 CEST 2019 - mkubecek@suse.cz
- Update to 5.2-rc1
- Eliminated 106 patches (105 stable, 1 other)
- ARM configs need update
- Refresh
patches.rpmify/scripts-mkmakefile-honor-second-argument.patch
patches.suse/dm-mpath-leastpending-path-update
patches.suse/supported-flag
patches.suse/supported-flag-external
patches.suse/vfs-add-super_operations-get_inode_dev
- New config options:
- General setup
- IKHEADERS_PROC=n
- SHUFFLE_PAGE_ALLOCATOR=y
- Security
- SECURITY_TOMOYO_INSECURE_BUILTIN_SETTING=n
- Filesystems
- UNICODE=y
- UNICODE_NORMALIZATION_SELFTEST=n
- Crypto
- CRYPTO_ECRDSA=m
- Networking
- BATMAN_ADV_SYSFS=y
- BT_MTKSDIO=m
- XILINX_LL_TEMAC=m
- MT7615E=m
- RTW88=m
- RTW88_8822BE=y
- RTW88_8822CE=y
- RTW88_DEBUG=n
- RTW88_DEBUGFS=n
- INFINIBAND_EFA=m
- Storage
- DM_DUST=m
- MTD drivers
- MTD_RAW_NAND=m
- MTD_NAND_ECC_SW_BCH=y
- MTD_NAND_ECC_SW_HAMMING_SMC=n
- Input
- KEYBOARD_QT1050=m
- TOUCHSCREEN_IQS5XX=m
- INPUT_GPIO_VIBRA=n
- INPUT_REGULATOR_HAPTIC=m
- HID_MACALLY=m
- HID_U2FZERO=m
- INPUT_MAX77650_ONKEY=m
- Serial
- NULL_TTY=m
- SERIAL_SIFIVE=m
- Power management
- CHARGER_MANAGER=y
- CHARGER_LT3651=m
- CHARGER_UCS1002=m
- SENSORS_IR38064=m
- SENSORS_ISL68137=m
- SENSORS_LTC2978_REGULATOR=y
- THERMAL_MMIO=m
- MFD_MAX77650=m
- MFD_STMFX=m
- REGULATOR_DEBUG=n
- REGULATOR_FIXED_VOLTAGE=m
- REGULATOR_VIRTUAL_CONSUMER=m
- REGULATOR_USERSPACE_CONSUMER=m
- REGULATOR_88PG86X=m
- REGULATOR_ACT8865=m
- REGULATOR_AD5398=m
- REGULATOR_AXP20X=m
- REGULATOR_DA9062=m
- REGULATOR_DA9210=m
- REGULATOR_DA9211=m
- REGULATOR_FAN53555=m
- REGULATOR_GPIO=m
- REGULATOR_ISL9305=m
- REGULATOR_ISL6271A=m
- REGULATOR_LM363X=m
- REGULATOR_LP3971=m
- REGULATOR_LP3972=m
- REGULATOR_LP872X=m
- REGULATOR_LP8755=m
- REGULATOR_LTC3589=m
- REGULATOR_LTC3676=m
- REGULATOR_MAX1586=m
- REGULATOR_MAX77650=m
- REGULATOR_MAX8649=m
- REGULATOR_MAX8660=m
- REGULATOR_MAX8907=m
- REGULATOR_MAX8952=m
- REGULATOR_MAX8973=m
- REGULATOR_MCP16502=m
- REGULATOR_MT6311=m
- REGULATOR_PFUZE100=m
- REGULATOR_PV88060=m
- REGULATOR_PV88080=m
- REGULATOR_PV88090=m
- REGULATOR_PWM=m
- REGULATOR_QCOM_SPMI=m
- REGULATOR_SY8106A=m
- REGULATOR_TPS51632=m
- REGULATOR_TPS62360=m
- REGULATOR_TPS65023=m
- REGULATOR_TPS6507X=m
- REGULATOR_TPS65132=m
- REGULATOR_TPS6524X=m
- REGULATOR_VCTRL=m
- CHARGER_MAX77650=m
- Media
- MEDIA_CONTROLLER_REQUEST_API=y
- VIDEO_V4L2_SUBDEV_API=y
- V4L2_FLASH_LED_CLASS=m
- VIDEO_COBALT=n
- VIDEO_IPU3_CIO2=m
- VIDEO_CADENCE_CSI2RX=m
- VIDEO_CADENCE_CSI2TX=m
- VIDEO_MUX=m
- VIDEO_XILINX=m
- VIDEO_XILINX_TPG=m
- VIDEO_VIMC=m
- VIDEO_IPU3_IMGU=m
- DRM
- NOUVEAU_LEGACY_CTX_SUPPORT=n
- DRM_PANEL_FEIYANG_FY07024DI26A30D=n
- DRM_PANEL_ROCKTECH_JH057N00900=n
- DRM_PANEL_RONBO_RB070D30=n
- Sound
- SND_SOC_FSL_AUDMIX=n
- SND_SOC_SOF_TOPLEVEL=y
- SND_SOC_SOF_PCI=m
- SND_SOC_SOF_ACPI=m
- SND_SOC_SOF_NOCODEC=n
- SND_SOC_SOF_STRICT_ABI_CHECKS=n
- SND_SOC_SOF_DEBUG=n
- SND_SOC_SOF_INTEL_TOPLEVEL=y
- SND_SOC_SOF_BAYTRAIL_SUPPORT=y
- SND_SOC_SOF_BROADWELL_SUPPORT=y
- SND_SOC_SOF_MERRIFIELD_SUPPORT=y
- SND_SOC_SOF_APOLLOLAKE_SUPPORT=y
- SND_SOC_SOF_GEMINILAKE_SUPPORT=y
- SND_SOC_SOF_CANNONLAKE_SUPPORT=y
- SND_SOC_SOF_COFFEELAKE_SUPPORT=y
- SND_SOC_SOF_ICELAKE_SUPPORT=y
- SND_SOC_SOF_HDA_LINK=y
- SND_SOC_SOF_HDA_AUDIO_CODEC=y
- SND_SOC_INTEL_SOF_RT5682_MACH=m
- USB
- TYPEC_NVIDIA_ALTMODE=m
- LEDS
- LEDS_LM3532=m
- LEDS_REGULATOR=m
- LEDS_MAX77650=m
- Platform
- CROS_EC_RPMSG=m
- CROS_USBPD_LOGGER=m
- CLK_SIFIVE=n
- IXP4XX_QMGR=n
- IXP4XX_NPE=m
- IIO
- CC10001_ADC=n
- TI_ADS8344=n
- FXAS21002C=n
- MB1232=n
- MAX31856=m
- Misc drivers
- I2C_AMD_MP2=m
- PINCTRL_STMFX=n
- FIELDBUS_DEV=n
- KPC2000=n
- NVMEM_SYSFS=y
- COUNTER=n
- GPIO_MAX77650=m
- Library
- PACKING=n
- Debugging and testing
- DEBUG_INFO_BTF=n
- DEBUG_MISC=n
- DEBUG_PLIST=n
- TEST_STRSCPY=n
- x86
- ACPI_HMAT=y
- INTEL_CHT_INT33FE=m
- INTEL_ISH_FIRMWARE_DOWNLOADER=m
- i386
- MEMORY_HOTPLUG=y
- MEMORY_HOTPLUG_DEFAULT_ONLINE=n
- MEMORY_HOTREMOVE
- XEN_BALLOON_MEMORY_HOTPLUG=y
- XEN_BALLOON_MEMORY_HOTPLUG_LIMIT=4
- DEV_DAX_KMEM=m
- MEMORY_NOTIFIER_ERROR_INJECT=m
- ACPI_HOTPLUG_MEMORY=y
- ppc64 / ppc64le
- PPC_KUEP=y
- PPC_KUAP=y
- PPC_KUAP_DEBUG=n
- MTD_NAND_DENALI_PCI=m
- MTD_NAND_CAFE=m
- MTD_NAND_GPIO=m
- MTD_NAND_PLATFORM=m
- MTD_NAND_NANDSIM=m
- MTD_NAND_RICOH=m
- MTD_NAND_DISKONCHIP=m
- MTD_NAND_DISKONCHIP_PROBE_ADVANCED=n
- MTD_NAND_DISKONCHIP_BBTWRITE=n
- INTEGRITY_PLATFORM_KEYRING=y
- OPTIMIZE_INLINING=y
- XMON_DEFAULT_RO_MODE=y
- s390x
- KEXEC_VERIFY_SIG=n
- RELOCATABLE=y
- RANDOMIZE_BASE=y
- PROTECTED_VIRTUALIZATION_GUEST=y
- LCD_CLASS_DEVICE=n
- BACKLIGHT_CLASS_DEVICE=n
- INTEGRITY_PLATFORM_KEYRING=y
- OPTIMIZE_INLINING=n
- commit c8b1101
-------------------------------------------------------------------
Fri May 17 13:37:31 CEST 2019 - jslaby@suse.cz
- Refresh
patches.suse/memcg-make-it-work-on-sparse-non-0-node-systems.patch.
Update to a solution proposed by upstream.
- commit 53906c9
-------------------------------------------------------------------
Fri May 17 06:54:10 CEST 2019 - jslaby@suse.cz
- Revert "selinux: do not report error on connect(AF_UNSPEC)"
(git-fixes).
- Revert "Don't jump to compute_result state from check_result
state" (git-fixes).
- commit 3d34296
-------------------------------------------------------------------
Fri May 17 06:38:43 CEST 2019 - jslaby@suse.cz
- Linux 5.1.3 (bnc#1012628).
- f2fs: Fix use of number of devices (bnc#1012628).
- PCI: hv: Add pci_destroy_slot() in pci_devices_present_work(),
if necessary (bnc#1012628).
- PCI: hv: Add hv_pci_remove_slots() when we unload the driver
(bnc#1012628).
- PCI: hv: Fix a memory leak in hv_eject_device_work()
(bnc#1012628).
- virtio_ring: Fix potential mem leak in
virtqueue_add_indirect_packed (bnc#1012628).
- powerpc/booke64: set RI in default MSR (bnc#1012628).
- powerpc/powernv/idle: Restore IAMR after idle (bnc#1012628).
- powerpc/book3s/64: check for NULL pointer in pgd_alloc()
(bnc#1012628).
- drivers/virt/fsl_hypervisor.c: prevent integer overflow in ioctl
(bnc#1012628).
- drivers/virt/fsl_hypervisor.c: dereferencing error pointers
in ioctl (bnc#1012628).
- isdn: bas_gigaset: use usb_fill_int_urb() properly
(bnc#1012628).
- flow_dissector: disable preemption around BPF calls
(bnc#1012628).
- net: phy: fix phy_validate_pause (bnc#1012628).
- tuntap: synchronize through tfiles array instead of
tun->numqueues (bnc#1012628).
- tuntap: fix dividing by zero in ebpf queue selection
(bnc#1012628).
- vrf: sit mtu should not be updated when vrf netdev is the link
(bnc#1012628).
- vlan: disable SIOCSHWTSTAMP in container (bnc#1012628).
- tipc: fix hanging clients using poll with EPOLLOUT flag
(bnc#1012628).
- selinux: do not report error on connect(AF_UNSPEC)
(bnc#1012628).
- packet: Fix error path in packet_init (bnc#1012628).
- net: ucc_geth - fix Oops when changing number of buffers in
the ring (bnc#1012628).
- net: seeq: fix crash caused by not set dev.parent (bnc#1012628).
- net: macb: Change interrupt and napi enable order in open
(bnc#1012628).
- net: ethernet: stmmac: dwmac-sun8i: enable support of unicast
filtering (bnc#1012628).
- net: dsa: Fix error cleanup path in dsa_init_module
(bnc#1012628).
- ipv4: Fix raw socket lookup for local traffic (bnc#1012628).
- fib_rules: return 0 directly if an exactly same rule exists
when NLM_F_EXCL not supplied (bnc#1012628).
- dpaa_eth: fix SG frame cleanup (bnc#1012628).
- bridge: Fix error path for kobject_init_and_add() (bnc#1012628).
- bonding: fix arp_validate toggling in active-backup mode
(bnc#1012628).
- Don't jump to compute_result state from check_result state
(bnc#1012628).
- rtlwifi: rtl8723ae: Fix missing break in switch statement
(bnc#1012628).
- mwl8k: Fix rate_idx underflow (bnc#1012628).
- USB: serial: fix unthrottle races (bnc#1012628).
- virt: vbox: Sanity-check parameter types for hgcm-calls coming
from userspace (bnc#1012628).
- kernfs: fix barrier usage in __kernfs_new_node() (bnc#1012628).
- i2c: core: ratelimit 'transfer when suspended' errors
(bnc#1012628).
- selftests/seccomp: Handle namespace failures gracefully
(bnc#1012628).
- hwmon: (occ) Fix extended status bits (bnc#1012628).
- hwmon: (pwm-fan) Disable PWM if fetching cooling data fails
(bnc#1012628).
- platform/x86: dell-laptop: fix rfkill functionality
(bnc#1012628).
- platform/x86: thinkpad_acpi: Disable Bluetooth for some machines
(bnc#1012628).
- platform/x86: sony-laptop: Fix unintentional fall-through
(bnc#1012628).
- commit 073196d
-------------------------------------------------------------------
Thu May 16 14:35:55 CEST 2019 - mwilck@suse.com
- Update config files: disable CONFIG_IDE for ppc64/ppc64le (bsc#1135333)
- commit 012b7ed
-------------------------------------------------------------------
Wed May 15 09:44:48 CEST 2019 - mkubecek@suse.cz
- x86/kvm/pmu: Set AMD's virt PMU version to 1
(https://patchwork.kernel.org/patch/10936271/).
- commit d737fc7
-------------------------------------------------------------------
Tue May 14 20:20:41 CEST 2019 - jslaby@suse.cz
- Linux 5.1.2 (bnc#1012628).
- x86/speculation/mds: Fix documentation typo (bnc#1012628).
- Documentation: Correct the possible MDS sysfs values
(bnc#1012628).
- x86/mds: Add MDSUM variant to the MDS documentation
(bnc#1012628).
- x86/speculation/mds: Add 'mitigations=' support for MDS
(bnc#1012628).
- s390/speculation: Support 'mitigations=' cmdline option
(bnc#1012628).
- powerpc/speculation: Support 'mitigations=' cmdline option
(bnc#1012628).
- x86/speculation: Support 'mitigations=' cmdline option
(bnc#1012628).
- cpu/speculation: Add 'mitigations=' cmdline option
(bnc#1012628).
- x86/speculation/mds: Print SMT vulnerable on MSBDS with
mitigations off (bnc#1012628).
- x86/speculation/mds: Fix comment (bnc#1012628).
- x86/speculation/mds: Add SMT warning message (bnc#1012628).
- x86/speculation: Move arch_smt_update() call to after mitigation
decisions (bnc#1012628).
- x86/speculation/mds: Add mds=full,nosmt cmdline option
(bnc#1012628).
- Documentation: Add MDS vulnerability documentation
(bnc#1012628).
- Documentation: Move L1TF to separate directory (bnc#1012628).
- x86/speculation/mds: Add mitigation mode VMWERV (bnc#1012628).
- x86/speculation/mds: Add sysfs reporting for MDS (bnc#1012628).
- x86/speculation/mds: Add mitigation control for MDS
(bnc#1012628).
- x86/speculation/mds: Conditionally clear CPU buffers on idle
entry (bnc#1012628).
- x86/kvm/vmx: Add MDS protection when L1D Flush is not active
(bnc#1012628).
- x86/speculation/mds: Clear CPU buffers on exit to user
(bnc#1012628).
- x86/speculation/mds: Add mds_clear_cpu_buffers() (bnc#1012628).
- x86/kvm: Expose X86_FEATURE_MD_CLEAR to guests (bnc#1012628).
- x86/speculation/mds: Add BUG_MSBDS_ONLY (bnc#1012628).
- x86/speculation/mds: Add basic bug infrastructure for MDS
(bnc#1012628).
- x86/speculation: Consolidate CPU whitelists (bnc#1012628).
- x86/msr-index: Cleanup bit defines (bnc#1012628).
- commit 5a8c05f
-------------------------------------------------------------------
Tue May 14 10:37:17 CEST 2019 - mkubecek@suse.cz
- config: keep LSM empty in s390x/zfcpdump
This config doesn't really build AppArmor and always had
DEFAULT_SECURITY_DAC so it seems more consistent to keep LSM list empty.
- commit 3073856
-------------------------------------------------------------------
Tue May 14 10:08:04 CEST 2019 - mkubecek@suse.cz
- config: enable AppArmor by default again (bsc#1134906)
AppArmor used to be enabled in kernel by default by after the recent
introduction of CONFIG_LSM, we disabled all LSM modules. Enable AppArmor
again.
- commit 953db35
-------------------------------------------------------------------
Tue May 14 10:01:25 CEST 2019 - mkubecek@suse.cz
- Update upstream reference:
patches.suse/efifb-Omit-memory-map-check-on-legacy-boot.patch
- commit 133a780
-------------------------------------------------------------------
Sat May 11 19:16:25 CEST 2019 - jslaby@suse.cz
- Linux 5.1.1 (bnc#1012628).
- arm64: futex: Bound number of LDXR/STXR loops in FUTEX_WAKE_OP
(bnc#1012628).
- locking/futex: Allow low-level atomic operations to return
-EAGAIN (bnc#1012628).
- i3c: Fix a shift wrap bug in i3c_bus_set_addr_slot_status()
(bnc#1012628).
- ASoC: Intel: avoid Oops if DMA setup fails (bnc#1012628).
- UAS: fix alignment of scatter/gather segments (bnc#1012628).
- Bluetooth: hci_bcm: Fix empty regulator supplies for Intel Macs
(bnc#1012628).
- Bluetooth: Fix not initializing L2CAP tx_credits (bnc#1012628).
- Bluetooth: Align minimum encryption key size for LE and BR/EDR
connections (bnc#1012628).
- Bluetooth: hidp: fix buffer overflow (bnc#1012628).
- scsi: qla2xxx: Fix device staying in blocked state
(bnc#1012628).
- scsi: qla2xxx: Set remote port devloss timeout to 0
(bnc#1012628).
- scsi: qla2xxx: Fix incorrect region-size setting in optrom
SYSFS routines (bnc#1012628).
- scsi: lpfc: change snprintf to scnprintf for possible overflow
(bnc#1012628).
- soc: sunxi: Fix missing dependency on REGMAP_MMIO (bnc#1012628).
- ACPI / LPSS: Use acpi_lpss_* instead of acpi_subsys_* functions
for hibernate (bnc#1012628).
- cpufreq: armada-37xx: fix frequency calculation for opp
(bnc#1012628).
- iio: adc: qcom-spmi-adc5: Fix of-based module autoloading
(bnc#1012628).
- intel_th: pci: Add Comet Lake support (bnc#1012628).
- genirq: Prevent use-after-free and work list corruption
(bnc#1012628).
- usb-storage: Set virt_boundary_mask to avoid SG overflows
(bnc#1012628).
- USB: cdc-acm: fix unthrottle races (bnc#1012628).
- USB: serial: f81232: fix interrupt worker not stop
(bnc#1012628).
- usb: dwc3: Fix default lpm_nyet_threshold value (bnc#1012628).
- usb: dwc3: Allow building USB_DWC3_QCOM without EXTCON
(bnc#1012628).
- staging: most: sound: pass correct device when creating a
sound card (bnc#1012628).
- staging: most: cdev: fix chrdev_region leak in mod_exit
(bnc#1012628).
- staging: wilc1000: Avoid GFP_KERNEL allocation from atomic
context (bnc#1012628).
- staging: greybus: power_supply: fix prop-descriptor request size
(bnc#1012628).
- ubsan: Fix nasty -Wbuiltin-declaration-mismatch GCC-9 warnings
(bnc#1012628).
- Drivers: hv: vmbus: Remove the undesired put_cpu_ptr() in
hv_synic_cleanup() (bnc#1012628).
- commit 8e0a089
-------------------------------------------------------------------
Thu May 9 10:50:34 CEST 2019 - guillaume.gardet@free.fr
- Sign non-x86 kernels when possible (boo#1134303)
- commit bac621c
-------------------------------------------------------------------
Mon May 6 07:06:01 CEST 2019 - mkubecek@suse.cz
- Update to 5.1 final
- Eliminated 1 patch
- New config options:
- PCI:
- PCIE_BW=n (recommended default)
- commit a974d8b
-------------------------------------------------------------------
Sun May 5 17:48:04 CEST 2019 - jslaby@suse.cz
- Linux 5.0.13 (bnc#1012628).
- ipv4: ip_do_fragment: Preserve skb_iif during fragmentation
(bnc#1012628).
- ipv6: A few fixes on dereferencing rt->from (bnc#1012628).
- ipv6: fix races in ip6_dst_destroy() (bnc#1012628).
- ipv6/flowlabel: wait rcu grace period before put_pid()
(bnc#1012628).
- ipv6: invert flowlabel sharing check in process and user mode
(bnc#1012628).
- l2ip: fix possible use-after-free (bnc#1012628).
- l2tp: use rcu_dereference_sk_user_data() in
l2tp_udp_encap_recv() (bnc#1012628).
- net: dsa: bcm_sf2: fix buffer overflow doing set_rxnfc
(bnc#1012628).
- net: phy: marvell: Fix buffer overrun with stats counters
(bnc#1012628).
- net/tls: avoid NULL pointer deref on nskb->sk in fallback
(bnc#1012628).
- rxrpc: Fix net namespace cleanup (bnc#1012628).
- sctp: avoid running the sctp state machine recursively
(bnc#1012628).
- selftests: fib_rule_tests: print the result and return 1 if
any tests failed (bnc#1012628).
- packet: validate msg_namelen in send directly (bnc#1012628).
- packet: in recvmsg msg_name return at least sizeof sockaddr_ll
(bnc#1012628).
- selftests: fib_rule_tests: Fix icmp proto with ipv6
(bnc#1012628).
- tcp: add sanity tests in tcp_add_backlog() (bnc#1012628).
- udp: fix GRO reception in case of length mismatch (bnc#1012628).
- udp: fix GRO packet of death (bnc#1012628).
- bnxt_en: Improve multicast address setup logic (bnc#1012628).
- bnxt_en: Free short FW command HWRM memory in error path in
bnxt_init_one() (bnc#1012628).
- bnxt_en: Fix possible crash in bnxt_hwrm_ring_free() under
error conditions (bnc#1012628).
- bnxt_en: Pass correct extended TX port statistics size to
firmware (bnc#1012628).
- bnxt_en: Fix statistics context reservation logic (bnc#1012628).
- bnxt_en: Fix uninitialized variable usage in bnxt_rx_pkt()
(bnc#1012628).
- net/tls: don't copy negative amounts of data in reencrypt
(bnc#1012628).
- net/tls: fix copy to fragments in reencrypt (bnc#1012628).
- KVM: nVMX: Fix size checks in vmx_set_nested_state
(bnc#1012628).
- ALSA: line6: use dynamic buffers (bnc#1012628).
- iwlwifi: mvm: properly check debugfs dentry before using it
(bnc#1012628).
- ath10k: Drop WARN_ON()s that always trigger during system resume
(bnc#1012628).
- commit b11e2d7
-------------------------------------------------------------------
Sat May 4 17:39:08 CEST 2019 - jslaby@suse.cz
- Linux 5.0.12 (bnc#1012628).
- selinux: use kernel linux/socket.h for genheaders and mdp
(bnc#1012628).
- drm/i915: Do not enable FEC without DSC (bnc#1012628).
- mm: make page ref count overflow check tighter and more explicit
(bnc#1012628).
- mm: add 'try_get_page()' helper function (bnc#1012628).
- mm: prevent get_user_pages() from overflowing page refcount
(bnc#1012628).
- fs: prevent page refcount overflow in pipe_buf_get
(bnc#1012628).
- arm64: dts: renesas: r8a77990: Fix SCIF5 DMA channels
(bnc#1012628).
- ARM: dts: bcm283x: Fix hdmi hpd gpio pull (bnc#1012628).
- s390: limit brk randomization to 32MB (bnc#1012628).
- mt76x02: fix hdr pointer in write txwi for USB (bnc#1012628).
- mt76: mt76x2: fix external LNA gain settings (bnc#1012628).
- mt76: mt76x2: fix 2.4 GHz channel gain settings (bnc#1012628).
- net: ieee802154: fix a potential NULL pointer dereference
(bnc#1012628).
- ieee802154: hwsim: propagate genlmsg_reply return code
(bnc#1012628).
- Btrfs: fix file corruption after snapshotting due to mix of
buffered/DIO writes (bnc#1012628).
- net: stmmac: don't set own bit too early for jumbo frames
(bnc#1012628).
- net: stmmac: fix jumbo frame sending with non-linear skbs
(bnc#1012628).
- qlcnic: Avoid potential NULL pointer dereference (bnc#1012628).
- xsk: fix umem memory leak on cleanup (bnc#1012628).
- staging: axis-fifo: add CONFIG_OF dependency (bnc#1012628).
- staging, mt7621-pci: fix build without pci support
(bnc#1012628).
- netfilter: nft_set_rbtree: check for inactive element after
flag mismatch (bnc#1012628).
- netfilter: bridge: set skb transport_header before entering
NF_INET_PRE_ROUTING (bnc#1012628).
- netfilter: fix NETFILTER_XT_TARGET_TEE dependencies
(bnc#1012628).
- netfilter: ip6t_srh: fix NULL pointer dereferences
(bnc#1012628).
- s390/qeth: fix race when initializing the IP address table
(bnc#1012628).
- ARM: imx51: fix a leaked reference by adding missing of_node_put
(bnc#1012628).
- sc16is7xx: missing unregister/delete driver on error in
sc16is7xx_init() (bnc#1012628).
- serial: ar933x_uart: Fix build failure with disabled console
(bnc#1012628).
- KVM: arm64: Reset the PMU in preemptible context (bnc#1012628).
- arm64: KVM: Always set ICH_HCR_EL2.EN if GICv4 is enabled
(bnc#1012628).
- KVM: arm/arm64: vgic-its: Take the srcu lock when writing to
guest memory (bnc#1012628).
- KVM: arm/arm64: vgic-its: Take the srcu lock when parsing the
memslots (bnc#1012628).
- usb: dwc3: pci: add support for Comet Lake PCH ID (bnc#1012628).
- usb: gadget: net2280: Fix overrun of OUT messages (bnc#1012628).
- usb: gadget: net2280: Fix net2280_dequeue() (bnc#1012628).
- usb: gadget: net2272: Fix net2272_dequeue() (bnc#1012628).
- ARM: dts: pfla02: increase phy reset duration (bnc#1012628).
- i2c: i801: Add support for Intel Comet Lake (bnc#1012628).
- KVM: arm/arm64: Fix handling of stage2 huge mappings
(bnc#1012628).
- net: ks8851: Dequeue RX packets explicitly (bnc#1012628).
- net: ks8851: Reassert reset pin if chip ID check fails
(bnc#1012628).
- net: ks8851: Delay requesting IRQ until opened (bnc#1012628).
- net: ks8851: Set initial carrier state to down (bnc#1012628).
- staging: rtl8188eu: Fix potential NULL pointer dereference of
kcalloc (bnc#1012628).
- staging: rtlwifi: rtl8822b: fix to avoid potential NULL pointer
dereference (bnc#1012628).
- staging: rtl8712: uninitialized memory in read_bbreg_hdl()
(bnc#1012628).
- staging: rtlwifi: Fix potential NULL pointer dereference of
kzalloc (bnc#1012628).
- net: phy: Add DP83825I to the DP83822 driver (bnc#1012628).
- net: macb: Add null check for PCLK and HCLK (bnc#1012628).
- net/sched: don't dereference a->goto_chain to read the chain
index (bnc#1012628).
- ARM: dts: imx6qdl: Fix typo in imx6qdl-icore-rqs.dtsi
(bnc#1012628).
- drm/tegra: hub: Fix dereference before check (bnc#1012628).
- NFS: Fix a typo in nfs_init_timeout_values() (bnc#1012628).
- net: xilinx: fix possible object reference leak (bnc#1012628).
- net: ibm: fix possible object reference leak (bnc#1012628).
- net: ethernet: ti: fix possible object reference leak
(bnc#1012628).
- drm: Fix drm_release() and device unplug (bnc#1012628).
- gpio: aspeed: fix a potential NULL pointer dereference
(bnc#1012628).
- drm/meson: Fix invalid pointer in meson_drv_unbind()
(bnc#1012628).
- drm/meson: Uninstall IRQ handler (bnc#1012628).
- ARM: davinci: fix build failure with allnoconfig (bnc#1012628).
- sbitmap: order READ/WRITE freed instance and setting clear bit
(bnc#1012628).
- staging: vc04_services: Fix an error code in vchiq_probe()
(bnc#1012628).
- scsi: mpt3sas: Fix kernel panic during expander reset
(bnc#1012628).
- scsi: aacraid: Insure we don't access PCIe space during AER/EEH
(bnc#1012628).
- scsi: qla4xxx: fix a potential NULL pointer dereference
(bnc#1012628).
- usb: usb251xb: fix to avoid potential NULL pointer dereference
(bnc#1012628).
- leds: trigger: netdev: fix refcnt leak on interface rename
(bnc#1012628).
- SUNRPC: fix uninitialized variable warning (bnc#1012628).
- x86/realmode: Don't leak the trampoline kernel address
(bnc#1012628).
- usb: u132-hcd: fix resource leak (bnc#1012628).
- ceph: fix use-after-free on symlink traversal (bnc#1012628).
- scsi: zfcp: reduce flood of fcrscn1 trace records on
multi-element RSCN (bnc#1012628).
- x86/mm: Don't exceed the valid physical address space
(bnc#1012628).
- libata: fix using DMA buffers on stack (bnc#1012628).
- kbuild: skip parsing pre sub-make code for recursion
(bnc#1012628).
- afs: Fix StoreData op marshalling (bnc#1012628).
- gpio: of: Check propname before applying "cs-gpios" quirks
(bnc#1012628).
- gpio: of: Check for "spi-cs-high" in child instead of parent
node (bnc#1012628).
- KVM: nVMX: Do not inherit quadrant and invalid for the root
shadow EPT (bnc#1012628).
- KVM: SVM: Workaround errata#1096 (insn_len maybe zero on SMAP
violation) (bnc#1012628).
- kvm/x86: Move MSR_IA32_ARCH_CAPABILITIES to array emulated_msrs
(bnc#1012628).
- x86/kvm/hyper-v: avoid spurious pending stimer on vCPU init
(bnc#1012628).
- KVM: selftests: assert on exit reason in CR4/cpuid sync test
(bnc#1012628).
- KVM: selftests: explicitly disable PIE for tests (bnc#1012628).
- KVM: selftests: disable stack protector for all KVM tests
(bnc#1012628).
- KVM: selftests: complete IO before migrating guest state
(bnc#1012628).
- gpio: of: Fix of_gpiochip_add() error path (bnc#1012628).
- nvme-multipath: relax ANA state check (bnc#1012628).
- nvmet: fix building bvec from sg list (bnc#1012628).
- nvmet: fix error flow during ns enable (bnc#1012628).
- perf cs-etm: Add missing case value (bnc#1012628).
- perf machine: Update kernel map address and re-order properly
(bnc#1012628).
- kconfig/[mn]conf: handle backspace (^H) key (bnc#1012628).
- iommu/amd: Reserve exclusion range in iova-domain (bnc#1012628).
- kasan: fix variable 'tag' set but not used warning
(bnc#1012628).
- ptrace: take into account saved_sigmask in
PTRACE{GET,SET}SIGMASK (bnc#1012628).
- leds: pca9532: fix a potential NULL pointer dereference
(bnc#1012628).
- leds: trigger: netdev: use memcpy in device_name_store
(bnc#1012628).
- commit 0b5ff0f
-------------------------------------------------------------------
Thu May 2 13:01:21 CEST 2019 - jslaby@suse.cz
- Refresh
patches.suse/KVM-x86-Whitelist-port-0x7e-for-pre-incrementing-rip.patch.
Update upstream status.
- commit 410b1c0
-------------------------------------------------------------------
Thu May 2 12:46:32 CEST 2019 - jslaby@suse.cz
- Linux 5.0.11 (bnc#1012628).
- netfilter: nf_tables: bogus EBUSY when deleting set after flush
(bnc#1012628).
- netfilter: nf_tables: bogus EBUSY in helper removal from
transaction (bnc#1012628).
- intel_th: gth: Fix an off-by-one in output unassigning
(bnc#1012628).
- powerpc/vdso32: fix CLOCK_MONOTONIC on PPC64 (bnc#1012628).
- ALSA: hda/realtek - Move to ACT_INIT state (bnc#1012628).
- fs/proc/proc_sysctl.c: Fix a NULL pointer dereference
(bnc#1012628).
- block, bfq: fix use after free in bfq_bfqq_expire (bnc#1012628).
- cifs: fix memory leak in SMB2_read (bnc#1012628).
- cifs: fix page reference leak with readv/writev (bnc#1012628).
- cifs: do not attempt cifs operation on smb2+ rename error
(bnc#1012628).
- tracing: Fix a memory leak by early error exit in
trace_pid_write() (bnc#1012628).
- tracing: Fix buffer_ref pipe ops (bnc#1012628).
- crypto: xts - Fix atomic sleep when walking skcipher
(bnc#1012628).
- crypto: lrw - Fix atomic sleep when walking skcipher
(bnc#1012628).
- gpio: eic: sprd: Fix incorrect irq type setting for the sync
EIC (bnc#1012628).
- zram: pass down the bvec we need to read into in the work struct
(bnc#1012628).
- lib/Kconfig.debug: fix build error without CONFIG_BLOCK
(bnc#1012628).
- MIPS: scall64-o32: Fix indirect syscall number load
(bnc#1012628).
- trace: Fix preempt_enable_no_resched() abuse (bnc#1012628).
- mm: do not boost watermarks to avoid fragmentation for the
DISCONTIG memory model (bnc#1012628).
- arm64: mm: Ensure tail of unaligned initrd is reserved
(bnc#1012628).
- IB/rdmavt: Fix frwr memory registration (bnc#1012628).
- RDMA/mlx5: Do not allow the user to write to the clock page
(bnc#1012628).
- RDMA/mlx5: Use rdma_user_map_io for mapping BAR pages
(bnc#1012628).
- RDMA/ucontext: Fix regression with disassociate (bnc#1012628).
- sched/numa: Fix a possible divide-by-zero (bnc#1012628).
- ceph: only use d_name directly when parent is locked
(bnc#1012628).
- ceph: ensure d_name stability in ceph_dentry_hash()
(bnc#1012628).
- ceph: fix ci->i_head_snapc leak (bnc#1012628).
- nfsd: Don't release the callback slot unless it was actually
held (bnc#1012628).
- nfsd: wake waiters blocked on file_lock before deleting it
(bnc#1012628).
- nfsd: wake blocked file lock waiters before sending callback
(bnc#1012628).
- sunrpc: don't mark uninitialised items as VALID (bnc#1012628).
- perf/x86/intel: Update KBL Package C-state events to also
include PC8/PC9/PC10 counters (bnc#1012628).
- Input: synaptics-rmi4 - write config register values to the
right offset (bnc#1012628).
- dmaengine: sh: rcar-dmac: With cyclic DMA residue 0 is valid
(bnc#1012628).
- dmaengine: sh: rcar-dmac: Fix glitch in dmaengine_tx_status
(bnc#1012628).
- dmaengine: mediatek-cqdma: fix wrong register usage in
mtk_cqdma_start (bnc#1012628).
- ARM: 8857/1: efi: enable CP15 DMB instructions before cleaning
the cache (bnc#1012628).
- powerpc/mm/radix: Make Radix require HUGETLB_PAGE (bnc#1012628).
- drm/vc4: Fix memory leak during gpu reset (bnc#1012628).
- drm/ttm: fix re-init of global structures (bnc#1012628).
- drm/vc4: Fix compilation error reported by kbuild test bot
(bnc#1012628).
- USB: Add new USB LPM helpers (bnc#1012628).
- USB: Consolidate LPM checks to avoid enabling LPM twice
(bnc#1012628).
- ext4: fix some error pointer dereferences (bnc#1012628).
- loop: do not print warn message if partition scan is successful
(bnc#1012628).
- tipc: handle the err returned from cmd header function
(bnc#1012628).
- slip: make slhc_free() silently accept an error pointer
(bnc#1012628).
- workqueue: Try to catch flush_work() without INIT_WORK()
(bnc#1012628).
- binder: fix handling of misaligned binder object (bnc#1012628).
- sched/deadline: Correctly handle active 0-lag timers
(bnc#1012628).
- mac80211_hwsim: calculate if_combination.max_interfaces
(bnc#1012628).
- NFS: Forbid setting AF_INET6 to "struct sockaddr_in"->sin_family
(bnc#1012628).
- netfilter: ebtables: CONFIG_COMPAT: drop a bogus WARN_ON
(bnc#1012628).
- fm10k: Fix a potential NULL pointer dereference (bnc#1012628).
- tipc: check bearer name with right length in
tipc_nl_compat_bearer_enable (bnc#1012628).
- tipc: check link name with right length in
tipc_nl_compat_link_set (bnc#1012628).
- net: netrom: Fix error cleanup path of nr_proto_init
(bnc#1012628).
- net/rds: Check address length before reading address family
(bnc#1012628).
- rxrpc: fix race condition in rxrpc_input_packet() (bnc#1012628).
- pin iocb through aio (bnc#1012628).
- aio: fold lookup_kiocb() into its sole caller (bnc#1012628).
- aio: keep io_event in aio_kiocb (bnc#1012628).
- aio: store event at final iocb_put() (bnc#1012628).
- Fix aio_poll() races (bnc#1012628).
- x86, retpolines: Raise limit for generating indirect calls
from switch-case (bnc#1012628).
- x86/retpolines: Disable switch jump tables when retpolines
are enabled (bnc#1012628).
- rdma: fix build errors on s390 and MIPS due to bad ZERO_PAGE
use (bnc#1012628).
- ipv4: add sanity checks in ipv4_link_failure() (bnc#1012628).
- ipv4: set the tcp_min_rtt_wlen range from 0 to one day
(bnc#1012628).
- mlxsw: spectrum: Fix autoneg status in ethtool (bnc#1012628).
- net/mlx5e: ethtool, Remove unsupported SFP EEPROM high pages
query (bnc#1012628).
- net: rds: exchange of 8K and 1M pool (bnc#1012628).
- net/rose: fix unbound loop in rose_loopback_timer()
(bnc#1012628).
- net: stmmac: move stmmac_check_ether_addr() to driver probe
(bnc#1012628).
- net/tls: fix refcount adjustment in fallback (bnc#1012628).
- stmmac: pci: Adjust IOT2000 matching (bnc#1012628).
- team: fix possible recursive locking when add slaves
(bnc#1012628).
- net: socionext: replace napi_alloc_frag with the netdev variant
on init (bnc#1012628).
- net/ncsi: handle overflow when incrementing mac address
(bnc#1012628).
- mlxsw: pci: Reincrease PCI reset timeout (bnc#1012628).
- mlxsw: spectrum: Put MC TCs into DWRR mode (bnc#1012628).
- net/mlx5e: Fix the max MTU check in case of XDP (bnc#1012628).
- net/mlx5e: Fix use-after-free after xdp_return_frame
(bnc#1012628).
- net/tls: avoid potential deadlock in tls_set_device_offload_rx()
(bnc#1012628).
- net/tls: don't leak IV and record seq when offload fails
(bnc#1012628).
- commit 07c5318
-------------------------------------------------------------------
Wed May 1 23:18:08 CEST 2019 - tiwai@suse.de
- Revert "ACPICA: Clear status of GPEs before enabling them"
(bsc#1132943).
- commit 13cf3aa
-------------------------------------------------------------------
Tue Apr 30 13:57:42 CEST 2019 - tiwai@suse.de
- HID: i2c-hid: Ignore input report if there's no data present
on Elan touchpanels (bsc#1133486).
- commit 5a94296
-------------------------------------------------------------------
Tue Apr 30 07:16:33 CEST 2019 - mkubecek@suse.cz
- rdma: fix build errors on s390 and MIPS due to bad ZERO_PAGE use
(http://lkml.kernel.org/r/20190429052136.GA21672@unicorn.suse.cz).
- Delete
patches.suse/rdma-fix-argument-of-ZERO_PAGE-in-rdma_umap_fault.patch.
- commit a764394
-------------------------------------------------------------------
Tue Apr 30 07:14:58 CEST 2019 - jslaby@suse.cz
- KVM: x86: Whitelist port 0x7e for pre-incrementing %rip
(bnc#1132694).
- Delete patches.suse/kvm-Workaround-OUT-0x7E.patch.
Update per upstream.
- commit 93ba681
-------------------------------------------------------------------
Mon Apr 29 13:05:50 CEST 2019 - jslaby@suse.cz
- memcg: make it work on sparse non-0-node systems (bnc#1133616).
- commit c0ba22a
-------------------------------------------------------------------
Mon Apr 29 08:52:32 CEST 2019 - jslaby@suse.cz
- Workaround Qemu "OUT 0x7E" handling in KVM (bnc#1132694).
- commit e8fc1e9
-------------------------------------------------------------------
Mon Apr 29 08:12:08 CEST 2019 - mkubecek@suse.cz
- Update to 5.1-rc7
- add patches.suse/rdma-fix-argument-of-ZERO_PAGE-in-rdma_umap_fault.patch
(tentative s390x build fix)
- New config options:
- ARM:
- KEYBOARD_SNVS_PWRKEY=m
- armv7hl:
- FRAME_POINTER=y
- UNWINDER_FRAME_POINTER=y
- commit 04c1966
-------------------------------------------------------------------
Sat Apr 27 20:39:53 CEST 2019 - jslaby@suse.cz
- Linux 5.0.10 (bnc#1012628).
- bonding: fix event handling for stacked bonds (bnc#1012628).
- failover: allow name change on IFF_UP slave interfaces
(bnc#1012628).
- net: atm: Fix potential Spectre v1 vulnerabilities
(bnc#1012628).
- net: bridge: fix per-port af_packet sockets (bnc#1012628).
- net: bridge: multicast: use rcu to access port list from
br_multicast_start_querier (bnc#1012628).
- net: fec: manage ahb clock in runtime pm (bnc#1012628).
- net: Fix missing meta data in skb with vlan packet
(bnc#1012628).
- net: fou: do not use guehdr after iptunnel_pull_offloads in
gue_udp_recv (bnc#1012628).
- tcp: tcp_grow_window() needs to respect tcp_space()
(bnc#1012628).
- team: set slave to promisc if team is already in promisc mode
(bnc#1012628).
- tipc: missing entries in name table of publications
(bnc#1012628).
- vhost: reject zero size iova range (bnc#1012628).
- ipv4: recompile ip options in ipv4_link_failure (bnc#1012628).
- ipv4: ensure rcu_read_lock() in ipv4_link_failure()
(bnc#1012628).
- mlxsw: spectrum_switchdev: Add MDB entries in prepare phase
(bnc#1012628).
- mlxsw: core: Do not use WQ_MEM_RECLAIM for EMAD workqueue
(bnc#1012628).
- mlxsw: core: Do not use WQ_MEM_RECLAIM for mlxsw ordered
workqueue (bnc#1012628).
- mlxsw: core: Do not use WQ_MEM_RECLAIM for mlxsw workqueue
(bnc#1012628).
- mlxsw: spectrum_router: Do not check VRF MAC address
(bnc#1012628).
- net: thunderx: raise XDP MTU to 1508 (bnc#1012628).
- net: thunderx: don't allow jumbo frames with XDP (bnc#1012628).
- net/tls: fix the IV leaks (bnc#1012628).
- net/tls: don't leak partially sent record in device mode
(bnc#1012628).
- net: strparser: partially revert "strparser: Call skb_unclone
conditionally" (bnc#1012628).
- net/tls: fix build without CONFIG_TLS_DEVICE (bnc#1012628).
- net: bridge: fix netlink export of vlan_stats_per_port option
(bnc#1012628).
- net/mlx5e: XDP, Avoid checksum complete when XDP prog is loaded
(bnc#1012628).
- net/mlx5e: Protect against non-uplink representor for encap
(bnc#1012628).
- net/mlx5e: Switch to Toeplitz RSS hash by default (bnc#1012628).
- net/mlx5e: Rx, Fixup skb checksum for packets with tail padding
(bnc#1012628).
- net/mlx5e: Rx, Check ip headers sanity (bnc#1012628).
- Revert "net/mlx5e: Enable reporting checksum unnecessary also
for L3 packets" (bnc#1012628).
- net/mlx5: FPGA, tls, hold rcu read lock a bit longer
(bnc#1012628).
- net/tls: prevent bad memory access in
tls_is_sk_tx_device_offloaded() (bnc#1012628).
- net/mlx5: FPGA, tls, idr remove on flow delete (bnc#1012628).
- route: Avoid crash from dereferencing NULL rt->from
(bnc#1012628).
- nfp: flower: replace CFI with vlan present (bnc#1012628).
- nfp: flower: remove vlan CFI bit from push vlan action
(bnc#1012628).
- sch_cake: Use tc_skb_protocol() helper for getting packet
protocol (bnc#1012628).
- sch_cake: Make sure we can write the IP header before changing
DSCP bits (bnc#1012628).
- NFC: nci: Add some bounds checking in nci_hci_cmd_received()
(bnc#1012628).
- nfc: nci: Potential off by one in ->pipes[] array (bnc#1012628).
- sch_cake: Simplify logic in cake_select_tin() (bnc#1012628).
- CIFS: keep FileInfo handle live during oplock break
(bnc#1012628).
- cifs: Fix lease buffer length error (bnc#1012628).
- cifs: Fix use-after-free in SMB2_write (bnc#1012628).
- cifs: Fix use-after-free in SMB2_read (bnc#1012628).
- cifs: fix handle leak in smb2_query_symlink() (bnc#1012628).
- fs/dax: Deposit pagetable even when installing zero page
(bnc#1012628).
- KVM: x86: Don't clear EFER during SMM transitions for 32-bit
vCPU (bnc#1012628).
- KVM: x86: svm: make sure NMI is injected after nmi_singlestep
(bnc#1012628).
- Staging: iio: meter: fixed typo (bnc#1012628).
- staging: iio: ad7192: Fix ad7193 channel address (bnc#1012628).
- iio: gyro: mpu3050: fix chip ID reading (bnc#1012628).
- iio/gyro/bmg160: Use millidegrees for temperature scale
(bnc#1012628).
- iio:chemical:bme680: Fix, report temperature in millidegrees
(bnc#1012628).
- iio:chemical:bme680: Fix SPI read interface (bnc#1012628).
- iio: cros_ec: Fix the maths for gyro scale calculation
(bnc#1012628).
- iio: ad_sigma_delta: select channel when reading register
(bnc#1012628).
- iio: dac: mcp4725: add missing powerdown bits in store eeprom
(bnc#1012628).
- iio: Fix scan mask selection (bnc#1012628).
- iio: adc: at91: disable adc channel interrupt in timeout case
(bnc#1012628).
- iio: core: fix a possible circular locking dependency
(bnc#1012628).
- io: accel: kxcjk1013: restore the range after resume
(bnc#1012628).
- staging: most: core: use device description as name
(bnc#1012628).
- staging: comedi: vmk80xx: Fix use of uninitialized semaphore
(bnc#1012628).
- staging: comedi: vmk80xx: Fix possible double-free of
->usb_rx_buf (bnc#1012628).
- staging: comedi: ni_usb6501: Fix use of uninitialized mutex
(bnc#1012628).
- staging: comedi: ni_usb6501: Fix possible double-free of
->usb_rx_buf (bnc#1012628).
- ALSA: hda/realtek - add two more pin configuration sets to
quirk table (bnc#1012628).
- ALSA: core: Fix card races between register and disconnect
(bnc#1012628).
- Input: elan_i2c - add hardware ID for multiple Lenovo laptops
(bnc#1012628).
- serial: sh-sci: Fix HSCIF RX sampling point adjustment
(bnc#1012628).
- serial: sh-sci: Fix HSCIF RX sampling point calculation
(bnc#1012628).
- vt: fix cursor when clearing the screen (bnc#1012628).
- scsi: core: set result when the command cannot be dispatched
(bnc#1012628).
- Revert "scsi: fcoe: clear FC_RP_STARTED flags when receiving
a LOGO" (bnc#1012628).
- i3c: dw: Fix dw_i3c_master_disable controller by using correct
mask (bnc#1012628).
- i3c: Fix the verification of random PID (bnc#1012628).
- Revert "svm: Fix AVIC incomplete IPI emulation" (bnc#1012628).
- coredump: fix race condition between
mmget_not_zero()/get_task_mm() and core dumping (bnc#1012628).
- x86/kvm: move kvm_load/put_guest_xcr0 into atomic context
(bnc#1012628).
- ipmi: fix sleep-in-atomic in free_user at cleanup SRCU
user->release_barrier (bnc#1012628).
- crypto: x86/poly1305 - fix overflow during partial reduction
(bnc#1012628).
- drm/ttm: fix out-of-bounds read in ttm_put_pages() v2
(bnc#1012628).
- arm64: futex: Restore oldval initialization to work around
buggy compilers (bnc#1012628).
- x86/kprobes: Verify stack frame on kretprobe (bnc#1012628).
- kprobes: Mark ftrace mcount handler functions nokprobe
(bnc#1012628).
- x86/kprobes: Avoid kretprobe recursion bug (bnc#1012628).
- kprobes: Fix error check when reusing optimized probes
(bnc#1012628).
- rt2x00: do not increment sequence number while re-transmitting
(bnc#1012628).
- mac80211: do not call driver wake_tx_queue op during reconfig
(bnc#1012628).
- s390/mem_detect: Use IS_ENABLED(CONFIG_BLK_DEV_INITRD)
(bnc#1012628).
- drm/amdgpu/gmc9: fix VM_L2_CNTL3 programming (bnc#1012628).
- perf/x86/amd: Add event map for AMD Family 17h (bnc#1012628).
- x86/cpu/bugs: Use __initconst for 'const' init data
(bnc#1012628).
- perf/x86: Fix incorrect PEBS_REGS (bnc#1012628).
- x86/speculation: Prevent deadlock on ssb_state::lock
(bnc#1012628).
- timers/sched_clock: Prevent generic sched_clock wrap caused
by tick_freeze() (bnc#1012628).
- nfit/ars: Remove ars_start_flags (bnc#1012628).
- nfit/ars: Introduce scrub_flags (bnc#1012628).
- nfit/ars: Allow root to busy-poll the ARS state machine
(bnc#1012628).
- nfit/ars: Avoid stale ARS results (bnc#1012628).
- tpm/tpm_i2c_atmel: Return -E2BIG when the transfer is incomplete
(bnc#1012628).
- tpm: Fix the type of the return value in calc_tpm2_event_size()
(bnc#1012628).
- Revert "kbuild: use -Oz instead of -Os when using clang"
(bnc#1012628).
- sched/fair: Limit sched_cfs_period_timer() loop to avoid hard
lockup (bnc#1012628).
- tpm: fix an invalid condition in tpm_common_poll (bnc#1012628).
- mt76x02: avoid status_list.lock and sta->rate_ctrl_lock
dependency (bnc#1012628).
- device_cgroup: fix RCU imbalance in error case (bnc#1012628).
- perf/ring_buffer: Fix AUX record suppression (bnc#1012628).
- mm/memory_hotplug: do not unlock after failing to take the
device_hotplug_lock (bnc#1012628).
- mm/vmstat.c: fix /proc/vmstat format for CONFIG_DEBUG_TLBFLUSH=y
CONFIG_SMP=n (bnc#1012628).
- ALSA: info: Fix racy addition/deletion of nodes (bnc#1012628).
- percpu: stop printing kernel addresses (bnc#1012628).
- commit 048e1d2
-------------------------------------------------------------------
Fri Apr 26 14:39:44 CEST 2019 - jslaby@suse.cz
- kernel/sysctl.c: fix out-of-bounds access when setting file-max
(kasan).
- commit 09ca824
-------------------------------------------------------------------
Sun Apr 21 23:26:04 CEST 2019 - mkubecek@suse.cz
- Update to v5.1-rc6
- New config options:
- IIO:
- SENSIRION_SGP30=n
- commit ab97af0
-------------------------------------------------------------------
Sun Apr 21 08:41:57 CEST 2019 - jslaby@suse.cz
- Linux 5.0.9 (bnc#1012628).
- paride/pcd: Fix potential NULL pointer dereference and mem leak
(bnc#1012628).
- paride/pf: Fix potential NULL pointer dereference (bnc#1012628).
- IB/hfi1: Failed to drain send queue when QP is put into error
state (bnc#1012628).
- bpf: fix use after free in bpf_evict_inode (bnc#1012628).
- include/linux/swap.h: use offsetof() instead of custom
__swapoffset macro (bnc#1012628).
- f2fs: fix to add refcount once page is tagged PG_private
(bnc#1012628).
- f2fs: fix to use kvfree instead of kzfree (bnc#1012628).
- f2fs: fix to dirty inode for i_mode recovery (bnc#1012628).
- rxrpc: Fix client call connect/disconnect race (bnc#1012628).
- lib/div64.c: off by one in shift (bnc#1012628).
- cifs: return -ENODATA when deleting an xattr that does not exist
(bnc#1012628).
- appletalk: Fix use-after-free in atalk_proc_exit (bnc#1012628).
- drm/amdkfd: use init_mqd function to allocate object for hid_mqd
(CI) (bnc#1012628).
- ARM: 8839/1: kprobe: make patch_lock a raw_spinlock_t
(bnc#1012628).
- platform/x86: intel_pmc_core: Quirk to ignore XTAL shutdown
(bnc#1012628).
- drm/nouveau/volt/gf117: fix speedo readout register
(bnc#1012628).
- f2fs: sync filesystem after roll-forward recovery (bnc#1012628).
- PCI/ASPM: Save LTR Capability for suspend/resume (bnc#1012628).
- PCI: Blacklist power management of Gigabyte X299 DESIGNARE EX
PCIe ports (bnc#1012628).
- coresight: cpu-debug: Support for CA73 CPUs (bnc#1012628).
- RDMA/hns: Fix the Oops during rmmod or insmod ko when reset
occurs (bnc#1012628).
- Revert "ACPI / EC: Remove old CLEAR_ON_RESUME quirk"
(bnc#1012628).
- crypto: axis - fix for recursive locking from bottom half
(bnc#1012628).
- net: hns3: Fix NULL deref when unloading driver (bnc#1012628).
- drm/panel: panel-innolux: set display off in
innolux_panel_unprepare (bnc#1012628).
- drm/amdgpu: psp_ring_destroy cause psp->km_ring.ring_mem NULL
(bnc#1012628).
- lkdtm: Add tests for NULL pointer dereference (bnc#1012628).
- lkdtm: Print real addresses (bnc#1012628).
- ext4: prohibit fstrim in norecovery mode (bnc#1012628).
- x86/gart: Exclude GART aperture from kcore (bnc#1012628).
- cifs: Fix slab-out-of-bounds when tracing SMB tcon
(bnc#1012628).
- fix incorrect error code mapping for OBJECTID_NOT_FOUND
(bnc#1012628).
- cifs: fix that return -EINVAL when do dedupe operation
(bnc#1012628).
- x86/hw_breakpoints: Make default case in
hw_breakpoint_arch_parse() return an error (bnc#1012628).
- iommu/vt-d: Save the right domain ID used by hardware
(bnc#1012628).
- iommu/vt-d: Check capability before disabling protected memory
(bnc#1012628).
- drm/nouveau/debugfs: Fix check of pm_runtime_get_sync failure
(bnc#1012628).
- x86/cpu/cyrix: Use correct macros for Cyrix calls on Geode
processors (bnc#1012628).
- x86/hyperv: Prevent potential NULL pointer dereference
(bnc#1012628).
- x86/hpet: Prevent potential NULL pointer dereference
(bnc#1012628).
- irqchip/mbigen: Don't clear eventid when freeing an MSI
(bnc#1012628).
- irqchip/stm32: Don't set rising configuration registers at init
(bnc#1012628).
- irqchip/stm32: Don't clear rising/falling config registers at
init (bnc#1012628).
- drm/exynos/mixer: fix MIXER shadow registry synchronisation code
(bnc#1012628).
- blk-iolatency: #include "blk.h" (bnc#1012628).
- PM / Domains: Avoid a potential deadlock (bnc#1012628).
- ACPI / utils: Drop reference in test for device presence
(bnc#1012628).
- perf tests: Fix a memory leak in
test__perf_evsel__tp_sched_test() (bnc#1012628).
- perf tests: Fix memory leak by expr__find_other() in
test__expr() (bnc#1012628).
- perf tests: Fix a memory leak of cpu_map object in the
openat_syscall_event_on_all_cpus test (bnc#1012628).
- perf evsel: Free evsel->counts in perf_evsel__exit()
(bnc#1012628).
- perf top: Fix global-buffer-overflow issue (bnc#1012628).
- perf maps: Purge all maps from the 'names' tree (bnc#1012628).
- perf map: Remove map from 'names' tree in __maps__remove()
(bnc#1012628).
- perf hist: Add missing map__put() in error case (bnc#1012628).
- perf top: Fix error handling in cmd_top() (bnc#1012628).
- perf build-id: Fix memory leak in print_sdt_events()
(bnc#1012628).
- perf config: Fix a memory leak in collect_config()
(bnc#1012628).
- perf config: Fix an error in the config template documentation
(bnc#1012628).
- perf tools: Fix errors under optimization level '-Og'
(bnc#1012628).
- perf list: Don't forget to drop the reference to the allocated
thread_map (bnc#1012628).
- perf stat: Fix --no-scale (bnc#1012628).
- scsi: qla2xxx: Fix NULL pointer crash due to stale CPUID
(bnc#1012628).
- scsi: core: Also call destroy_rcu_head() for passthrough
requests (bnc#1012628).
- tools/power turbostat: return the exit status of a command
(bnc#1012628).
- x86/mm: Don't leak kernel addresses (bnc#1012628).
- sched/core: Fix buffer overflow in cgroup2 property cpu.max
(bnc#1012628).
- sched/cpufreq: Fix 32-bit math overflow (bnc#1012628).
- scsi: iscsi: flush running unbind operations when removing a
session (bnc#1012628).
- thermal/intel_powerclamp: fix truncated kthread name
(bnc#1012628).
- thermal/int340x_thermal: fix mode setting (bnc#1012628).
- thermal/int340x_thermal: Add additional UUIDs (bnc#1012628).
- thermal: bcm2835: Fix crash in bcm2835_thermal_debugfs
(bnc#1012628).
- thermal: samsung: Fix incorrect check after code merge
(bnc#1012628).
- thermal/intel_powerclamp: fix __percpu declaration of
worker_data (bnc#1012628).
- paride/pcd: cleanup queues when detection fails (bnc#1012628).
- paride/pf: cleanup queues when detection fails (bnc#1012628).
- ALSA: opl3: fix mismatch between snd_opl3_drum_switch definition
and declaration (bnc#1012628).
- mmc: davinci: remove extraneous __init annotation (bnc#1012628).
- i40iw: Avoid panic when handling the inetdev event
(bnc#1012628).
- IB/mlx4: Fix race condition between catas error reset and
aliasguid flows (bnc#1012628).
- drm/udl: use drm_gem_object_put_unlocked (bnc#1012628).
- auxdisplay: hd44780: Fix memory leak on ->remove()
(bnc#1012628).
- ALSA: sb8: add a check for request_region (bnc#1012628).
- ALSA: echoaudio: add a check for ioremap_nocache (bnc#1012628).
- ext4: report real fs size after failed resize (bnc#1012628).
- ext4: add missing brelse() in add_new_gdb_meta_bg()
(bnc#1012628).
- ext4: avoid panic during forced reboot (bnc#1012628).
- mips: bcm47xx: Enable USB power on Netgear WNDR3400v2
(bnc#1012628).
- perf/core: Restore mmap record type correctly (bnc#1012628).
- inotify: Fix fsnotify_mark refcount leak in
inotify_update_existing_watch() (bnc#1012628).
- arc: hsdk_defconfig: Enable CONFIG_BLK_DEV_RAM (bnc#1012628).
- ARC: u-boot args: check that magic number is correct
(bnc#1012628).
- commit 7bd8353
-------------------------------------------------------------------
Wed Apr 17 12:22:56 CEST 2019 - tiwai@suse.de
- brcmfmac: assure SSID length from firmware is limited
(CVE-2019-9500,bsc#1132681).
- brcmfmac: add subtype check for event handling in data path
(CVE-2019-8564,bsc#1132673).
- commit 4835ec0
-------------------------------------------------------------------
Wed Apr 17 11:17:39 CEST 2019 - jslaby@suse.cz
- Move the vfio patch from kernel.org to suse.
kernel.org is only for stable patches.
- commit 6daf8be
-------------------------------------------------------------------
Wed Apr 17 11:16:48 CEST 2019 - jslaby@suse.cz
- Linux 5.0.8 (bnc#1012628).
- drm/i915/gvt: do not let pin count of shadow mm go negative
(bnc#1012628).
- kbuild: pkg: use -f $(srctree)/Makefile to recurse to top
Makefile (bnc#1012628).
- netfilter: nft_compat: use .release_ops and remove list of
extension (bnc#1012628).
- netfilter: nf_tables: use-after-free in dynamic operations
(bnc#1012628).
- netfilter: nf_tables: add missing ->release_ops() in error
path of newrule() (bnc#1012628).
- hv_netvsc: Fix unwanted wakeup after tx_disable (bnc#1012628).
- ibmvnic: Fix completion structure initialization (bnc#1012628).
- ip6_tunnel: Match to ARPHRD_TUNNEL6 for dev type (bnc#1012628).
- ipv6: Fix dangling pointer when ipv6 fragment (bnc#1012628).
- ipv6: sit: reset ip header pointer in ipip6_rcv (bnc#1012628).
- net: ethtool: not call vzalloc for zero sized memory request
(bnc#1012628).
- net-gro: Fix GRO flush when receiving a GSO packet
(bnc#1012628).
- net/mlx5: Decrease default mr cache size (bnc#1012628).
- netns: provide pure entropy for net_hash_mix() (bnc#1012628).
- net: rds: force to destroy connection if t_sock is NULL in
rds_tcp_kill_sock() (bnc#1012628).
- net/sched: act_sample: fix divide by zero in the traffic path
(bnc#1012628).
- net/sched: fix ->get helper of the matchall cls (bnc#1012628).
- openvswitch: fix flow actions reallocation (bnc#1012628).
- qmi_wwan: add Olicard 600 (bnc#1012628).
- r8169: disable ASPM again (bnc#1012628).
- sctp: initialize _pad of sockaddr_in before copying to user
memory (bnc#1012628).
- tcp: Ensure DCTCP reacts to losses (bnc#1012628).
- tcp: fix a potential NULL pointer dereference in tcp_sk_exit
(bnc#1012628).
- vrf: check accept_source_route on the original netdevice
(bnc#1012628).
- net/mlx5e: Fix error handling when refreshing TIRs
(bnc#1012628).
- net/mlx5e: Add a lock on tir list (bnc#1012628).
- nfp: validate the return code from dev_queue_xmit()
(bnc#1012628).
- nfp: disable netpoll on representors (bnc#1012628).
- bnxt_en: Improve RX consumer index validity check (bnc#1012628).
- bnxt_en: Reset device on RX buffer errors (bnc#1012628).
- net: ip_gre: fix possible use-after-free in erspan_rcv
(bnc#1012628).
- net: ip6_gre: fix possible use-after-free in ip6erspan_rcv
(bnc#1012628).
- net: bridge: always clear mcast matching struct on reports
and leaves (bnc#1012628).
- net: thunderx: fix NULL pointer dereference in
nicvf_open/nicvf_stop (bnc#1012628).
- net: vrf: Fix ping failed when vrf mtu is set to 0
(bnc#1012628).
- net: core: netif_receive_skb_list: unlist skb before passing
to pt->func (bnc#1012628).
- r8169: disable default rx interrupt coalescing on RTL8168
(bnc#1012628).
- net: mlx5: Add a missing check on idr_find, free buf
(bnc#1012628).
- net/mlx5e: Update xoff formula (bnc#1012628).
- net/mlx5e: Update xon formula (bnc#1012628).
- kbuild: clang: choose GCC_TOOLCHAIN_DIR not on LD (bnc#1012628).
- lib/string.c: implement a basic bcmp (bnc#1012628).
- Revert "clk: meson: clean-up clock registration" (bnc#1012628).
- tty: mark Siemens R3964 line discipline as BROKEN (bnc#1012628).
- tty: ldisc: add sysctl to prevent autoloading of ldiscs
(bnc#1012628).
- hwmon: (w83773g) Select REGMAP_I2C to fix build error
(bnc#1012628).
- hwmon: (occ) Fix power sensor indexing (bnc#1012628).
- SMB3: Allow persistent handle timeout to be configurable on
mount (bnc#1012628).
- HID: logitech: Handle 0 scroll events for the m560
(bnc#1012628).
- ACPICA: Clear status of GPEs before enabling them (bnc#1012628).
- ACPICA: Namespace: remove address node from global list after
method termination (bnc#1012628).
- ALSA: seq: Fix OOB-reads from strlcpy (bnc#1012628).
- ALSA: hda/realtek: Enable headset MIC of Acer TravelMate
B114-21 with ALC233 (bnc#1012628).
- ALSA: hda/realtek - Add quirk for Tuxedo XC 1509 (bnc#1012628).
- ALSA: xen-front: Do not use stream buffer size before it is set
(bnc#1012628).
- ALSA: hda - Add two more machines to the power_save_blacklist
(bnc#1012628).
- mm/huge_memory.c: fix modifying of page protection by
insert_pfn_pmd() (bnc#1012628).
- arm64: dts: rockchip: fix rk3328 sdmmc0 write errors
(bnc#1012628).
- mmc: alcor: don't write data before command has completed
(bnc#1012628).
- mmc: sdhci-omap: Don't finish_mrq() on a command error during
tuning (bnc#1012628).
- parisc: Detect QEMU earlier in boot process (bnc#1012628).
- parisc: regs_return_value() should return gpr28 (bnc#1012628).
- parisc: also set iaoq_b in instruction_pointer_set()
(bnc#1012628).
- alarmtimer: Return correct remaining time (bnc#1012628).
- drm/i915/gvt: do not deliver a workload if its creation fails
(bnc#1012628).
- drm/sun4i: DW HDMI: Lower max. supported rate for H6
(bnc#1012628).
- drm/udl: add a release method and delay modeset teardown
(bnc#1012628).
- kvm: svm: fix potential get_num_contig_pages overflow
(bnc#1012628).
- include/linux/bitrev.h: fix constant bitrev (bnc#1012628).
- mm: writeback: use exact memcg dirty counts (bnc#1012628).
- ASoC: intel: Fix crash at suspend/resume after failed codec
registration (bnc#1012628).
- ASoC: fsl_esai: fix channel swap issue when stream starts
(bnc#1012628).
- Btrfs: do not allow trimming when a fs is mounted with the
nologreplay option (bnc#1012628).
- btrfs: prop: fix zstd compression parameter validation
(bnc#1012628).
- btrfs: prop: fix vanished compression property after failed set
(bnc#1012628).
- riscv: Fix syscall_get_arguments() and syscall_set_arguments()
(bnc#1012628).
- block: Revert v5.0 blk_mq_request_issue_directly() changes
(bnc#1012628).
- block: do not leak memory in bio_copy_user_iov() (bnc#1012628).
- block: fix the return errno for direct IO (bnc#1012628).
- genirq: Respect IRQCHIP_SKIP_SET_WAKE in
irq_chip_set_wake_parent() (bnc#1012628).
- genirq: Initialize request_mutex if CONFIG_SPARSE_IRQ=n
(bnc#1012628).
- virtio: Honour 'may_reduce_num' in vring_create_virtqueue
(bnc#1012628).
- ARM: OMAP1: ams-delta: Fix broken GPIO ID allocation
(bnc#1012628).
- ARM: dts: rockchip: fix rk3288 cpu opp node reference
(bnc#1012628).
- ARM: dts: am335x-evmsk: Correct the regulators for the audio
codec (bnc#1012628).
- ARM: dts: am335x-evm: Correct the regulators for the audio codec
(bnc#1012628).
- ARM: dts: rockchip: Fix SD card detection on rk3288-tinker
(bnc#1012628).
- ARM: dts: at91: Fix typo in ISC_D0 on PC9 (bnc#1012628).
- arm64: futex: Fix FUTEX_WAKE_OP atomic ops with non-zero result
value (bnc#1012628).
- arm64: dts: rockchip: Fix vcc_host1_5v GPIO polarity on
rk3328-rock64 (bnc#1012628).
- arm64: dts: rockchip: fix rk3328 rgmii high tx error rate
(bnc#1012628).
- arm64: backtrace: Don't bother trying to unwind the userspace
stack (bnc#1012628).
- arm64/ftrace: fix inadvertent BUG() in trampoline check
(bnc#1012628).
- IB/mlx5: Reset access mask when looping inside page fault
handler (bnc#1012628).
- xen: Prevent buffer overflow in privcmd ioctl (bnc#1012628).
- sched/fair: Do not re-read ->h_load_next during hierarchical
load calculation (bnc#1012628).
- xtensa: fix return_address (bnc#1012628).
- csky: Fix syscall_get_arguments() and syscall_set_arguments()
(bnc#1012628).
- x86/asm: Remove dead __GNUC__ conditionals (bnc#1012628).
- x86/asm: Use stricter assembly constraints in bitops
(bnc#1012628).
- x86/perf/amd: Resolve race condition when disabling PMC
(bnc#1012628).
- x86/perf/amd: Resolve NMI latency issues for active PMCs
(bnc#1012628).
- x86/perf/amd: Remove need to check "running" bit in NMI handler
(bnc#1012628).
- PCI: Add function 1 DMA alias quirk for Marvell 9170 SATA
controller (bnc#1012628).
- PCI: pciehp: Ignore Link State Changes after powering off a slot
(bnc#1012628).
- xprtrdma: Fix helper that drains the transport (bnc#1012628).
- powerpc/64s/radix: Fix radix segment exception handling
(bnc#1012628).
- dm integrity: change memcmp to strncmp in dm_integrity_ctr
(bnc#1012628).
- dm: revert 8f50e358153d ("dm: limit the max bio size as
BIO_MAX_PAGES * PAGE_SIZE") (bnc#1012628).
- dm table: propagate BDI_CAP_STABLE_WRITES to fix sporadic
checksum errors (bnc#1012628).
- dm: disable DISCARD if the underlying storage no longer supports
it (bnc#1012628).
- dm integrity: fix deadlock with overlapping I/O (bnc#1012628).
- drm/virtio: do NOT reuse resource ids (bnc#1012628).
- Update config files: keep LDISC_AUTOLOAD and let R3964 go
* LDISC_AUTOLOAD is new and =y preserves the original behaviour.
* R3964 was marked as BROKEN.
- commit 33c0e93
-------------------------------------------------------------------
Tue Apr 16 22:38:29 CEST 2019 - mkubecek@suse.cz
- series.conf: cleanup
patches.suse/ext2-fsync-err was deleted in 2011 but its (commented out)
line in series.conf was left behind.
- commit d2aebe3
-------------------------------------------------------------------
Mon Apr 15 20:14:10 CEST 2019 - jkosina@suse.cz
- Delete
patches.suse/0001-x86-speculation-Add-basic-IBRS-support-infrastructur.patch.
- Delete
patches.suse/0002-x86-speculation-Add-inlines-to-control-Indirect-Bran.patch.
- Delete
patches.suse/0003-x86-idle-Control-Indirect-Branch-Speculation-in-idle.patch.
- Delete
patches.suse/0004-x86-enter-Create-macros-to-restrict-unrestrict-Indir.patch.
- Delete
patches.suse/0005-x86-enter-Use-IBRS-on-syscall-and-interrupts.patch.
Drop SUSE-specific IBRS-on-SKL implementation. Please refer to
page 16 of Intel's paper [1]: "Intel considers the risk of an attack
based on exploiting deep call stacks low."
[1] https://software.intel.com/security-software-guidance/api-app/sites/default/files/Retpoline-A-Branch-Target-Injection-Mitigation.pdf
- Delete
patches.suse/0001-x86-speculation-Add-basic-IBRS-support-infrastructur.patch.
- Delete
patches.suse/0002-x86-speculation-Add-inlines-to-control-Indirect-Bran.patch.
- Delete
patches.suse/0003-x86-idle-Control-Indirect-Branch-Speculation-in-idle.patch.
- Delete
patches.suse/0004-x86-enter-Create-macros-to-restrict-unrestrict-Indir.patch.
- Delete
patches.suse/0005-x86-enter-Use-IBRS-on-syscall-and-interrupts.patch.
Drop SUSE-specific IBRS-on-SKL implementation. Please refer to
page 16 of [1]
[1] https://software.intel.com/security-software-guidance/api-app/sites/default/files/Retpoline-A-Branch-Target-Injection-Mitigation.pdf
- commit 2cfda4d
-------------------------------------------------------------------
Mon Apr 15 12:44:40 CEST 2019 - jslaby@suse.cz
- Refresh
patches.suse/drm-i915-dp-revert-back-to-max-link-rate-and-lane-count-on-eDP.patch.
- commit 8a6bcaf
-------------------------------------------------------------------
Mon Apr 15 01:02:01 CEST 2019 - mkubecek@suse.cz
- Update to 5.1-rc5
- commit 2fd333d
-------------------------------------------------------------------
Fri Apr 12 15:02:34 CEST 2019 - jroedel@suse.de
- vfio/type1: Limit DMA mappings per container (CVE-2019-3882 bsc#1131427).
- commit 012b5f1
-------------------------------------------------------------------
Fri Apr 12 14:16:06 CEST 2019 - jroedel@suse.de
- KVM: x86: nVMX: fix x2APIC VTPR read intercept (CVE-2019-3887
bsc#1131800).
- KVM: x86: nVMX: close leak of L0's x2APIC MSRs (CVE-2019-3887)
(CVE-2019-3887 bsc#1131800).
- commit d597027
-------------------------------------------------------------------
Thu Apr 11 17:09:53 CEST 2019 - msuchanek@suse.de
- Do not provide kernel-default from kernel-default-base (boo#1132154, bsc#1106751).
- commit 0e54e61
-------------------------------------------------------------------
Thu Apr 11 16:16:28 CEST 2019 - msuchanek@suse.de
- rpm/kernel-subpackage-spec: only provide firmware actually present in
subpackage.
- commit 839debd
-------------------------------------------------------------------
Thu Apr 11 09:41:42 CEST 2019 - jslaby@suse.cz
- kcm: switch order of device registration to fix a crash
(bnc#1130527).
- commit 508a450
-------------------------------------------------------------------
Wed Apr 10 14:02:14 CEST 2019 - msuchanek@suse.de
- kernel-subpackage-spec: Add dummy package to ensure subpackages are
rebuilt with kernel update (bsc#1106751).
In factory packages are not rebuilt automatically so a dependency is
needed on the old kernel to get a rebuild with the new kernel. THe
subpackage itself cannot depend on the kernel so add another empty
pacakge that does depend on it.
- commit 6d14837
-------------------------------------------------------------------
Wed Apr 10 12:17:44 CEST 2019 - tiwai@suse.de
- drm/i915/dp: revert back to max link rate and lane count on eDP
(bsc#1132033).
- commit d7dabeb
-------------------------------------------------------------------
Tue Apr 9 14:58:30 CEST 2019 - jdelvare@suse.de
- Disable CONFIG_SERIO_OLPC_APSP on all but armv7
This driver is only used by ARMv7-based OLPC laptops.
- commit 7b1b640
-------------------------------------------------------------------
Tue Apr 9 14:53:59 CEST 2019 - jdelvare@suse.de
- Disable CONFIG_SENSORS_OCC_*
These drivers are running on the BMC of PowerPC servers. The BMC runs
OpenBMC and is not a target for SUSE distributions.
- commit a82eb87
-------------------------------------------------------------------
Mon Apr 8 07:34:05 CEST 2019 - mkubecek@suse.cz
- config: disable DEVKMEM (bsc#1128045)
- commit 8b88553
-------------------------------------------------------------------
Mon Apr 8 07:30:49 CEST 2019 - mkubecek@suse.cz
- Update to 5.1-rc4
- Refresh configs
- commit e334e4f
-------------------------------------------------------------------
Sat Apr 6 16:47:49 CEST 2019 - jslaby@suse.cz
- Linux 5.0.7 (bnc#1012628).
- ext4: cleanup bh release code in ext4_ind_remove_space()
(bnc#1012628).
- CIFS: fix POSIX lock leak and invalid ptr deref (bnc#1012628).
- nvme-fc: fix numa_node when dev is null (bnc#1012628).
- nvme-loop: init nvmet_ctrl fatal_err_work when allocate
(bnc#1012628).
- h8300: use cc-cross-prefix instead of hardcoding
h8300-unknown-linux- (bnc#1012628).
- f2fs: fix to adapt small inline xattr space in
__find_inline_xattr() (bnc#1012628).
- f2fs: fix to avoid deadlock in f2fs_read_inline_dir()
(bnc#1012628).
- apparmor: fix double free when unpack of secmark rules fails
(bnc#1012628).
- tracing: kdb: Fix ftdump to not sleep (bnc#1012628).
- net/mlx5e: Fix access to non-existing receive queue
(bnc#1012628).
- net/mlx5: Avoid panic when setting vport rate (bnc#1012628).
- net/mlx5: Avoid panic when setting vport mac, getting vport
config (bnc#1012628).
- xsk: fix to reject invalid flags in xsk_bind (bnc#1012628).
- clk: ti: clkctrl: Fix clkdm_name regression for
TI_CLK_CLKCTRL_COMPAT (bnc#1012628).
- gpio: gpio-omap: fix level interrupt idling (bnc#1012628).
- include/linux/relay.h: fix percpu annotation in struct rchan
(bnc#1012628).
- sysctl: handle overflow for file-max (bnc#1012628).
- net: stmmac: Avoid sometimes uninitialized Clang warnings
(bnc#1012628).
- enic: fix build warning without CONFIG_CPUMASK_OFFSTACK
(bnc#1012628).
- libbpf: force fixdep compilation at the start of the build
(bnc#1012628).
- scsi: hisi_sas: Set PHY linkrate when disconnected
(bnc#1012628).
- scsi: hisi_sas: Fix a timeout race of driver internal and SMP IO
(bnc#1012628).
- iio: adc: fix warning in Qualcomm PM8xxx HK/XOADC driver
(bnc#1012628).
- x86/hyperv: Fix kernel panic when kexec on HyperV (bnc#1012628).
- perf c2c: Fix c2c report for empty numa node (bnc#1012628).
- mm/sparse: fix a bad comparison (bnc#1012628).
- mm/cma.c: cma_declare_contiguous: correct err handling
(bnc#1012628).
- mm/page_ext.c: fix an imbalance with kmemleak (bnc#1012628).
- mm, swap: bounds check swap_info array accesses to avoid NULL
derefs (bnc#1012628).
- docs/core-api/mm: fix user memory accessors formatting
(bnc#1012628).
- mm,oom: don't kill global init via memory.oom.group
(bnc#1012628).
- memcg: killed threads should not invoke memcg OOM killer
(bnc#1012628).
- mm, mempolicy: fix uninit memory access (bnc#1012628).
- mm/vmalloc.c: fix kernel BUG at mm/vmalloc.c:512! (bnc#1012628).
- mm/slab.c: kmemleak no scan alien caches (bnc#1012628).
- ocfs2: fix a panic problem caused by o2cb_ctl (bnc#1012628).
- f2fs: do not use mutex lock in atomic context (bnc#1012628).
- f2fs: fix to data block override node segment by mistake
(bnc#1012628).
- fs/file.c: initialize init_files.resize_wait (bnc#1012628).
- page_poison: play nicely with KASAN (bnc#1012628).
- kasan: fix kasan_check_read/write definitions (bnc#1012628).
- cifs: use correct format characters (bnc#1012628).
- dm thin: add sanity checks to thin-pool and external snapshot
creation (bnc#1012628).
- f2fs: fix to check inline_xattr_size boundary correctly
(bnc#1012628).
- cifs: Accept validate negotiate if server return
NT_STATUS_NOT_SUPPORTED (bnc#1012628).
- perf beauty msg_flags: Add missing %s lost when adding prefix
suppression logic (bnc#1012628).
- netfilter: nf_tables: check the result of dereferencing
base_chain->stats (bnc#1012628).
- PCI: mediatek: Fix memory mapped IO range size computation
(bnc#1012628).
- netfilter: conntrack: tcp: only close if RST matches exact
sequence (bnc#1012628).
- iommu/vt-d: Disable ATS support on untrusted devices
(bnc#1012628).
- jbd2: fix invalid descriptor block checksum (bnc#1012628).
- ext4: fix bigalloc cluster freeing when hole punching under load
(bnc#1012628).
- fs: fix guard_bio_eod to check for real EOD errors
(bnc#1012628).
- tools lib traceevent: Fix buffer overflow in arg_eval
(bnc#1012628).
- mm/resource: Return real error codes from walk failures
(bnc#1012628).
- PCI/PME: Fix hotplug/sysfs remove deadlock in pcie_pme_remove()
(bnc#1012628).
- wil6210: check null pointer in _wil_cfg80211_merge_extra_ies
(bnc#1012628).
- mt76: fix a leaked reference by adding a missing of_node_put
(bnc#1012628).
- ath10k: Fix the wrong updation of BW in tx_stats debugfs entry
(bnc#1012628).
- lockdep/lib/tests: Fix run_tests.sh (bnc#1012628).
- crypto: crypto4xx - add missing of_node_put after
of_device_is_available (bnc#1012628).
- crypto: cavium/zip - fix collision with generic cra_driver_name
(bnc#1012628).
- tools/bpf: selftests: add map lookup to test_map_in_map bpf prog
(bnc#1012628).
- usb: chipidea: Grab the (legacy) USB PHY by phandle first
(bnc#1012628).
- powerpc/powernv/ioda: Fix locked_vm counting for memory used
by IOMMU tables (bnc#1012628).
- scsi: core: replace GFP_ATOMIC with GFP_KERNEL in scsi_scan.c
(bnc#1012628).
- kbuild: invoke syncconfig if include/config/auto.conf.cmd is
missing (bnc#1012628).
- kbuild: make -r/-R effective in top Makefile for old Make
versions (bnc#1012628).
- btrfs: save drop_progress if we drop refs at all (bnc#1012628).
- drm/amd/display: Fix reference counting for struct dc_sink
(bnc#1012628).
- ath10k: don't report unset rssi values to mac80211
(bnc#1012628).
- powerpc/xmon: Fix opcode being uninitialized in
print_insn_powerpc (bnc#1012628).
- coresight: etm4x: Add support to enable ETMv4.2 (bnc#1012628).
- serial: 8250_pxa: honor the port number from devicetree
(bnc#1012628).
- ARM: 8840/1: use a raw_spinlock_t in unwind (bnc#1012628).
- ARM: 8845/1: use unified assembler in c files (bnc#1012628).
- iommu/io-pgtable-arm-v7s: Only kmemleak_ignore L2 tables
(bnc#1012628).
- powerpc/hugetlb: Handle mmap_min_addr correctly in
get_unmapped_area callback (bnc#1012628).
- net: dsa: mv88e6xxx: Default CMODE to 1000BaseX only on 6390X
(bnc#1012628).
- ice: fix ice_remove_rule_internal vsi_list handling
(bnc#1012628).
- perf script: Handle missing fields with -F +. (bnc#1012628).
- btrfs: qgroup: Make qgroup async transaction commit more
aggressive (bnc#1012628).
- btrfs: don't enospc all tickets on flush failure (bnc#1012628).
- mmc: omap: fix the maximum timeout setting (bnc#1012628).
- net: dsa: mv88e6xxx: Add lockdep classes to fix false positive
splat (bnc#1012628).
- net: hns3: fix setting of the hns reset_type for rdma hw errors
(bnc#1012628).
- veth: Fix -Wformat-truncation (bnc#1012628).
- e1000e: Fix -Wformat-truncation warnings (bnc#1012628).
- mlxsw: spectrum: Avoid -Wformat-truncation warnings
(bnc#1012628).
- i2c: Allow recovery of the initial IRQ by an I2C client device
(bnc#1012628).
- platform/x86: ideapad-laptop: Fix no_hw_rfkill_list for Lenovo
RESCUER R720-15IKBN (bnc#1012628).
- platform/mellanox: mlxreg-hotplug: Fix KASAN warning
(bnc#1012628).
- loop: set GENHD_FL_NO_PART_SCAN after blkdev_reread_part()
(bnc#1012628).
- i2c: designware: Do not allow i2c_dw_xfer() calls while
suspended (bnc#1012628).
- IB/mlx4: Increase the timeout for CM cache (bnc#1012628).
- clk: fractional-divider: check parent rate only if flag is set
(bnc#1012628).
- perf annotate: Fix getting source line failure (bnc#1012628).
- powerpc/44x: Force PCI on for CURRITUCK (bnc#1012628).
- ASoC: qcom: Fix of-node refcount unbalance in
qcom_snd_parse_of() (bnc#1012628).
- cpufreq: acpi-cpufreq: Report if CPU doesn't support boost
technologies (bnc#1012628).
- efi: cper: Fix possible out-of-bounds access (bnc#1012628).
- s390/ism: ignore some errors during deregistration
(bnc#1012628).
- scsi: megaraid_sas: return error when create DMA pool failed
(bnc#1012628).
- scsi: fcoe: make use of fip_mode enum complete (bnc#1012628).
- drm/amd/display: Clear stream->mode_changed after commit
(bnc#1012628).
- perf test: Fix failure of 'evsel-tp-sched' test on s390
(bnc#1012628).
- mwifiex: don't advertise IBSS features without FW support
(bnc#1012628).
- perf report: Don't shadow inlined symbol with different addr
range (bnc#1012628).
- SoC: imx-sgtl5000: add missing put_device() (bnc#1012628).
- media: ov7740: fix runtime pm initialization (bnc#1012628).
- media: sh_veu: Correct return type for mem2mem buffer helpers
(bnc#1012628).
- media: s5p-jpeg: Correct return type for mem2mem buffer helpers
(bnc#1012628).
- media: rockchip/rga: Correct return type for mem2mem buffer
helpers (bnc#1012628).
- media: s5p-g2d: Correct return type for mem2mem buffer helpers
(bnc#1012628).
- media: mx2_emmaprp: Correct return type for mem2mem buffer
helpers (bnc#1012628).
- media: mtk-jpeg: Correct return type for mem2mem buffer helpers
(bnc#1012628).
- media: rockchip/vpu: Correct return type for mem2mem buffer
helpers (bnc#1012628).
- mt76: usb: do not run mt76u_queues_deinit twice (bnc#1012628).
- gpio: of: Apply regulator-gpio quirk only to enable-gpios
(bnc#1012628).
- xen/gntdev: Do not destroy context while dma-bufs are in use
(bnc#1012628).
- vfs: fix preadv64v2 and pwritev64v2 compat syscalls with offset
== -1 (bnc#1012628).
- HID: intel-ish-hid: avoid binding wrong ishtp_cl_device
(bnc#1012628).
- cgroup, rstat: Don't flush subtree root unless necessary
(bnc#1012628).
- efi: Fix build error due to enum collision between efi.h and
ima.h (bnc#1012628).
- drm/sched: Fix entities with 0 rqs (bnc#1012628).
- regulator: core: Take lock before applying system load
(bnc#1012628).
- jbd2: fix race when writing superblock (bnc#1012628).
- leds: lp55xx: fix null deref on firmware load failure
(bnc#1012628).
- tools build: Add -lrt to FEATURE_CHECK_LDFLAGS-libaio
(bnc#1012628).
- tools build: Add test-reallocarray.c to test-all.c to fix the
build (bnc#1012628).
- perf beauty waitid options: Fix up prefix showing logic
(bnc#1012628).
- perf trace: Check if the 'fd' is negative when mapping it to
pathname (bnc#1012628).
- perf report: Add s390 diagnosic sampling descriptor size
(bnc#1012628).
- perf coresight: Do not test for libopencsd by default
(bnc#1012628).
- iwlwifi: pcie: fix emergency path (bnc#1012628).
- ACPI / video: Refactor and fix dmi_is_desktop() (bnc#1012628).
- =?UTF-8?q?selftests:=20ir:=20fix=20warning:=20"%s"=20dire?=
=?UTF-8?q?ctive=20output=20may=20be=20truncated=20=E2=80=99=20directive?=
=?UTF-8?q?=20output=20may=20be=20truncated?= (bnc#1012628).
- selftests: skip seccomp get_metadata test if not real root
(bnc#1012628).
- kprobes: Prohibit probing on bsearch() (bnc#1012628).
- kprobes: Prohibit probing on RCU debug routine (bnc#1012628).
- netfilter: conntrack: fix cloned unconfirmed skb->_nfct race
in __nf_conntrack_confirm (bnc#1012628).
- ARM: 8833/1: Ensure that NEON code always compiles with Clang
(bnc#1012628).
- ARM: dts: meson8b: fix the Ethernet data line signals in
eth_rgmii_pins (bnc#1012628).
- ALSA: PCM: check if ops are defined before suspending PCM
(bnc#1012628).
- ath10k: fix shadow register implementation for WCN3990
(bnc#1012628).
- usb: f_fs: Avoid crash due to out-of-scope stack ptr access
(bnc#1012628).
- sched/topology: Fix percpu data types in struct sd_data &
struct s_data (bnc#1012628).
- bcache: fix input overflow to cache set sysfs file
io_error_halflife (bnc#1012628).
- bcache: fix input overflow to sequential_cutoff (bnc#1012628).
- bcache: fix potential div-zero error of
writeback_rate_i_term_inverse (bnc#1012628).
- bcache: improve sysfs_strtoul_clamp() (bnc#1012628).
- genirq: Avoid summation loops for /proc/stat (bnc#1012628).
- net: marvell: mvpp2: fix stuck in-band SGMII negotiation
(bnc#1012628).
- iw_cxgb4: fix srqidx leak during connection abort (bnc#1012628).
- net: phy: consider latched link-down status in polling mode
(bnc#1012628).
- fbdev: fbmem: fix memory access if logo is bigger than the
screen (bnc#1012628).
- cdrom: Fix race condition in cdrom_sysctl_register
(bnc#1012628).
- drm: rcar-du: add missing of_node_put (bnc#1012628).
- drm/amd/display: Don't re-program planes for DPMS changes
(bnc#1012628).
- bpf: test_maps: fix possible out of bound access warning
(bnc#1012628).
- x86/kexec: Fill in acpi_rsdp_addr from the first kernel
(bnc#1012628).
- powerpc/ptrace: Mitigate potential Spectre v1 (bnc#1012628).
- drm/amd/display: Disconnect mpcc when changing tg (bnc#1012628).
- perf/aux: Make perf_event accessible to setup_aux()
(bnc#1012628).
- e1000e: fix cyclic resets at link up with active tx
(bnc#1012628).
- e1000e: Exclude device from suspend direct complete optimization
(bnc#1012628).
- platform/x86: intel_pmc_core: Fix PCH IP sts reading
(bnc#1012628).
- i2c: of: Try to find an I2C adapter matching the parent
(bnc#1012628).
- staging: spi: mt7621: Add return code check on device_reset()
(bnc#1012628).
- iwlwifi: mvm: fix RFH config command with >=10 CPUs
(bnc#1012628).
- ASoC: fsl-asoc-card: fix object reference leaks in
fsl_asoc_card_probe (bnc#1012628).
- sched/debug: Initialize sd_sysctl_cpus if
!CONFIG_CPUMASK_OFFSTACK (bnc#1012628).
- efi/memattr: Don't bail on zero VA if it equals the region's PA
(bnc#1012628).
- sched/core: Use READ_ONCE()/WRITE_ONCE() in
move_queued_task()/task_rq_lock() (bnc#1012628).
- drm/vkms: Bugfix racing hrtimer vblank handle (bnc#1012628).
- drm/vkms: Bugfix extra vblank frame (bnc#1012628).
- ARM: dts: lpc32xx: Remove leading 0x and 0s from bindings
notation (bnc#1012628).
- efi/arm/arm64: Allow SetVirtualAddressMap() to be omitted
(bnc#1012628).
- soc: qcom: gsbi: Fix error handling in gsbi_probe()
(bnc#1012628).
- drm/msm/dpu: Convert to a chained irq chip (bnc#1012628).
- mt7601u: bump supported EEPROM version (bnc#1012628).
- ARM: 8830/1: NOMMU: Toggle only bits in EXC_RETURN we are
really care of (bnc#1012628).
- ARM: avoid Cortex-A9 livelock on tight dmb loops (bnc#1012628).
- block, bfq: fix in-service-queue check for queue merging
(bnc#1012628).
- block, bfq: fix queue removal from weights tree (bnc#1012628).
- bpf: fix missing prototype warnings (bnc#1012628).
- selftests/bpf: skip verifier tests for unsupported program types
(bnc#1012628).
- powerpc/64s: Clear on-stack exception marker upon exception
return (bnc#1012628).
- cgroup/pids: turn cgroup_subsys->free() into
cgroup_subsys->release() to fix the accounting (bnc#1012628).
- backlight: pwm_bl: Use gpiod_get_value_cansleep() to get
initial state (bnc#1012628).
- tty: increase the default flip buffer limit to 2*640K
(bnc#1012628).
- powerpc/pseries: Perform full re-add of CPU for topology update
post-migration (bnc#1012628).
- drm/amd/display: Enable vblank interrupt during CRC capture
(bnc#1012628).
- ALSA: dice: add support for Solid State Logic Duende
Classic/Mini (bnc#1012628).
- regulator: mcp16502: Include linux/gpio/consumer.h to fix
build error (bnc#1012628).
- usb: dwc3: gadget: Fix OTG events when gadget driver isn't
loaded (bnc#1012628).
- platform/x86: intel-hid: Missing power button release on some
Dell models (bnc#1012628).
- perf trace: Fixup etcsnoop example (bnc#1012628).
- perf script python: Use PyBytes for attr in trace-event-python
(bnc#1012628).
- perf script python: Add trace_context extension module to
sys.modules (bnc#1012628).
- media: mt9m111: set initial frame size other than 0x0
(bnc#1012628).
- hwrng: virtio - Avoid repeated init of completion (bnc#1012628).
- soc/tegra: fuse: Fix illegal free of IO base address
(bnc#1012628).
- selftests/bpf: suppress readelf stderr when probing for BTF
support (bnc#1012628).
- HID: intel-ish: ipc: handle PIMR before ish_wakeup also clear
PISR busy_clear bit (bnc#1012628).
- f2fs: UBSAN: set boolean value iostat_enable correctly
(bnc#1012628).
- f2fs: fix to initialize variable to avoid UBSAN/smatch warning
(bnc#1012628).
- hpet: Fix missing '=' character in the __setup() code of
hpet_mmap_enable (bnc#1012628).
- pinctrl: meson: fix G12A ao pull registers base address
(bnc#1012628).
- pinctrl: sh-pfc: r8a77990: Fix MOD_SEL bit numbering
(bnc#1012628).
- pinctrl: sh-pfc: r8a77995: Fix MOD_SEL bit numbering
(bnc#1012628).
- cpu/hotplug: Mute hotplug lockdep during init (bnc#1012628).
- dmaengine: imx-dma: fix warning comparison of distinct pointer
types (bnc#1012628).
- dmaengine: qcom_hidma: assign channel cookie correctly
(bnc#1012628).
- dmaengine: qcom_hidma: initialize tx flags in hidma_prep_dma_*
(bnc#1012628).
- netfilter: physdev: relax br_netfilter dependency (bnc#1012628).
- media: rcar-vin: Allow independent VIN link enablement
(bnc#1012628).
- media: s5p-jpeg: Check for fmt_ver_flag when doing fmt
enumeration (bnc#1012628).
- PCI: pciehp: Assign ctrl->slot_ctrl before writing it to
hardware (bnc#1012628).
- audit: hand taken context to audit_kill_trees for syscall
logging (bnc#1012628).
- regulator: act8865: Fix act8600_sudcdc_voltage_ranges setting
(bnc#1012628).
- pinctrl: meson: meson8b: add the eth_rxd2 and eth_rxd3 pins
(bnc#1012628).
- drm: Auto-set allow_fb_modifiers when given modifiers at plane
init (bnc#1012628).
- drm/nouveau: Stop using drm_crtc_force_disable (bnc#1012628).
- x86/build: Specify elf_i386 linker emulation explicitly for
i386 objects (bnc#1012628).
- selinux: do not override context on context mounts
(bnc#1012628).
- brcmfmac: Use firmware_request_nowarn for the clm_blob
(bnc#1012628).
- wlcore: Fix memory leak in case wl12xx_fetch_firmware failure
(bnc#1012628).
- x86/build: Mark per-CPU symbols as absolute explicitly for LLD
(bnc#1012628).
- drm/fb-helper: fix leaks in error path of
drm_fb_helper_fbdev_setup (bnc#1012628).
- clk: meson: clean-up clock registration (bnc#1012628).
- ARM: shmobile: Fix R-Car Gen2 regulator quirk (bnc#1012628).
- clk: rockchip: fix frac settings of GPLL clock for rk3328
(bnc#1012628).
- dmaengine: tegra: avoid overflow of byte tracking (bnc#1012628).
- staging: iio: adt7316: fix dac_bits assignment (bnc#1012628).
- Input: soc_button_array - fix mapping of the 5th GPIO in a
PNP0C40 device (bnc#1012628).
- ASoC: simple-card-utils: check "reg" property on
asoc_simple_card_get_dai_id() (bnc#1012628).
- drm: Reorder set_property_atomic to avoid returning with an
active ww_ctx (bnc#1012628).
- drm/dp/mst: Configure no_stop_bit correctly for remote i2c xfers
(bnc#1012628).
- net: stmmac: Avoid one more sometimes uninitialized Clang
warning (bnc#1012628).
- appletalk: Fix compile regression (bnc#1012628).
- gpio: of: Restrict enable-gpio quirk to regulator-gpio
(bnc#1012628).
- ACPI / video: Extend chassis-type detection with a "Lunch Box"
check (bnc#1012628).
- bcache: fix potential div-zero error of
writeback_rate_p_term_inverse (bnc#1012628).
- kbuild: add workaround for Debian make-kpkg (bnc#1012628).
- kbuild: skip sub-make for in-tree build with GNU Make 4.x
(bnc#1012628).
- commit 8f18342
-------------------------------------------------------------------
Thu Apr 4 06:38:40 CEST 2019 - jslaby@suse.cz
- Linux 5.0.6 (bnc#1012628).
- mt76x02u: use usb_bulk_msg to upload firmware (bnc#1012628).
- bpf: do not restore dst_reg when cur_state is freed
(bnc#1012628).
- KVM: x86: update %rip after emulating IO (bnc#1012628).
- KVM: x86: Emulate MSR_IA32_ARCH_CAPABILITIES on AMD hosts
(bnc#1012628).
- KVM: Reject device ioctls from processes other than the VM's
creator (bnc#1012628).
- x86/smp: Enforce CONFIG_HOTPLUG_CPU when SMP=y (bnc#1012628).
- cpu/hotplug: Prevent crash when CPU bringup fails on
CONFIG_HOTPLUG_CPU=n (bnc#1012628).
- watchdog: Respect watchdog cpumask on CPU hotplug (bnc#1012628).
- powerpc/pseries/mce: Fix misleading print for TLB mutlihit
(bnc#1012628).
- powerpc/64: Fix memcmp reading past the end of src/dest
(bnc#1012628).
- powerpc/pseries/energy: Use OF accessor functions to read
ibm,drc-indexes (bnc#1012628).
- objtool: Query pkg-config for libelf location (bnc#1012628).
- perf intel-pt: Fix TSC slip (bnc#1012628).
- perf pmu: Fix parser error for uncore event alias (bnc#1012628).
- mm/migrate.c: add missing flush_dcache_page for non-mapped
page migrate (bnc#1012628).
- mm/page_isolation.c: fix a wrong flag in
set_migratetype_isolate() (bnc#1012628).
- mm/memory_hotplug.c: fix notification in offline error path
(bnc#1012628).
- mm/debug.c: fix __dump_page when mapping->host is not set
(bnc#1012628).
- mm: mempolicy: make mbind() return -EIO when MPOL_MF_STRICT
is specified (bnc#1012628).
- iommu/io-pgtable-arm-v7s: request DMA32 memory, and improve
debugging (bnc#1012628).
- mm: add support for kmem caches in DMA32 zone (bnc#1012628).
- mm/hotplug: fix offline undo_isolate_page_range() (bnc#1012628).
- usb: typec: Fix unchecked return value (bnc#1012628).
- usb: typec: tcpm: Try PD-2.0 if sink does not respond to 3.0
source-caps (bnc#1012628).
- usb: cdc-acm: fix race during wakeup blocking TX traffic
(bnc#1012628).
- xhci: Don't let USB3 ports stuck in polling state prevent
suspend (bnc#1012628).
- usb: xhci: dbc: Don't free all memory with spinlock held
(bnc#1012628).
- xhci: Fix port resume done detection for SS ports with LPM
enabled (bnc#1012628).
- usb: host: xhci-rcar: Add XHCI_TRUST_TX_LENGTH quirk
(bnc#1012628).
- mm/memory.c: fix modifying of page protection by insert_pfn()
(bnc#1012628).
- usb: common: Consider only available nodes for dr_mode
(bnc#1012628).
- USB: gadget: f_hid: fix deadlock in f_hidg_write()
(bnc#1012628).
- usb: mtu3: fix EXTCON dependency (bnc#1012628).
- phy: sun4i-usb: Support set_mode to USB_HOST for non-OTG PHYs
(bnc#1012628).
- gpio: adnp: Fix testing wrong value in adnp_gpio_direction_input
(bnc#1012628).
- gpio: exar: add a check for the return value of ida_simple_get
fails (bnc#1012628).
- drm/i915/icl: Fix the TRANS_DDI_FUNC_CTL2 bitfield macro
(bnc#1012628).
- drm/i915/gvt: Fix MI_FLUSH_DW parsing with correct index check
(bnc#1012628).
- drm/i915: Mark AML 0x87CA as ULX (bnc#1012628).
- drm/vkms: fix use-after-free when drm_gem_handle_create()
fails (bnc#1012628).
- drm/vgem: fix use-after-free when drm_gem_handle_create()
fails (bnc#1012628).
- cpufreq: scpi: Fix use after free (bnc#1012628).
- cpufreq: intel_pstate: Also use CPPC nominal_perf for
base_frequency (bnc#1012628).
- blk-mq: fix sbitmap ws_active for shared tags (bnc#1012628).
- drivers/block/zram/zram_drv.c: fix idle/writeback string compare
(bnc#1012628).
- fs/proc/proc_sysctl.c: fix NULL pointer dereference in put_links
(bnc#1012628).
- Disable kgdboc failed by echo space to
/sys/module/kgdboc/parameters/kgdboc (bnc#1012628).
- ACPI / CPPC: Fix guaranteed performance handling (bnc#1012628).
- USB: serial: option: add Olicard 600 (bnc#1012628).
- USB: serial: option: add support for Quectel EM12 (bnc#1012628).
- USB: serial: option: set driver_info for SIM5218 and compatibles
(bnc#1012628).
- USB: serial: mos7720: fix mos_parport refcount imbalance on
error path (bnc#1012628).
- USB: serial: ftdi_sio: add additional NovaTech products
(bnc#1012628).
- USB: serial: cp210x: add new device id (bnc#1012628).
- serial: sh-sci: Fix setting SCSCR_TIE while transferring data
(bnc#1012628).
- serial: mvebu-uart: Fix to avoid a potential NULL pointer
dereference (bnc#1012628).
- serial: max310x: Fix to avoid potential NULL pointer dereference
(bnc#1012628).
- staging: erofs: keep corrupted fs from crashing kernel in
erofs_readdir() (bnc#1012628).
- staging: erofs: fix error handling when failed to read
compresssed data (bnc#1012628).
- staging: erofs: fix to handle error path of erofs_vmap()
(bnc#1012628).
- staging: vt6655: Fix interrupt race condition on device start up
(bnc#1012628).
- staging: vt6655: Remove vif check from vnt_interrupt
(bnc#1012628).
- staging: speakup_soft: Fix alternate speech with other synths
(bnc#1012628).
- staging: olpc_dcon_xo_1: add missing 'const' qualifier
(bnc#1012628).
- staging: comedi: ni_mio_common: Fix divide-by-zero for DIO
cmdtest (bnc#1012628).
- tty: serial: qcom_geni_serial: Initialize baud in
qcom_geni_console_setup (bnc#1012628).
- tty: atmel_serial: fix a potential NULL pointer dereference
(bnc#1012628).
- tty: mxs-auart: fix a potential NULL pointer dereference
(bnc#1012628).
- tty/serial: atmel: RS485 HD w/DMA: enable RX after TX is stopped
(bnc#1012628).
- tty/serial: atmel: Add is_half_duplex helper (bnc#1012628).
- drm/rockchip: vop: reset scale mode when win is disabled
(bnc#1012628).
- scsi: zfcp: fix scsi_eh host reset with port_forced ERP for
non-NPIV FCP devices (bnc#1012628).
- scsi: zfcp: fix rport unblock if deleted SCSI devices on
Scsi_Host (bnc#1012628).
- scsi: sd: Quiesce warning if device does not report optimal
I/O size (bnc#1012628).
- scsi: sd: Fix a race between closing an sd device and sd I/O
(bnc#1012628).
- ocfs2: fix inode bh swapping mixup in ocfs2_reflink_inodes_lock
(bnc#1012628).
- fs/open.c: allow opening only regular files during execve()
(bnc#1012628).
- kbuild: modversions: Fix relative CRC byte order interpretation
(bnc#1012628).
- ALSA: hda/realtek - Fix speakers on Acer Predator Helios 500
Ryzen laptops (bnc#1012628).
- ALSA: hda/realtek: Enable headset MIC of ASUS X430UN and X512DK
with ALC256 (bnc#1012628).
- ALSA: hda/realtek: Enable headset mic of ASUS P5440FF with
ALC256 (bnc#1012628).
- ALSA: hda/realtek: Enable ASUS X441MB and X705FD headset MIC
with ALC256 (bnc#1012628).
- ALSA: hda/realtek - Add support for Acer Aspire E5-523G/ES1-432
headset mic (bnc#1012628).
- ALSA: hda/realtek: Enable headset MIC of Acer Aspire Z24-890
with ALC286 (bnc#1012628).
- ALSA: hda/realtek: Enable headset MIC of Acer AIO with ALC286
(bnc#1012628).
- ALSA: hda/realtek - Add support headset mode for New DELL WYSE
NB (bnc#1012628).
- ALSA: hda/realtek - Add support headset mode for DELL WYSE AIO
(bnc#1012628).
- ALSA: hda/realtek: merge alc_fixup_headset_jack to
alc295_fixup_chromebook (bnc#1012628).
- ALSA: hda/realtek - Fixed Headset Mic JD not stable
(bnc#1012628).
- ALSA: pcm: Don't suspend stream in unrecoverable PCM state
(bnc#1012628).
- ALSA: pcm: Fix possible OOB access in PCM oss plugins
(bnc#1012628).
- ALSA: seq: oss: Fix Spectre v1 vulnerability (bnc#1012628).
- ALSA: rawmidi: Fix potential Spectre v1 vulnerability
(bnc#1012628).
- net: dsa: qca8k: remove leftover phy accessors (bnc#1012628).
- NFSv4.1 don't free interrupted slot on open (bnc#1012628).
- NFS: fix mount/umount race in nlmclnt (bnc#1012628).
- NFS: Fix nfs4_lock_state refcounting in
nfs4_alloc_{lock,unlock}data() (bnc#1012628).
- vfio: ccw: only free cp on final interrupt (bnc#1012628).
- powerpc: bpf: Fix generation of load/store DW instructions
(bnc#1012628).
- ARM: imx6q: cpuidle: fix bug that CPU might not wake up at
expected time (bnc#1012628).
- tracing: initialize variable in create_dyn_event()
(bnc#1012628).
- locks: wake any locks blocked on request before deadlock check
(bnc#1012628).
- Btrfs: fix assertion failure on fsync with NO_HOLES enabled
(bnc#1012628).
- btrfs: Avoid possible qgroup_rsv_size overflow in
btrfs_calculate_inode_block_rsv_size (bnc#1012628).
- btrfs: Fix bound checking in qgroup_trace_new_subtree_blocks
(bnc#1012628).
- btrfs: raid56: properly unmap parity page in
finish_parity_scrub() (bnc#1012628).
- btrfs: don't report readahead errors and don't update statistics
(bnc#1012628).
- btrfs: remove WARN_ON in log_dir_items (bnc#1012628).
- Btrfs: fix incorrect file size after shrinking truncate and
fsync (bnc#1012628).
- powerpc/fsl: Fix the flush of branch predictor (bnc#1012628).
- tun: add a missing rcu_read_unlock() in error path
(bnc#1012628).
- ila: Fix rhashtable walker list corruption (bnc#1012628).
- r8169: fix cable re-plugging issue (bnc#1012628).
- net: phy: don't clear BMCR in genphy_soft_reset (bnc#1012628).
- net: mii: Fix PAUSE cap advertisement from
linkmode_adv_to_lcl_adv_t() helper (bnc#1012628).
- net: dsa: mv88e6xxx: fix few issues in mv88e6390x_port_set_cmode
(bnc#1012628).
- thunderx: eliminate extra calls to put_page() for pages held
for recycling (bnc#1012628).
- thunderx: enable page recycling for non-XDP case (bnc#1012628).
- vxlan: Don't call gro_cells_destroy() before device is
unregistered (bnc#1012628).
- vrf: prevent adding upper devices (bnc#1012628).
- tun: properly test for IFF_UP (bnc#1012628).
- tipc: fix cancellation of topology subscriptions (bnc#1012628).
- tipc: change to check tipc_own_id to return in tipc_net_stop
(bnc#1012628).
- tipc: allow service ranges to be connect()'ed on RDM/DGRAM
(bnc#1012628).
- tcp: do not use ipv6 header for ipv4 flow (bnc#1012628).
- sctp: use memdup_user instead of vmemdup_user (bnc#1012628).
- sctp: get sctphdr by offset in sctp_compute_cksum (bnc#1012628).
- rhashtable: Still do rehash when we get EEXIST (bnc#1012628).
- packets: Always register packet sk in the same order
(bnc#1012628).
- net: usb: aqc111: Extend HWID table by QNAP device
(bnc#1012628).
- net-sysfs: call dev_hold if kobject_init_and_add success
(bnc#1012628).
- net: stmmac: fix memory corruption with large MTUs
(bnc#1012628).
- net: rose: fix a possible stack overflow (bnc#1012628).
- net: phy: meson-gxl: fix interrupt support (bnc#1012628).
- net/packet: Set __GFP_NOWARN upon allocation in alloc_pg_vec
(bnc#1012628).
- net: datagram: fix unbounded loop in __skb_try_recv_datagram()
(bnc#1012628).
- net: aquantia: fix rx checksum offload for UDP/TCP over IPv6
(bnc#1012628).
- mISDN: hfcpci: Test both vendor & device ID for Digium HFC4S
(bnc#1012628).
- mac8390: Fix mmio access size probe (bnc#1012628).
- ipv6: make ip6_create_rt_rcu return ip6_null_entry instead of
NULL (bnc#1012628).
- gtp: change NET_UDP_TUNNEL dependency to select (bnc#1012628).
- genetlink: Fix a memory leak on error path (bnc#1012628).
- dccp: do not use ipv6 header for ipv4 flow (bnc#1012628).
- netfilter: nf_tables: fix set double-free in abort path
(bnc#1012628).
- Bluetooth: Verify that l2cap_get_conf_opt provides large enough
buffer (bnc#1012628).
- Bluetooth: Check L2CAP option sizes returned from
l2cap_get_conf_opt (bnc#1012628).
- commit dff56e4
-------------------------------------------------------------------
Mon Apr 1 16:57:33 CEST 2019 - tiwai@suse.de
- efifb: Omit memory map check on legacy boot (bsc#1127339).
- commit 8a60576
-------------------------------------------------------------------
Mon Apr 1 07:43:52 CEST 2019 - mkubecek@suse.cz
- Update to 5.1-rc3
- Eliminated 1 patch
- Config changes:
- SECURITY_DEFAULT_* are back
- enable CONFIG_OF in i386/pae and copy dependent options from default
- commit 7474ec2
-------------------------------------------------------------------
Sun Mar 31 09:58:27 CEST 2019 - tiwai@suse.de
- Revert "drm/i915/fbdev: Actually configure untiled displays"
(bsc#1129027, bsc#1131048).
- commit 591a6df
-------------------------------------------------------------------
Thu Mar 28 16:18:04 CET 2019 - yousaf.kaukab@suse.com
- config: arm64: enable CPPC support
- commit 1a52e49
-------------------------------------------------------------------
Thu Mar 28 16:13:41 CET 2019 - yousaf.kaukab@suse.com
- config: arm64: enable CPPC support
- commit c97748e
-------------------------------------------------------------------
Wed Mar 27 12:22:08 CET 2019 - jslaby@suse.cz
- Linux 5.0.5 (bnc#1012628).
- ALSA: hda - Enforces runtime_resume after S3 and S4 for each
codec (bnc#1012628).
- ALSA: hda - Record the current power state before suspend/resume
calls (bnc#1012628).
- locking/lockdep: Add debug_locks check in __lock_downgrade()
(bnc#1012628).
- x86/unwind: Add hardcoded ORC entry for NULL (bnc#1012628).
- x86/unwind: Handle NULL pointer calls better in frame unwinder
(bnc#1012628).
- loop: access lo_backing_file only when the loop device is
Lo_bound (bnc#1012628).
- netfilter: ebtables: remove BUGPRINT messages (bnc#1012628).
- aio: simplify - and fix - fget/fput for io_submit()
(bnc#1012628).
- f2fs: fix to avoid deadlock of atomic file operations
(bnc#1012628).
- RDMA/cma: Rollback source IP address if failing to acquire
device (bnc#1012628).
- drm/vkms: Fix flush_work() without INIT_WORK() (bnc#1012628).
- Bluetooth: hci_ldisc: Postpone HCI_UART_PROTO_READY bit set
in hci_uart_set_proto() (bnc#1012628).
- Bluetooth: hci_ldisc: Initialize hci_dev before open()
(bnc#1012628).
- Bluetooth: Fix decrementing reference count twice in releasing
socket (bnc#1012628).
- Bluetooth: hci_uart: Check if socket buffer is ERR_PTR in
h4_recv_buf() (bnc#1012628).
- media: v4l2-ctrls.c/uvc: zero v4l2_event (bnc#1012628).
- ext4: brelse all indirect buffer in ext4_ind_remove_space()
(bnc#1012628).
- ext4: fix data corruption caused by unaligned direct AIO
(bnc#1012628).
- ext4: fix NULL pointer dereference while journal is aborted
(bnc#1012628).
- ALSA: ac97: Fix of-node refcount unbalance (bnc#1012628).
- ALSA: hda - Don't trigger jackpoll_work in azx_resume
(bnc#1012628).
- SMB3: Fix SMB3.1.1 guest mounts to Samba (bnc#1012628).
- clocksource/drivers/riscv: Fix clocksource mask (bnc#1012628).
- irqchip/gic-v3-its: Fix comparison logic in lpi_range_cmp
(bnc#1012628).
- objtool: Move objtool_file struct off the stack (bnc#1012628).
- perf probe: Fix getting the kernel map (bnc#1012628).
- cifs: allow guest mounts to work for smb3.11 (bnc#1012628).
- futex: Ensure that futex address is aligned in
handle_futex_death() (bnc#1012628).
- scsi: ibmvscsi: Fix empty event pool access during host removal
(bnc#1012628).
- scsi: ibmvscsi: Protect ibmvscsi_head from concurrent
modificaiton (bnc#1012628).
- scsi: qla2xxx: Fix FC-AL connection target discovery
(bnc#1012628).
- scsi: core: Avoid that a kernel warning appears during system
resume (bnc#1012628).
- net/mlx5: Fix DCT creation bad flow (bnc#1012628).
- powerpc/security: Fix spectre_v2 reporting (bnc#1012628).
- powerpc/vdso64: Fix CLOCK_MONOTONIC inconsistencies across Y2038
(bnc#1012628).
- MIPS: Fix kernel crash for R6 in jump label branch function
(bnc#1012628).
- MIPS: Ensure ELF appended dtb is relocated (bnc#1012628).
- mips: loongson64: lemote-2f: Add IRQF_NO_SUSPEND to "cascade"
irqaction (bnc#1012628).
- udf: Fix crash on IO error during truncate (bnc#1012628).
- libceph: wait for latest osdmap in ceph_monc_blacklist_add()
(bnc#1012628).
- iommu/iova: Fix tracking of recently failed iova address
(bnc#1012628).
- iommu/amd: fix sg->dma_address for sg->offset bigger than
PAGE_SIZE (bnc#1012628).
- drm/vmwgfx: Return 0 when gmrid::get_node runs out of ID's
(bnc#1012628).
- drm/vmwgfx: Don't double-free the mode stored in par->set_mode
(bnc#1012628).
- drm/amdgpu: fix invalid use of change_bit (bnc#1012628).
- mmc: renesas_sdhi: limit block count to 16 bit for old revisions
(bnc#1012628).
- mmc: mxcmmc: "Revert mmc: mxcmmc: handle highmem pages"
(bnc#1012628).
- mmc: alcor: fix DMA reads (bnc#1012628).
- mmc: pxamci: fix enum type confusion (bnc#1012628).
- ALSA: firewire-motu: use 'version' field of unit directory to
identify model (bnc#1012628).
- ALSA: hda - add Lenovo IdeaCentre B550 to the
power_save_blacklist (bnc#1012628).
- commit 0fb0b14
-------------------------------------------------------------------
Tue Mar 26 22:40:59 CET 2019 - msuchanek@suse.de
- Revert "Bluetooth: btusb: driver to enable the usb-wakeup
feature" (boo#1130448).
System still wakes up when connected BT device is powered off.
- Revert "Bluetooth: btusb: driver to enable the usb-wakeup
feature" (boo#1130448).
- commit b043955
-------------------------------------------------------------------
Tue Mar 26 20:30:23 CET 2019 - mkubecek@suse.cz
- Revert "parport: daisy: use new parport device model"
(http://lkml.kernel.org/r/20190313064557.GA14531@unicorn.suse.cz).
- Delete
patches.suse/parport-daisy-do-not-try-to-load-lowlevel-driver.patch.
- commit 7da01f5
-------------------------------------------------------------------
Tue Mar 26 11:46:54 CET 2019 - jbeulich@suse.com
- patches.suse/0005-x86-enter-Use-IBRS-on-syscall-and-interrupts.patch:
Fix re-basing mistake - IBRS enabling should not be skipped for PV Xen.
- commit 177c0f8
-------------------------------------------------------------------
Tue Mar 26 06:50:20 CET 2019 - mkubecek@suse.cz
- parport: daisy: do not try to load lowlevel driver
(http://lkml.kernel.org/r/20190313064557.GA14531@unicorn.suse.cz).
- commit 4f8876e
-------------------------------------------------------------------
Mon Mar 25 18:31:48 CET 2019 - jeffm@suse.com
- btrfs: honor path->skip_locking in backref code (bsc#1099312).
- commit 76ff396
-------------------------------------------------------------------
Mon Mar 25 06:52:12 CET 2019 - mkubecek@suse.cz
- Update to 5.1-rc2
- New config options:
- CHARLCD_BL_FLASH=y
- PARPORT_PANEL=m (renamed from PANEL)
- PANEL=m
- commit ede8a59
-------------------------------------------------------------------
Sun Mar 24 18:41:02 CET 2019 - jslaby@suse.cz
- Linux 5.0.4 (bnc#1012628).
- SUNRPC: Respect RPC call timeouts when retrying transmission
(bnc#1012628).
- SUNRPC: Fix up RPC back channel transmission (bnc#1012628).
- SUNRPC: Prevent thundering herd when the socket is not connected
(bnc#1012628).
- s390/setup: fix boot crash for machine without EDAT-1
(bnc#1012628).
- net: dsa: lantiq_gswip: fix OF child-node lookups (bnc#1012628).
- net: dsa: lantiq_gswip: fix use-after-free on failed probe
(bnc#1012628).
- KVM: nVMX: Check a single byte for VMCS "launched" in nested
early checks (bnc#1012628).
- KVM: nVMX: Ignore limit checks on VMX instructions using flat
segments (bnc#1012628).
- KVM: nVMX: Apply addr size mask to effective address for VMX
instructions (bnc#1012628).
- KVM: nVMX: Sign extend displacements of VMX instr's mem operands
(bnc#1012628).
- KVM: x86/mmu: Do not cache MMIO accesses while memslots are
in flux (bnc#1012628).
- KVM: x86/mmu: Detect MMIO generation wrap in any address space
(bnc#1012628).
- KVM: VMX: Zero out *all* general purpose registers after VM-Exit
(bnc#1012628).
- KVM: VMX: Compare only a single byte for VMCS' "launched"
in vCPU-run (bnc#1012628).
- KVM: Call kvm_arch_memslots_updated() before updating memslots
(bnc#1012628).
- drm/amd/display: don't call dm_pp_ function from an fpu block
(bnc#1012628).
- drm/amd/powerplay: correct power reading on fiji (bnc#1012628).
- drm/radeon/evergreen_cs: fix missing break in switch statement
(bnc#1012628).
- drm/fb-helper: generic: Fix drm_fbdev_client_restore()
(bnc#1012628).
- media: imx: csi: Stop upstream before disabling IDMA channel
(bnc#1012628).
- media: imx: csi: Disable CSI immediately after last EOF
(bnc#1012628).
- media: imx-csi: Input connections to CSI should be optional
(bnc#1012628).
- media: vimc: Add vimc-streamer for stream control (bnc#1012628).
- media: uvcvideo: Avoid NULL pointer dereference at the end of
streaming (bnc#1012628).
- media: sun6i: Fix CSI regmap's max_register (bnc#1012628).
- media: lgdt330x: fix lock status reporting (bnc#1012628).
- media: imx: prpencvf: Stop upstream before disabling IDMA
channel (bnc#1012628).
- rcu: Do RCU GP kthread self-wakeup from softirq and interrupt
(bnc#1012628).
- tpm: Unify the send callback behaviour (bnc#1012628).
- tpm/tpm_crb: Avoid unaligned reads in crb_recv() (bnc#1012628).
- x86/ftrace: Fix warning and considate ftrace_jmp_replace()
and ftrace_call_replace() (bnc#1012628).
- x86/kvmclock: set offset for kvm unstable clock (bnc#1012628).
- md: Fix failed allocation of md_register_thread (bnc#1012628).
- perf intel-pt: Fix divide by zero when TSC is not available
(bnc#1012628).
- perf/x86/intel/uncore: Fix client IMC events return huge result
(bnc#1012628).
- perf intel-pt: Fix overlap calculation for padding
(bnc#1012628).
- perf auxtrace: Define auxtrace record alignment (bnc#1012628).
- perf tools: Fix split_kallsyms_for_kcore() for trampoline
symbols (bnc#1012628).
- perf intel-pt: Fix CYC timestamp calculation after OVF
(bnc#1012628).
- x86/unwind/orc: Fix ORC unwind table alignment (bnc#1012628).
- vt: perform safe console erase in the right order (bnc#1012628).
- stable-kernel-rules.rst: add link to networking patch queue
(bnc#1012628).
- bcache: use (REQ_META|REQ_PRIO) to indicate bio for metadata
(bnc#1012628).
- bcache: treat stale && dirty keys as bad keys (bnc#1012628).
- bcache: never writeback a discard operation (bnc#1012628).
- PM / OPP: Update performance state when freq == old_freq
(bnc#1012628).
- PM / wakeup: Rework wakeup source timer cancellation
(bnc#1012628).
- svcrpc: fix UDP on servers with lots of threads (bnc#1012628).
- NFSv4.1: Reinitialise sequence results before retransmitting
a request (bnc#1012628).
- nfsd: fix wrong check in write_v4_end_grace() (bnc#1012628).
- nfsd: fix memory corruption caused by readdir (bnc#1012628).
- nfsd: fix performance-limiting session calculation
(bnc#1012628).
- NFS: Don't recoalesce on error in nfs_pageio_complete_mirror()
(bnc#1012628).
- NFS: Fix an I/O request leakage in nfs_do_recoalesce
(bnc#1012628).
- NFS: Fix I/O request leakages (bnc#1012628).
- cpuidle: governor: Add new governors to cpuidle_governors again
(bnc#1012628).
- cpcap-charger: generate events for userspace (bnc#1012628).
- mfd: sm501: Fix potential NULL pointer dereference
(bnc#1012628).
- media: cx25840: mark pad sig_types to fix cx231xx init
(bnc#1012628).
- dm integrity: limit the rate of error messages (bnc#1012628).
- dm: fix to_sector() for 32bit (bnc#1012628).
- ipmi_si: fix use-after-free of resource->name (bnc#1012628).
- ipmi_si: Fix crash when using hard-coded device (bnc#1012628).
- Revert "KVM/MMU: Flush tlb directly in the kvm_zap_gfn_range()"
(bnc#1012628).
- arm64: KVM: Fix architecturally invalid reset value for
FPEXC32_EL2 (bnc#1012628).
- arm64: debug: Ensure debug handlers check triggering exception
level (bnc#1012628).
- arm64: debug: Don't propagate UNKNOWN FAR into si_code for
debug signals (bnc#1012628).
- arm64: Fix HCR.TGE status for NMI contexts (bnc#1012628).
- ARM: s3c24xx: Fix boolean expressions in osiris_dvs_notify
(bnc#1012628).
- powerpc/traps: Fix the message printed when stack overflows
(bnc#1012628).
- powerpc/traps: fix recoverability of machine check handling
on book3s/32 (bnc#1012628).
- powerpc/smp: Fix NMI IPI xmon timeout (bnc#1012628).
- powerpc/smp: Fix NMI IPI timeout (bnc#1012628).
- powerpc/hugetlb: Don't do runtime allocation of 16G pages in
LPAR configuration (bnc#1012628).
- powerpc/ptrace: Simplify vr_get/set() to avoid GCC warning
(bnc#1012628).
- powerpc: Fix 32-bit KVM-PR lockup and host crash with MacOS
guest (bnc#1012628).
- powerpc/64s/hash: Fix assert_slb_presence() use of the
slbfee. instruction (bnc#1012628).
- powerpc/powernv: Don't reprogram SLW image on every KVM guest
entry/exit (bnc#1012628).
- powerpc/kvm: Save and restore host AMR/IAMR/UAMOR (bnc#1012628).
- powerpc/83xx: Also save/restore SPRG4-7 during suspend
(bnc#1012628).
- powerpc/powernv: Make opal log only readable by root
(bnc#1012628).
- powerpc/wii: properly disable use of BATs when requested
(bnc#1012628).
- powerpc/32: Clear on-stack exception marker upon exception
return (bnc#1012628).
- security/selinux: fix SECURITY_LSM_NATIVE_LABELS on reused
superblock (bnc#1012628).
- selinux: add the missing walk_size + len check in
selinux_sctp_bind_connect (bnc#1012628).
- jbd2: fix compile warning when using JBUFFER_TRACE
(bnc#1012628).
- jbd2: clear dirty flag when revoking a buffer from an older
transaction (bnc#1012628).
- serial: 8250_pci: Have ACCES cards that use the four
port Pericom PI7C9X7954 chip use the pci_pericom_setup()
(bnc#1012628).
- serial: 8250_pci: Fix number of ports for ACCES serial cards
(bnc#1012628).
- serial: 8250_of: assume reg-shift of 2 for mrvl,mmp-uart
(bnc#1012628).
- serial: uartps: Fix stuck ISR if RX disabled with non-empty FIFO
(bnc#1012628).
- dmaengine: usb-dmac: Make DMAC system sleep callbacks explicit
(bnc#1012628).
- usb: typec: tps6598x: handle block writes separately with
plain-I2C adapters (bnc#1012628).
- usb: chipidea: tegra: Fix missed ci_hdrc_remove_device()
(bnc#1012628).
- clk: ingenic: Fix doc of ingenic_cgu_div_info (bnc#1012628).
- clk: ingenic: Fix round_rate misbehaving with non-integer
dividers (bnc#1012628).
- clk: samsung: exynos5: Fix kfree() of const memory on setting
driver_override (bnc#1012628).
- clk: samsung: exynos5: Fix possible NULL pointer exception on
platform_device_alloc() failure (bnc#1012628).
- clk: clk-twl6040: Fix imprecise external abort for pdmclk
(bnc#1012628).
- clk: uniphier: Fix update register for CPU-gear (bnc#1012628).
- ext2: Fix underflow in ext2_max_size() (bnc#1012628).
- cxl: Wrap iterations over afu slices inside 'afu_list_lock'
(bnc#1012628).
- IB/rdmavt: Fix concurrency panics in QP post_send and modify
to error (bnc#1012628).
- IB/rdmavt: Fix loopback send with invalidate ordering
(bnc#1012628).
- IB/hfi1: Close race condition on user context disable and close
(bnc#1012628).
- PCI: pci-bridge-emul: Extend pci_bridge_emul_init() with flags
(bnc#1012628).
- PCI: pci-bridge-emul: Create per-bridge copy of register
behavior (bnc#1012628).
- PCI: pciehp: Disable Data Link Layer State Changed event on
suspend (bnc#1012628).
- PCI: dwc: skip MSI init if MSIs have been explicitly disabled
(bnc#1012628).
- PCI: qcom: Don't deassert reset GPIO during probe (bnc#1012628).
- PCI/DPC: Fix print AER status in DPC event handling
(bnc#1012628).
- PCI/ASPM: Use LTR if already enabled by platform (bnc#1012628).
- swiotlb: Add is_swiotlb_active() function (bnc#1012628).
- swiotlb: Introduce swiotlb_max_mapping_size() (bnc#1012628).
- dma: Introduce dma_max_mapping_size() (bnc#1012628).
- ext4: fix crash during online resizing (bnc#1012628).
- ext4: add mask of ext4 flags to swap (bnc#1012628).
- ext4: update quota information while swapping boot loader inode
(bnc#1012628).
- gpio: pca953x: Fix dereference of irq data in shutdown
(bnc#1012628).
- media: i2c: ov5640: Fix post-reset delay (bnc#1012628).
- i2c: tegra: update maximum transfer size (bnc#1012628).
- i2c: tegra: fix maximum transfer size (bnc#1012628).
- parport_pc: fix find_superio io compare code, should use equal
test (bnc#1012628).
- intel_th: Don't reference unassigned outputs (bnc#1012628).
- device property: Fix the length used in PROPERTY_ENTRY_STRING()
(bnc#1012628).
- nvmem: core: don't check the return value of notifier chain call
(bnc#1012628).
- kernel/sysctl.c: add missing range check in
do_proc_dointvec_minmax_conv (bnc#1012628).
- mm/memory.c: do_fault: avoid usage of stale vm_area_struct
(bnc#1012628).
- mm/vmalloc: fix size check for remap_vmalloc_range_partial()
(bnc#1012628).
- mm: hwpoison: fix thp split handing in
soft_offline_in_use_page() (bnc#1012628).
- ext4: cleanup pagecache before swap i_data (bnc#1012628).
- ext4: fix check of inode in swap_inode_boot_loader
(bnc#1012628).
- cpufreq: pxa2xx: remove incorrect __init annotation
(bnc#1012628).
- cpufreq: tegra124: add missing of_node_put() (bnc#1012628).
- cpufreq: kryo: Release OPP tables on module removal
(bnc#1012628).
- x86/kprobes: Prohibit probing on optprobe template code
(bnc#1012628).
- irqchip/brcmstb-l2: Use _irqsave locking variants in
non-interrupt code (bnc#1012628).
- irqchip/gic-v3-its: Avoid parsing _indirect_ twice for Device
table (bnc#1012628).
- libertas_tf: don't set URB_ZERO_PACKET on IN USB transfer
(bnc#1012628).
- soc: qcom: rpmh: Avoid accessing freed memory from batch API
(bnc#1012628).
- Btrfs: fix deadlock between clone/dedupe and rename
(bnc#1012628).
- Btrfs: fix corruption reading shared and compressed extents
after hole punching (bnc#1012628).
- btrfs: init csum_list before possible free (bnc#1012628).
- btrfs: ensure that a DUP or RAID1 block group has exactly two
stripes (bnc#1012628).
- btrfs: drop the lock on error in btrfs_dev_replace_cancel
(bnc#1012628).
- btrfs: scrub: fix circular locking dependency warning
(bnc#1012628).
- Btrfs: setup a nofs context for memory allocation at
__btrfs_set_acl (bnc#1012628).
- Btrfs: setup a nofs context for memory allocation at
btrfs_create_tree() (bnc#1012628).
- m68k: Add -ffreestanding to CFLAGS (bnc#1012628).
- ovl: Do not lose security.capability xattr over metadata file
copy-up (bnc#1012628).
- ovl: During copy up, first copy up data and then xattrs
(bnc#1012628).
- splice: don't merge into linked buffers (bnc#1012628).
- fs/devpts: always delete dcache dentry-s in dput()
(bnc#1012628).
- scsi: qla2xxx: Use complete switch scan for RSCN events
(bnc#1012628).
- scsi: qla2xxx: Avoid PCI IRQ affinity mapping when multiqueue
is not supported (bnc#1012628).
- scsi: qla2xxx: Fix LUN discovery if loop id is not assigned
yet by firmware (bnc#1012628).
- scsi: target/iscsi: Avoid iscsit_release_commands_from_conn()
deadlock (bnc#1012628).
- scsi: sd: Optimal I/O size should be a multiple of physical
block size (bnc#1012628).
- scsi: aacraid: Fix performance issue on logical drives
(bnc#1012628).
- scsi: virtio_scsi: don't send sc payload with tmfs
(bnc#1012628).
- s390/virtio: handle find on invalid queue gracefully
(bnc#1012628).
- s390/setup: fix early warning messages (bnc#1012628).
- s390: vfio_ap: link the vfio_ap devices to the vfio_ap bus
subsystem (bnc#1012628).
- clocksource/drivers/arch_timer: Workaround for Allwinner A64
timer instability (bnc#1012628).
- Update config files.
Set SUN50I_ERRATUM_UNKNOWN1=y to stay aligned with the master branch.
- clocksource/drivers/exynos_mct: Clear timer interrupt when
shutdown (bnc#1012628).
- clocksource/drivers/exynos_mct: Move one-shot check from tick
clear to ISR (bnc#1012628).
- mt76: fix corrupted software generated tx CCMP PN (bnc#1012628).
- regulator: s2mpa01: Fix step values for some LDOs (bnc#1012628).
- regulator: max77620: Initialize values for DT properties
(bnc#1012628).
- regulator: s2mps11: Fix steps for buck7, buck8 and LDO35
(bnc#1012628).
- spi: spi-gpio: fix SPI_CS_HIGH capability (bnc#1012628).
- spi: omap2-mcspi: Fix DMA and FIFO event trigger size mismatch
(bnc#1012628).
- spi: pxa2xx: Setup maximum supported DMA transfer length
(bnc#1012628).
- spi: ti-qspi: Fix mmap read when more than one CS in use
(bnc#1012628).
- mmc:fix a bug when max_discard is 0 (bnc#1012628).
- mmc: renesas_sdhi: Fix card initialization failure in high
speed mode (bnc#1012628).
- mmc: sdhci-esdhc-imx: fix HS400 timing issue (bnc#1012628).
- ACPI / device_sysfs: Avoid OF modalias creation for removed
device (bnc#1012628).
- xen: fix dom0 boot on huge systems (bnc#1012628).
- vmw_balloon: release lock on error in vmballoon_reset()
(bnc#1012628).
- tracing/perf: Use strndup_user() instead of buggy open-coded
version (bnc#1012628).
- tracing: Do not free iter->trace in fail path of
tracing_open_pipe() (bnc#1012628).
- tracing: Use strncpy instead of memcpy for string keys in hist
triggers (bnc#1012628).
- smb3: make default i/o size for smb3 mounts larger
(bnc#1012628).
- CIFS: Fix read after write for files with read caching
(bnc#1012628).
- CIFS: Do not skip SMB2 message IDs on send failures
(bnc#1012628).
- CIFS: Do not reset lease state to NONE on lease break
(bnc#1012628).
- CIFS: Fix leaking locked VFS cache pages in writeback retry
(bnc#1012628).
- crypto: arm64/aes-ccm - fix bugs in non-NEON fallback routine
(bnc#1012628).
- crypto: arm64/aes-ccm - fix logical bug in AAD MAC handling
(bnc#1012628).
- crypto: x86/morus - fix handling chunked inputs and MAY_SLEEP
(bnc#1012628).
- crypto: x86/aesni-gcm - fix crash on empty plaintext
(bnc#1012628).
- crypto: x86/aegis - fix handling chunked inputs and MAY_SLEEP
(bnc#1012628).
- crypto: testmgr - skip crc32c context test for ahash algorithms
(bnc#1012628).
- crypto: skcipher - set CRYPTO_TFM_NEED_KEY if ->setkey() fails
(bnc#1012628).
- crypto: pcbc - remove bogus memcpy()s with src == dest
(bnc#1012628).
- crypto: morus - fix handling chunked inputs (bnc#1012628).
- crypto: hash - set CRYPTO_TFM_NEED_KEY if ->setkey() fails
(bnc#1012628).
- crypto: arm64/crct10dif - revert to C code for short inputs
(bnc#1012628).
- crypto: arm64/aes-neonbs - fix returning final keystream block
(bnc#1012628).
- crypto: arm/crct10dif - revert to C code for short inputs
(bnc#1012628).
- crypto: aegis - fix handling chunked inputs (bnc#1012628).
- crypto: aead - set CRYPTO_TFM_NEED_KEY if ->setkey() fails
(bnc#1012628).
- fix cgroup_do_mount() handling of failure exits (bnc#1012628).
- libnvdimm: Fix altmap reservation size calculation
(bnc#1012628).
- libnvdimm/pmem: Honor force_raw for legacy pmem regions
(bnc#1012628).
- libnvdimm, pfn: Fix over-trim in trim_pfn_device()
(bnc#1012628).
- libnvdimm/label: Clear 'updating' flag after label-set update
(bnc#1012628).
- nfit/ars: Attempt short-ARS even in the no_init_ars case
(bnc#1012628).
- nfit/ars: Attempt a short-ARS whenever the ARS state is idle
at boot (bnc#1012628).
- acpi/nfit: Fix bus command validation (bnc#1012628).
- nfit: acpi_nfit_ctl(): Check out_obj->type in the right place
(bnc#1012628).
- nfit: Fix nfit_intel_shutdown_status() command submission
(bnc#1012628).
- dax: Flush partial PMDs correctly (bnc#1012628).
- crypto: rockchip - update new iv to device in multiple
operations (bnc#1012628).
- crypto: rockchip - fix scatterlist nents error (bnc#1012628).
- crypto: ahash - fix another early termination in hash walk
(bnc#1012628).
- crypto: ofb - fix handling partial blocks and make thread-safe
(bnc#1012628).
- crypto: cfb - remove bogus memcpy() with src == dest
(bnc#1012628).
- crypto: cfb - add missing 'chunksize' property (bnc#1012628).
- crypto: ccree - don't copy zero size ciphertext (bnc#1012628).
- crypto: ccree - unmap buffer before copying IV (bnc#1012628).
- crypto: ccree - fix free of unallocated mlli buffer
(bnc#1012628).
- crypto: caam - fix DMA mapping of stack memory (bnc#1012628).
- crypto: caam - fixed handling of sg list (bnc#1012628).
- crypto: ccree - fix missing break in switch statement
(bnc#1012628).
- crypto: caam - fix hash context DMA unmap size (bnc#1012628).
- stm class: Fix an endless loop in channel allocation
(bnc#1012628).
- stm class: Prevent division by zero (bnc#1012628).
- mei: bus: move hw module get/put to probe/release (bnc#1012628).
- mei: hbm: clean the feature flags on link reset (bnc#1012628).
- iio: adc: exynos-adc: Use proper number of channels for
Exynos4x12 (bnc#1012628).
- iio: adc: exynos-adc: Fix NULL pointer exception on unbind
(bnc#1012628).
- ASoC: codecs: pcm186x: Fix energysense SLEEP bit (bnc#1012628).
- ASoC: codecs: pcm186x: fix wrong usage of DECLARE_TLV_DB_SCALE()
(bnc#1012628).
- ASoC: fsl_esai: fix register setting issue in RIGHT_J mode
(bnc#1012628).
- 9p/net: fix memory leak in p9_client_create (bnc#1012628).
- 9p: use inode->i_lock to protect i_size_write() under 32-bit
(bnc#1012628).
- commit c52890c
-------------------------------------------------------------------
Fri Mar 22 15:48:19 CET 2019 - msuchanek@suse.de
- Do not provide kernel-default-srchash from kernel-default-base.
- commit d6c71ce
-------------------------------------------------------------------
Thu Mar 21 07:19:28 CET 2019 - mkubecek@suse.cz
- config: apply recent changes to ARM configs
- CONFIG_PREEMPT_VOLUNTARY=y
- disable CONFIG_IMA_ARCH_POLICY
- enable CONFIG_IMA_APPRAISE_BOOTPARAM
- CONFIG_LSM=""
- commit fd95045
-------------------------------------------------------------------
Wed Mar 20 15:48:16 CET 2019 - yousaf.kaukab@suse.com
- config: arm64: Update to v5.1-rc1
- commit 590226b
-------------------------------------------------------------------
Wed Mar 20 15:06:09 CET 2019 - msuchanek@suse.de
- rpm/kernel-subpackage-build: handle arm kernel zImage.
- commit 81a63c3
-------------------------------------------------------------------
Wed Mar 20 12:47:44 CET 2019 - jslaby@suse.cz
- config: disable IMA_ARCH_POLICY for now
When IMA_ARCH_POLICY was enabled during the 5.0-rc* stage, IMA causes
kdump load to fail:
kexec_file_load failed: Permission denied
ima: impossible to appraise a kernel image without a file descriptor; try using kexec_file_load syscall.
We have to fix kexec tooling before enabling IMA for everyone.
BTW IMA_APPRAISE_BOOTPARAM was disabled by IMA_ARCH_POLICY=y. So
restore the original state (and functionality).
- commit 3fe0cfc
-------------------------------------------------------------------
Wed Mar 20 12:01:00 CET 2019 - yousaf.kaukab@suse.com
- config: armv6hl: Update to v5.1-rc1
CONFIG_BPFILTER_UMH is disabled due to bsc#1127188
- commit 608f8e5
-------------------------------------------------------------------
Wed Mar 20 10:46:11 CET 2019 - tiwai@suse.de
- rpm/kernel-source.changes.old: Really drop old changelogs (bsc#1098995)
- commit 9e463cf
-------------------------------------------------------------------
Tue Mar 19 19:45:44 CET 2019 - msuchanek@suse.de
- config: disable BPFILTER_UMH on arm (bsc#1127188).
- commit a705565
-------------------------------------------------------------------
Tue Mar 19 19:14:37 CET 2019 - yousaf.kaukab@suse.com
- config: armv7hl: Update to v5.1-rc1
CONFIG_BPFILTER_UMH is disabled due to bsc#1127188
- commit 0042582
-------------------------------------------------------------------
Tue Mar 19 19:12:59 CET 2019 - jslaby@suse.cz
- Linux 5.0.3 (bnc#1012628).
- drm: Block fb changes for async plane updates (bnc#1012628).
- It's wrong to add len to sector_nr in raid10 reshape twice
(bnc#1012628).
- perf/x86/intel: Make dev_attr_allow_tsx_force_abort static
(bnc#1012628).
- perf/x86/intel: Fix memory corruption (bnc#1012628).
- ALSA: hda/realtek: Enable headset MIC of Acer TravelMate
X514-51T with ALC255 (bnc#1012628).
- ALSA: hda/realtek - Reduce click noise on Dell Precision 5820
headphone (bnc#1012628).
- ALSA: hda/realtek: Enable audio jacks of ASUS UX362FA with
ALC294 (bnc#1012628).
- ALSA: hda - add more quirks for HP Z2 G4 and HP Z240
(bnc#1012628).
- ALSA: hda: Extend i915 component bind timeout (bnc#1012628).
- ALSA: firewire-motu: fix construction of PCM frame for capture
direction (bnc#1012628).
- ALSA: bebob: use more identical mod_alias for Saffire Pro 10
I/O against Liquid Saffire 56 (bnc#1012628).
- perf/x86: Fixup typo in stub functions (bnc#1012628).
- f2fs: wait on atomic writes to count F2FS_CP_WB_DATA
(bnc#1012628).
- net: sched: flower: insert new filter to idr after setting
its mask (bnc#1012628).
- vsock/virtio: fix kernel panic from
virtio_transport_reset_no_sock (bnc#1012628).
- net: hns3: fix to stop multiple HNS reset due to the AER changes
(bnc#1012628).
- net: dsa: mv88e6xxx: Set correct interface mode for CPU/DSA
ports (bnc#1012628).
- net/mlx4_core: Fix qp mtt size calculation (bnc#1012628).
- net/mlx4_core: Fix locking in SRIOV mode when switching between
events and polling (bnc#1012628).
- net/mlx4_core: Fix reset flow when in command polling mode
(bnc#1012628).
- vxlan: test dev->flags & IFF_UP before calling
gro_cells_receive() (bnc#1012628).
- vxlan: Fix GRO cells race condition between receive and link
delete (bnc#1012628).
- tcp: handle inet_csk_reqsk_queue_add() failures (bnc#1012628).
- tcp: Don't access TCP_SKB_CB before initializing it
(bnc#1012628).
- tcp: do not report TCP_CM_INQ of 0 for closed connections
(bnc#1012628).
- sctp: remove sched init from sctp_stream_init (bnc#1012628).
- rxrpc: Fix client call queueing, waiting for channel
(bnc#1012628).
- route: set the deleted fnhe fnhe_daddr to 0 in ip_del_fnhe to
fix a race (bnc#1012628).
- ravb: Decrease TxFIFO depth of Q3 and Q2 to one (bnc#1012628).
- pptp: dst_release sk_dst_cache in pptp_sock_destruct
(bnc#1012628).
- net/x25: reset state in x25_connect() (bnc#1012628).
- net/x25: fix use-after-free in x25_device_event() (bnc#1012628).
- net: sit: fix UBSAN Undefined behaviour in check_6rd
(bnc#1012628).
- net/hsr: fix possible crash in add_timer() (bnc#1012628).
- net: hsr: fix memory leak in hsr_dev_finalize() (bnc#1012628).
- net: hns3: add dma_rmb() for rx description (bnc#1012628).
- lan743x: Fix TX Stall Issue (bnc#1012628).
- lan743x: Fix RX Kernel Panic (bnc#1012628).
- l2tp: fix infoleak in l2tp_ip6_recvmsg() (bnc#1012628).
- ipv4/route: fail early when inet dev is missing (bnc#1012628).
- gro_cells: make sure device is up in gro_cells_receive()
(bnc#1012628).
- fou, fou6: avoid uninit-value in gue_err() and gue6_err()
(bnc#1012628).
- connector: fix unsafe usage of ->real_parent (bnc#1012628).
- commit 843d1cc
-------------------------------------------------------------------
Tue Mar 19 16:44:16 CET 2019 - yousaf.kaukab@suse.com
- config: armv6hl: Update to v5.0.2
- commit a2d3030
-------------------------------------------------------------------
Tue Mar 19 12:01:12 CET 2019 - yousaf.kaukab@suse.com
- config: armv7hl: Update to v5.0.2
- commit 70aaed6
-------------------------------------------------------------------
Mon Mar 18 13:07:22 CET 2019 - mkubecek@suse.cz
- Update to 5.1-rc1
- Eliminated 74 patches (73 stable, 1 other)
- ARM configs need update
- Refresh
patches.suse/btrfs-btrfs-use-the-new-VFS-super_block_dev.patch
patches.suse/btrfs-fs-super.c-add-new-super-block-devices-super_block_d.patch
patches.suse/genksyms-add-override-flag.diff
patches.suse/kernel-add-product-identifying-information-to-kernel-build.patch
patches.suse/readahead-request-tunables.patch
patches.suse/supported-flag
patches.suse/vfs-add-super_operations-get_inode_dev
- New config options:
- General:
- IO_URING=y
- PRINTK_CALLER=n
- File systems:
- CONFIG_SUNRPC_DISABLE_INSECURE_ENCTYPES=n
- VALIDATE_FS_PARSER=n
- Networking:
- NET_DEVLINK=y
- XDP_SOCKETS_DIAG=m
- MT7603E=m
- TI_CPSW_PHY_SEL=n
- Power management:
- CPU_IDLE_GOV_TEO=y
- DAX:
- DEV_DAX_KMEM=m
- DEV_DAX_PMEM_COMPAT=m
- USB:
- USB_AUTOSUSPEND_DELAY=2
- USB_EHCI_FSL=m
- Graphics:
- DRM_ETNAVIV=n
- DRM_NOUVEAU_SVM=n
- VIDEO_FB_IVTV_FORCE_PAT=n
- Sound:
- SND_SOC_CROS_EC_CODEC=m
- SND_SOC_CS35L36=m
- SND_SOC_CS4341=m
- SND_SOC_FSL_MICFIL=n
- SND_SOC_MAX98373=m
- SND_SOC_MT6358=n
- SND_SOC_MTK_BTCVSD=m
- SND_SOC_RK3328=n
- SND_SOC_WM8904=n
- SND_SOC_XILINX_AUDIO_FORMATTER=n
- SND_SOC_XILINX_SPDIF=n
- Input:
- HID_MALTRON=m
- HID_VIEWSONIC=m
- TTY:
- LDISC_AUTOLOAD=y
- RTC:
- RTC_DRV_ABEOZ9=m
- RTC_DRV_RV3028=m
- RTC_DRV_SD3078=m
- RTC_DRV_WILCO_EC=m
- Industrial IO:
- AD7606_IFACE_PARALLEL=n
- AD7606_IFACE_SPI=n
- AD7768_1=n
- MAX44009=m
- PMS7003=n
- SPS30=n
- TI_DAC7612=n
- Misc drivers:
- ALTERA_FREEZE_BRIDGE=m
- EDAC_I10NM=m
- EXTCON_PTN5150=m
- GNSS_MTK_SERIAL=m
- GPIO_AMD_FCH=m
- GPIO_TQMX86=m
- HABANA_AI=m
- INPUT_MSM_VIBRATOR=n
- INTEL_MEI_HDCP=m
- INTERCONNECT=m
- IR_RCMM_DECODER=m
- MFD_TQMX86=m
- MLX_WDT=m
- SPI_MTK_QUADSPI=m
- SPI_NXP_FLEXSPI=n
- SPI_SIFIVE=n
- WILCO_EC=m
- WILCO_EC_DEBUGFS=n
- Virtualization:
- HYPERV_IOMMU=y
- Security:
- LSM=""
- SECURITY_SAFESETID=n
- Testing:
- TEST_LIVEPATCH=n
- TEST_STACKINIT=n
- TEST_VMALLOC=n
- x86:
- PCENGINES_APU2=m
- ppc64/ppc64le:
- NVRAM=m
- KCOV=n
- s390x:
UID16=y
DMA_FENCE_TRACE=n
SCSI_GDTH=n
UDMABUF=y
- */debug:
- PRINTK_CALLER=n
- commit b493de0
-------------------------------------------------------------------
Mon Mar 18 09:47:16 CET 2019 - msuchanek@suse.de
- Trim build dependencies of sample subpackage spec file (FATE#326579,
jsc#SLE-4117, jsc#SLE-3853, bsc#1128910).
- commit 2eae420
-------------------------------------------------------------------
Mon Mar 18 07:50:55 CET 2019 - jslaby@suse.cz
- cifs: Fix NULL pointer dereference of devname (bnc#1129519).
- commit 018878b
-------------------------------------------------------------------
Sun Mar 17 22:16:17 CET 2019 - mkubecek@suse.cz
- Drop stale disabled patches
As discussed in
http://mailman.suse.de/mlarch/SuSE/kernel/2019/kernel.2019.02/msg00118.html
http://mailman.suse.de/mlarch/SuSE/kernel/2019/kernel.2019.03/msg00016.html
some of our patches have been disabled for quite long and attempts to get
them reviewed failed. Let's drop them now:
patches.suse/0036-arm-Add-BTB-invalidation-on-switch_mm-for-Cortex-A9-.patch
patches.suse/0037-arm-Invalidate-BTB-on-prefetch-abort-outside-of-user.patch
patches.suse/0038-arm-KVM-Invalidate-BTB-on-guest-exit.patch
patches.suse/0039-arm-Add-icache-invalidation-on-switch_mm-for-Cortex-.patch
patches.suse/0040-arm-Invalidate-icache-on-prefetch-abort-outside-of-u.patch
patches.suse/0041-arm-KVM-Invalidate-icache-on-guest-exit-for-Cortex-A.patch
patches.suse/binutils2_26.patch
patches.suse/dm-mpath-accept-failed-paths
patches.suse/pstore-backend-autoaction
- commit 55d6d1e
-------------------------------------------------------------------
Sun Mar 17 09:51:44 CET 2019 - mkubecek@suse.cz
- config: enable RANDOM_TRUST_CPU
The outcome from mailing list discussion when this config option
appeared was that it makes more sense to enable it by default and let
those who do not trust their CPU override it on command line; but then
I forgot to actually change the value.
- commit 138b5df
-------------------------------------------------------------------
Fri Mar 15 16:03:28 CET 2019 - tiwai@suse.de
- Update config files: disable CONFIG_FRAMEBUFFER_CONSOLE_DEFERRED_TAKEOVER (bsc#1127552)
The deferred fbcon takeover makes little sense with the current openSUSE
boot setup, and it's harmful (more glitches, etc). Disable it for now.
- commit 14fa903
-------------------------------------------------------------------
Fri Mar 15 00:32:22 CET 2019 - msuchanek@suse.de
- Remove the previous subpackage infrastructure.
This partially reverts commit 9b3ca32c11854156b2f950ff5e26131377d8445e
("Add kernel-subpackage-build.spec (FATE#326579).")
- commit a5ee24e
-------------------------------------------------------------------
Fri Mar 15 00:14:36 CET 2019 - msuchanek@suse.de
- Add sample kernel-default-base spec file (FATE#326579, jsc#SLE-4117,
jsc#SLE-3853, bsc#1128910).
- commit 35c4a52
-------------------------------------------------------------------
Thu Mar 14 19:01:12 CET 2019 - msuchanek@suse.de
- Install extra rpm scripts for kernel subpackaging (FATE#326579,
jsc#SLE-4117, jsc#SLE-3853, bsc#1128910).
- commit ad7c227
-------------------------------------------------------------------
Thu Mar 14 08:57:42 CET 2019 - jslaby@suse.cz
- Linux 5.0.2 (bnc#1012628).
- perf/x86/intel: Implement support for TSX Force Abort
(bnc#1012628).
- x86: Add TSX Force Abort CPUID/MSR (bnc#1012628).
- perf/x86/intel: Generalize dynamic constraint creation
(bnc#1012628).
- perf/x86/intel: Make cpuc allocations consistent (bnc#1012628).
- ath9k: Avoid OF no-EEPROM quirks without qca,no-eeprom
(bnc#1012628).
- scripts/gdb: replace flags (MS_xyz -> SB_xyz) (bnc#1012628).
- staging: erofs: compressed_pages should not be accessed again
after freed (bnc#1012628).
- staging: erofs: keep corrupted fs from crashing kernel in
erofs_namei() (bnc#1012628).
- gfs2: Fix missed wakeups in find_insert_glock (bnc#1012628).
- bpf: Stop the psock parser before canceling its work
(bnc#1012628).
- Revert "PCI/PME: Implement runtime PM callbacks" (bnc#1012628).
- media: Revert "media: rc: some events are dropped by userspace"
(bnc#1012628).
- drm: disable uncached DMA optimization for ARM and arm64
(bnc#1012628).
- ARM: dts: exynos: Fix max voltage for buck8 regulator on Odroid
XU3/XU4 (bnc#1012628).
- ARM: dts: exynos: Add minimal clkout parameters to Exynos3250
PMU (bnc#1012628).
- ARM: dts: exynos: Fix pinctrl definition for eMMC RTSN line
on Odroid X2/U3 (bnc#1012628).
- arm64: dts: hikey: Revert "Enable HS200 mode on eMMC"
(bnc#1012628).
- arm64: dts: hikey: Give wifi some time after power-on
(bnc#1012628).
- arm64: dts: zcu100-revC: Give wifi some time after power-on
(bnc#1012628).
- x86/PCI: Fixup RTIT_BAR of Intel Denverton Trace Hub
(bnc#1012628).
- scsi: aacraid: Fix missing break in switch statement
(bnc#1012628).
- iscsi_ibft: Fix missing break in switch statement (bnc#1012628).
- Input: elan_i2c - add id for touchpad found in Lenovo s21e-20
(bnc#1012628).
- Input: wacom_serial4 - add support for Wacom ArtPad II tablet
(bnc#1012628).
- media: uvcvideo: Fix 'type' check leading to overflow
(bnc#1012628).
- commit 815c1bc
-------------------------------------------------------------------
Mon Mar 11 10:43:58 CET 2019 - mkubecek@suse.cz
- Update patches.suse/0001-media-usb-pwc-Don-t-use-coherent-DMA-buffers-for-ISO.patch
upstream reference.
- commit ff7c8cd
-------------------------------------------------------------------
Sun Mar 10 11:57:01 CET 2019 - jslaby@suse.cz
- Linux 5.0.1 (bnc#1012628).
- exec: Fix mem leak in kernel_read_file (bnc#1012628).
- Bluetooth: Fix locking in bt_accept_enqueue() for BH context
(bnc#1012628).
- Bluetooth: btrtl: Restore old logic to assume firmware is
already loaded (bnc#1012628).
- selftests: firmware: fix verify_reqs() return value
(bnc#1012628).
- Revert "selftests: firmware: remove use of non-standard diff
-Z option" (bnc#1012628).
- Revert "selftests: firmware: add
CONFIG_FW_LOADER_USER_HELPER_FALLBACK to config" (bnc#1012628).
- USB: serial: cp210x: fix GPIO in autosuspend (bnc#1012628).
- gnss: sirf: fix premature wakeup interrupt enable (bnc#1012628).
- xtensa: fix get_wchan (bnc#1012628).
- aio: Fix locking in aio_poll() (bnc#1012628).
- MIPS: irq: Allocate accurate order pages for irq stack
(bnc#1012628).
- alpha: wire up io_pgetevents system call (bnc#1012628).
- applicom: Fix potential Spectre v1 vulnerabilities
(bnc#1012628).
- usb: xhci: Fix for Enabling USB ROLE SWITCH QUIRK on
INTEL_SUNRISEPOINT_LP_XHCI (bnc#1012628).
- xhci: tegra: Prevent error pointer dereference (bnc#1012628).
- tracing: Fix event filters and triggers to handle negative
numbers (bnc#1012628).
- x86/boot/compressed/64: Do not read legacy ROM on EFI system
(bnc#1012628).
- x86/CPU/AMD: Set the CPB bit unconditionally on F17h
(bnc#1012628).
- tipc: fix RDM/DGRAM connect() regression (bnc#1012628).
- team: Free BPF filter when unregistering netdev (bnc#1012628).
- sky2: Disable MSI on Dell Inspiron 1545 and Gateway P-79
(bnc#1012628).
- sctp: call iov_iter_revert() after sending ABORT (bnc#1012628).
- qmi_wwan: Add support for Quectel EG12/EM12 (bnc#1012628).
- net-sysfs: Fix mem leak in netdev_register_kobject
(bnc#1012628).
- net: sched: put back q.qlen into a single location
(bnc#1012628).
- net: mscc: Enable all ports in QSGMII (bnc#1012628).
- net: dsa: mv8e6xxx: fix number of internal PHYs for 88E6x90
family (bnc#1012628).
- net: dsa: mv88e6xxx: handle unknown duplex modes gracefully
in mv88e6xxx_port_set_duplex (bnc#1012628).
- net: dsa: mv88e6xxx: add call to mv88e6xxx_ports_cmode_init
to probe for new DSA framework (bnc#1012628).
- ip6mr: Do not call __IP6_INC_STATS() from preemptible context
(bnc#1012628).
- staging: android: ashmem: Avoid range_alloc() allocation with
ashmem_mutex held (bnc#1012628).
- staging: android: ashmem: Don't call fallocate() with
ashmem_mutex held (bnc#1012628).
- staging: android: ion: fix sys heap pool's gfp_flags
(bnc#1012628).
- staging: wilc1000: fix to set correct value for 'vif_num'
(bnc#1012628).
- staging: comedi: ni_660x: fix missing break in switch statement
(bnc#1012628).
- staging: erofs: fix illegal address access under memory pressure
(bnc#1012628).
- staging: erofs: fix race of initializing xattrs of a inode at
the same time (bnc#1012628).
- staging: erofs: fix memleak of inode's shared xattr array
(bnc#1012628).
- staging: erofs: fix fast symlink w/o xattr when fs xattr is on
(bnc#1012628).
- driver core: Postpone DMA tear-down until after devres release
(bnc#1012628).
- USB: serial: ftdi_sio: add ID for Hjelmslund Electronics USB485
(bnc#1012628).
- USB: serial: cp210x: add ID for Ingenico 3070 (bnc#1012628).
- USB: serial: option: add Telit ME910 ECM composition
(bnc#1012628).
- binder: create node flag to request sender's security context
(bnc#1012628).
- staging: erofs: fix mis-acted TAIL merging behavior
(bnc#1012628).
- cpufreq: Use struct kobj_attribute instead of struct global_attr
(bnc#1012628).
- commit 47a2a02
-------------------------------------------------------------------
Thu Mar 7 14:11:58 CET 2019 - msuchanek@suse.de
- KMPs: provide and conflict a kernel version specific KMP name
(bsc#1127155, bsc#1109137).
- commit 5568093
-------------------------------------------------------------------
Wed Mar 6 17:46:01 CET 2019 - msuchanek@suse.de
- Revert "Drop multiversion(kernel) from the KMP template (fate#323189)"
(bsc#1109137).
This reverts commit 71504d805c1340f68715ad41958e5ef35da2c351.
- commit adade9f
-------------------------------------------------------------------
Tue Mar 5 10:34:06 CET 2019 - mkubecek@suse.cz
- config: disable BPFILTER_UMH on non-x86 architectures (bsc#1127188)
CONFIG_BPFILTER_UMH depends on ability to compile and link a userspace
binary so that it currently doesn't work in our kbuild check setups using
a cross compiler. Disable the option on architectures where cross compiler
is used (i.e. all except x86_64 and i386).
- commit cfb8371
-------------------------------------------------------------------
Mon Mar 4 17:35:40 CET 2019 - msuchanek@suse.de
- KMPs: obsolete older KMPs of the same flavour (bsc#1127155, bsc#1109137).
- commit 821419f
-------------------------------------------------------------------
Mon Mar 4 06:21:08 CET 2019 - mkubecek@suse.cz
- Update to 5.0 final
- Refresh configs
- commit 8f71df2
-------------------------------------------------------------------
Wed Feb 27 19:00:49 CET 2019 - jslaby@suse.cz
- Linux 4.20.13 (bnc#1012628).
- net: phylink: avoid resolving link state too early
(bnc#1012628).
- pinctrl: max77620: Use define directive for
max77620_pinconf_param values (bnc#1012628).
- netfilter: ipt_CLUSTERIP: fix sleep-in-atomic bug in
clusterip_config_entry_put() (bnc#1012628).
- netfilter: nfnetlink_osf: add missing fmatch check
(bnc#1012628).
- netfilter: ipv6: Don't preserve original oif for loopback
address (bnc#1012628).
- netfilter: nft_compat: use-after-free when deleting targets
(bnc#1012628).
- netfilter: nf_tables: fix flush after rule deletion in the
same batch (bnc#1012628).
- Revert "bridge: do not add port to router list when receives
query with source 0.0.0.0" (bnc#1012628).
- staging: erofs: unzip_vle_lz4.c,utils.c: rectify BUG_ONs
(bnc#1012628).
- staging: erofs: unzip_{pagevec.h,vle.c}: rectify BUG_ONs
(bnc#1012628).
- staging: erofs: {dir,inode,super}.c: rectify BUG_ONs
(bnc#1012628).
- staging: erofs: add a full barrier in erofs_workgroup_unfreeze
(bnc#1012628).
- staging: erofs: fix `erofs_workgroup_{try_to_freeze, unfreeze}'
(bnc#1012628).
- staging: erofs: atomic_cond_read_relaxed on ref-locked workgroup
(bnc#1012628).
- staging: erofs: remove the redundant d_rehash() for the root
dentry (bnc#1012628).
- net: avoid false positives in untrusted gso validation
(bnc#1012628).
- net: validate untrusted gso packets without csum offload
(bnc#1012628).
- ASoC: soc-core: fix init platform memory handling (bnc#1012628).
- s390: vsie: Use effective CRYCBD.31 to check CRYCBD validity
(bnc#1012628).
- kvm: x86: Return LA57 feature based on hardware capability
(bnc#1012628).
- x86/kvm/mmu: fix switch between root and guest MMUs
(bnc#1012628).
- KVM: MMU: record maximum physical address width in
kvm_mmu_extended_role (bnc#1012628).
- mac80211: allocate tailroom for forwarded mesh packets
(bnc#1012628).
- mac80211: Change default tx_sk_pacing_shift to 7 (bnc#1012628).
- drm/amd/display: Fix MST reboot/poweroff sequence (bnc#1012628).
- drm/amdgpu: disable bulk moves for now (bnc#1012628).
- drm/i915/fbdev: Actually configure untiled displays
(bnc#1012628).
- gpu: drm: radeon: Set DPM_FLAG_NEVER_SKIP when enabling
PM-runtime (bnc#1012628).
- drm/amdgpu: Set DPM_FLAG_NEVER_SKIP when enabling PM-runtime
(bnc#1012628).
- cpufreq: scmi: Fix use-after-free in scmi_cpufreq_exit()
(bnc#1012628).
- ARC: define ARCH_SLAB_MINALIGN = 8 (bnc#1012628).
- ARC: U-boot: check arguments paranoidly (bnc#1012628).
- ARCv2: Enable unaligned access in early ASM code (bnc#1012628).
- parisc: Fix ptrace syscall number modification (bnc#1012628).
- clk: at91: fix at91sam9x5 peripheral clock number (bnc#1012628).
- clk: at91: fix masterck name (bnc#1012628).
- KEYS: always initialize keyring_index_key::desc_len
(bnc#1012628).
- KEYS: user: Align the payload buffer (bnc#1012628).
- RDMA/srp: Rework SCSI device reset handling (bnc#1012628).
- scsi: sd_zbc: Fix sd_zbc_report_zones() buffer allocation
(bnc#1012628).
- net/mlx5e: XDP, fix redirect resources availability check
(bnc#1012628).
- net/mlx5e: FPGA, fix Innova IPsec TX offload data path
performance (bnc#1012628).
- net_sched: fix two more memory leaks in cls_tcindex
(bnc#1012628).
- net_sched: fix a memory leak in cls_tcindex (bnc#1012628).
- net_sched: fix a race condition in tcindex_destroy()
(bnc#1012628).
- sit: check if IPv6 enabled before calling
ip6_err_gen_icmpv6_unreach() (bnc#1012628).
- geneve: should not call rt6_lookup() when ipv6 was disabled
(bnc#1012628).
- net: socket: make bond ioctls go through compat_ifreq_ioctl()
(bnc#1012628).
- net: socket: fix SIOCGIFNAME in compat (bnc#1012628).
- Revert "kill dev_ifsioc()" (bnc#1012628).
- Revert "socket: fix struct ifreq size in compat ioctl"
(bnc#1012628).
- net: dsa: Fix NPD checking for br_vlan_enabled() (bnc#1012628).
- team: avoid complex list operations in team_nl_cmd_options_set()
(bnc#1012628).
- sctp: set stream ext to NULL after freeing it in
sctp_stream_outq_migrate (bnc#1012628).
- sctp: call gso_reset_checksum when computing checksum in
sctp_gso_segment (bnc#1012628).
- net: sfp: do not probe SFP module before we're attached
(bnc#1012628).
- net/packet: fix 4gb buffer limit due to overflow check
(bnc#1012628).
- net/mlx5e: Don't overwrite pedit action when multiple pedit used
(bnc#1012628).
- net/mlx4_en: Force CHECKSUM_NONE for short ethernet frames
(bnc#1012628).
- net: ena: fix race between link up and device initalization
(bnc#1012628).
- ipv6: propagate genlmsg_reply return code (bnc#1012628).
- inet_diag: fix reporting cgroup classid and fallback to priority
(bnc#1012628).
- batman-adv: fix uninit-value in batadv_interface_tx()
(bnc#1012628).
- isdn: avm: Fix string plus integer warning from Clang
(bnc#1012628).
- net/mlx5e: Fix wrong (zero) TX drop counter indication for
representor (bnc#1012628).
- bpf: pull in pkt_sched.h header for tooling to fix bpftool build
(bnc#1012628).
- selftests: forwarding: Add a test case for externally learned
FDB entries (bnc#1012628).
- mlxsw: spectrum_switchdev: Do not treat static FDB entries as
sticky (bnc#1012628).
- net: bridge: Mark FDB entries that were added by user as such
(bnc#1012628).
- mlxsw: pci: Return error on PCI reset timeout (bnc#1012628).
- dpaa_eth: NETIF_F_LLTX requires to do our own update of
trans_start (bnc#1012628).
- bpf: fix SO_MAX_PACING_RATE to support TCP internal pacing
(bnc#1012628).
- bpf: bpf_setsockopt: reset sock dst on SO_MARK changes
(bnc#1012628).
- leds: lp5523: fix a missing check of return value of lp55xx_read
(bnc#1012628).
- hwmon: (tmp421) Correct the misspelling of the tmp442 compatible
attribute in OF device ID table (bnc#1012628).
- atm: he: fix sign-extension overflow on large shift
(bnc#1012628).
- selftests/bpf: retry tests that expect build-id (bnc#1012628).
- bpf: zero out build_id for BPF_STACK_BUILD_ID_IP (bnc#1012628).
- bpf: don't assume build-id length is always 20 bytes
(bnc#1012628).
- afs: Fix race in async call refcounting (bnc#1012628).
- afs: Provide a function to get a ref on a call (bnc#1012628).
- afs: Fix key refcounting in file locking code (bnc#1012628).
- afs: Don't set vnode->cb_s_break in afs_validate()
(bnc#1012628).
- selftests: tc-testing: fix parsing of ife type (bnc#1012628).
- selftests: tc-testing: fix tunnel_key failure if dst_port is
unspecified (bnc#1012628).
- selftests: tc-testing: drop test on missing tunnel key id
(bnc#1012628).
- net: phy: micrel: set soft_reset callback to genphy_soft_reset
for KSZ9031 (bnc#1012628).
- ACPI: EC: Look for ECDT EC after calling acpi_load_tables()
(bnc#1012628).
- pvcalls-front: fix potential null dereference (bnc#1012628).
- drm/sun4i: backend: add missing of_node_puts (bnc#1012628).
- SUNRPC: Ensure we respect the RPCSEC_GSS sequence number limit
(bnc#1012628).
- SUNRPC: Ensure rq_bytes_sent is reset before request
transmission (bnc#1012628).
- samples/bpf: workaround clang asm goto compilation errors
(bnc#1012628).
- selftests/bpf: install with_tunnels.sh for
test_flow_dissector.sh (bnc#1012628).
- xsk: Check if a queue exists during umem setup (bnc#1012628).
- vhost/scsi: Use copy_to_iter() to send control queue response
(bnc#1012628).
- vhost: return EINVAL if iovecs size does not match the message
size (bnc#1012628).
- drm/amd/display: fix PME notification not working in RV desktop
(bnc#1012628).
- drm/amdkfd: Don't assign dGPUs to APU topology devices
(bnc#1012628).
- drm/meson: add missing of_node_put (bnc#1012628).
- always clear the X2APIC_ENABLE bit for PV guest (bnc#1012628).
- netfilter: nft_flow_offload: fix checking method of conntrack
helper (bnc#1012628).
- scsi: cxgb4i: add wait_for_completion() (bnc#1012628).
- scsi: qla1280: set 64bit coherent mask (bnc#1012628).
- scsi: ufs: Fix geometry descriptor size (bnc#1012628).
- scsi: qedi: Add ep_state for login completion on un-reachable
targets (bnc#1012628).
- scsi: ufs: Fix system suspend status (bnc#1012628).
- scsi: tcmu: avoid cmd/qfull timers updated whenever a new cmd
comes (bnc#1012628).
- isdn: i4l: isdn_tty: Fix some concurrency double-free bugs
(bnc#1012628).
- net: stmmac: Prevent RX starvation in stmmac_napi_poll()
(bnc#1012628).
- net: stmmac: Fix the logic of checking if RX Watchdog must be
enabled (bnc#1012628).
- net: stmmac: Check if CBS is supported before configuring
(bnc#1012628).
- net: stmmac: dwxgmac2: Only clear interrupts that are active
(bnc#1012628).
- net: stmmac: Fix PCI module removal leak (bnc#1012628).
- acpi/nfit: Fix race accessing memdev in nfit_get_smbios_id()
(bnc#1012628).
- powerpc/8xx: fix setting of pagetable for Abatron BDI debug tool
(bnc#1012628).
- RDMA/mthca: Clear QP objects during their allocation
(bnc#1012628).
- netfilter: nft_flow_offload: fix interaction with vrf slave
device (bnc#1012628).
- afs: Set correct lock type for the yfs CreateFile (bnc#1012628).
- bpf: fix panic in stack_map_get_build_id() on i386 and arm32
(bnc#1012628).
- selftests: bpf: install files tcp_(server|client)*.py
(bnc#1012628).
- pvcalls-front: Avoid get_free_pages(GFP_KERNEL) under spinlock
(bnc#1012628).
- bpf: correctly set initial window on active Fast Open sender
(bnc#1012628).
- netfilter: nft_flow_offload: Fix reverse route lookup
(bnc#1012628).
- MIPS: jazz: fix 64bit build (bnc#1012628).
- include/linux/compiler*.h: fix OPTIMIZER_HIDE_VAR (bnc#1012628).
- scsi: isci: initialize shost fully before calling
scsi_add_host() (bnc#1012628).
- scsi: qla4xxx: check return code of
qla4xxx_copy_from_fwddb_param (bnc#1012628).
- netfilter: nf_tables: fix leaking object reference count
(bnc#1012628).
- selftests: forwarding: Add a test for VLAN deletion
(bnc#1012628).
- mlxsw: spectrum: Add VXLAN dependency for spectrum
(bnc#1012628).
- mlxsw: spectrum_acl: Add cleanup after C-TCAM update error
condition (bnc#1012628).
- xprtrdma: Double free in rpcrdma_sendctxs_create()
(bnc#1012628).
- MIPS: ath79: Enable OF serial ports in the default config
(bnc#1012628).
- net/mlx4: Get rid of page operation after dma_alloc_coherent
(bnc#1012628).
- watchdog: mt7621_wdt/rt2880_wdt: Fix compilation problem
(bnc#1012628).
- hwmon: (nct6775) Enable IO mapping for NCT6797D and NCT6798D
(bnc#1012628).
- hwmon: (nct6775) Fix chip ID for NCT6798D (bnc#1012628).
- selftests/bpf: Test [::] -> [::1] rewrite in sys_sendmsg in
test_sock_addr (bnc#1012628).
- bpf: Fix [::] -> [::1] rewrite in sys_sendmsg (bnc#1012628).
- selftests: net: fix/improve ip_defrag selftest (bnc#1012628).
- net: hns: Fix use after free identified by SLUB debug
(bnc#1012628).
- qed: Fix qed_ll2_post_rx_buffer_notify_fw() by adding a write
memory barrier (bnc#1012628).
- qed: Fix qed_chain_set_prod() for PBL chains with non power
of 2 page count (bnc#1012628).
- soc/fsl/qe: fix err handling of ucc_of_parse_tdm (bnc#1012628).
- xen/pvcalls: remove set but not used variable 'intf'
(bnc#1012628).
- mfd: mc13xxx: Fix a missing check of a register-read failure
(bnc#1012628).
- mfd: tps65218: Use devm_regmap_add_irq_chip and clean up error
path in probe() (bnc#1012628).
- mfd: cros_ec_dev: Add missing mfd_remove_devices() call in
remove (bnc#1012628).
- mfd: axp20x: Add supported cells for AXP803 (bnc#1012628).
- mfd: axp20x: Re-align MFD cell entries (bnc#1012628).
- mfd: axp20x: Add AC power supply cell for AXP813 (bnc#1012628).
- mfd: wm5110: Add missing ASRC rate register (bnc#1012628).
- mfd: qcom_rpm: write fw_version to CTRL_REG (bnc#1012628).
- mfd: at91-usart: Add platform dependency (bnc#1012628).
- mfd: bd9571mwv: Add volatile register to make DVFS work
(bnc#1012628).
- mfd: ab8500-core: Return zero in get_register_interruptible()
(bnc#1012628).
- mfd: mt6397: Do not call irq_domain_remove if PMIC unsupported
(bnc#1012628).
- mfd: db8500-prcmu: Fix some section annotations (bnc#1012628).
- mfd: twl-core: Fix section annotations on {,un}protect_pm_master
(bnc#1012628).
- pvcalls-back: set -ENOTCONN in pvcalls_conn_back_read
(bnc#1012628).
- pvcalls-front: properly allocate sk (bnc#1012628).
- pvcalls-front: don't try to free unallocated rings
(bnc#1012628).
- pvcalls-front: read all data before closing the connection
(bnc#1012628).
- mfd: ti_am335x_tscadc: Use PLATFORM_DEVID_AUTO while registering
mfd cells (bnc#1012628).
- backlight: pwm_bl: Fix devicetree parsing with auto-generated
brightness tables (bnc#1012628).
- KEYS: allow reaching the keys quotas exactly (bnc#1012628).
- ALSA: hda/realtek: Disable PC beep in passthrough on alc285
(bnc#1012628).
- ALSA: hda/realtek - Headset microphone and internal speaker
support for System76 oryp5 (bnc#1012628).
- proc, oom: do not report alien mms when setting oom_score_adj
(bnc#1012628).
- numa: change get_mempolicy() to use nr_node_ids instead of
MAX_NUMNODES (bnc#1012628).
- ceph: avoid repeatedly adding inode to mdsc->snap_flush_list
(bnc#1012628).
- libceph: handle an empty authorize reply (bnc#1012628).
- pinctrl: qcom: qcs404: Correct SDC tile (bnc#1012628).
- mac80211: Free mpath object when rhashtable insertion fails
(bnc#1012628).
- mac80211: Use linked list instead of rhashtable walk for mesh
tables (bnc#1012628).
- mac80211: Restore vif beacon interval if start ap fails
(bnc#1012628).
- gpio: pxa: avoid attempting to set pin direction via pinctrl
on MMP2 (bnc#1012628).
- gpio: MT7621: use a per instance irq_chip structure
(bnc#1012628).
- mt76x0u: fix suspend/resume (bnc#1012628).
- MIPS: eBPF: Always return sign extended 32b values
(bnc#1012628).
- tracing: Fix number of entries in trace header (bnc#1012628).
- kprobe: Do not use uaccess functions to access kernel memory
that can fault (bnc#1012628).
- ARM: 8834/1: Fix: kprobes: optimized kprobes illegal instruction
(bnc#1012628).
- commit fb7c4a5
-------------------------------------------------------------------
Wed Feb 27 13:20:14 CET 2019 - msuchanek@suse.de
- BPF: UMH: do not detect if compiler can link userspace program
(boo#1127188).
- commit 784e336
-------------------------------------------------------------------
Mon Feb 25 05:31:31 CET 2019 - mkubecek@suse.cz
- Update to 5.0-rc8
- Eliminated 1 patch
- commit 4ddf057
-------------------------------------------------------------------
Sat Feb 23 19:48:23 CET 2019 - jslaby@suse.cz
- Linux 4.20.12 (bnc#1012628).
- dsa: mv88e6xxx: Ensure all pending interrupts are handled
prior to exit (bnc#1012628).
- net: fix IPv6 prefix route residue (bnc#1012628).
- net: ipv4: use a dedicated counter for icmp_v4 redirect packets
(bnc#1012628).
- vsock: cope with memory allocation failure at socket creation
time (bnc#1012628).
- vxlan: test dev->flags & IFF_UP before calling netif_rx()
(bnc#1012628).
- mlxsw: __mlxsw_sp_port_headroom_set(): Fix a use of local
variable (bnc#1012628).
- net: Fix for_each_netdev_feature on Big endian (bnc#1012628).
- net: ip6_gre: initialize erspan_ver just for erspan tunnels
(bnc#1012628).
- net: phy: xgmiitorgmii: Support generic PHY status read
(bnc#1012628).
- net: stmmac: Fix a race in EEE enable callback (bnc#1012628).
- net: stmmac: handle endianness in dwmac4_get_timestamp
(bnc#1012628).
- sky2: Increase D3 delay again (bnc#1012628).
- vhost: correctly check the return value of translate_desc()
in log_used() (bnc#1012628).
- net: Add header for usage of fls64() (bnc#1012628).
- tcp: clear icsk_backoff in tcp_write_queue_purge()
(bnc#1012628).
- tcp: tcp_v4_err() should be more careful (bnc#1012628).
- net: netcp: Fix ethss driver probe issue (bnc#1012628).
- net: Do not allocate page fragments that are not skb aligned
(bnc#1012628).
- af_packet: fix raw sockets over 6in4 tunnel (bnc#1012628).
- arm64, mm, efi: Account for GICv3 LPI tables in static memblock
reserve table (bnc#1012628).
- efi/arm: Revert "Defer persistent reservations until after
paging_init()" (bnc#1012628).
- PCI: Fix __initdata issue with "pci=disable_acs_redir" parameter
(bnc#1012628).
- scsi: target/core: Use kmem_cache_free() instead of kfree()
(bnc#1012628).
- mmc: meson-gx: fix interrupt name (bnc#1012628).
- hwmon: (lm80) Fix missing unlock on error in set_fan_div()
(bnc#1012628).
- netfilter: nf_nat_snmp_basic: add missing length checks in
ASN.1 cbs (bnc#1012628).
- net/x25: do not hold the cpu too long in x25_new_lci()
(bnc#1012628).
- mISDN: fix a race in dev_expire_timer() (bnc#1012628).
- ax25: fix possible use-after-free (bnc#1012628).
- commit b35c1fc
-------------------------------------------------------------------
Fri Feb 22 09:41:18 CET 2019 - mkubecek@suse.cz
- net: crypto set sk to NULL when af_alg_release (CVE-2019-8912
bsc#1125907).
- commit e1b5b1f
-------------------------------------------------------------------
Fri Feb 22 09:37:29 CET 2019 - mkubecek@suse.cz
- net: crypto set sk to NULL when af_alg_release (CVE-2019-8912
bsc#1125907).
- commit 3aed52e
-------------------------------------------------------------------
Fri Feb 22 00:00:33 CET 2019 - mkubecek@suse.cz
- config: enable PREEMPT_VOLUNTARY (bsc#1125004)
Switch to PREEMPT_VOLUNTARY everywhere except s390x/zfcpdump.
[js] switch also armv7hl. armv6hl seems to have PREEMPT=n.
- config: enable PREEMPT_VOLUNTARY (bsc#1125004)
Switch to PREEMPT_VOLUNTARY everywhere except s390x/zfcpdump.
- commit 5cf91fd
-------------------------------------------------------------------
Wed Feb 20 11:05:10 CET 2019 - jslaby@suse.cz
- Linux 4.20.11 (bnc#1012628).
- dt-bindings: eeprom: at24: add "atmel,24c2048" compatible string
(bnc#1012628).
- eeprom: at24: add support for 24c2048 (bnc#1012628).
- blk-mq: fix a hung issue when fsync (bnc#1012628).
- drm/amdgpu/sriov:Correct pfvf exchange logic (bnc#1012628).
- ACPI: NUMA: Use correct type for printing addresses on i386-PAE
(bnc#1012628).
- perf stat: Fix endless wait for child process (bnc#1012628).
- perf report: Fix wrong iteration count in --branch-history
(bnc#1012628).
- perf test shell: Use a fallback to get the pathname in
vfs_getname (bnc#1012628).
- soc: renesas: r8a774c0-sysc: Fix initialization order of
3DG-{A,B} (bnc#1012628).
- tools uapi: fix RISC-V 64-bit support (bnc#1012628).
- riscv: fix trace_sys_exit hook (bnc#1012628).
- cpufreq: check if policy is inactive early in __cpufreq_get()
(bnc#1012628).
- csky: fixup relocation error with 807 & 860 (bnc#1012628).
- csky: fixup CACHEV1 store instruction fast retire (bnc#1012628).
- irqchip/csky: fixup handle_irq_perbit break irq (bnc#1012628).
- drm/amd/powerplay: avoid possible buffer overflow (bnc#1012628).
- drm/bridge: tc358767: add bus flags (bnc#1012628).
- drm/bridge: tc358767: add defines for DP1_SRCCTRL & PHY_2LANE
(bnc#1012628).
- drm/bridge: tc358767: fix single lane configuration
(bnc#1012628).
- drm/bridge: tc358767: fix initial DP0/1_SRCCTRL value
(bnc#1012628).
- drm/bridge: tc358767: reject modes which require too much BW
(bnc#1012628).
- drm/bridge: tc358767: fix output H/V syncs (bnc#1012628).
- nvme-pci: use the same attributes when freeing
host_mem_desc_bufs (bnc#1012628).
- nvme-pci: fix out of bounds access in nvme_cqe_pending
(bnc#1012628).
- nvme-multipath: zero out ANA log buffer (bnc#1012628).
- nvme: pad fake subsys NQN vid and ssvid with zeros
(bnc#1012628).
- nvme: introduce NVME_QUIRK_IGNORE_DEV_SUBNQN (bnc#1012628).
- drm/amdgpu: fix CPDMA hang in PRT mode for VEGA20 (bnc#1012628).
- drm/amdgpu: set WRITE_BURST_LENGTH to 64B to workaround SDMA1
hang (bnc#1012628).
- drm/amdgpu: disable system memory page tables for now
(bnc#1012628).
- ARM: dts: da850-evm: Correct the audio codec regulators
(bnc#1012628).
- ARM: dts: da850-evm: Correct the sound card name (bnc#1012628).
- ARM: dts: da850-lcdk: Correct the audio codec regulators
(bnc#1012628).
- ARM: dts: da850-lcdk: Correct the sound card name (bnc#1012628).
- ARM: dts: kirkwood: Fix polarity of GPIO fan lines
(bnc#1012628).
- csky: fixup compile error with CPU 810 (bnc#1012628).
- gpio: pl061: handle failed allocations (bnc#1012628).
- drm/nouveau: Don't disable polling in fallback mode
(bnc#1012628).
- drm/nouveau/falcon: avoid touching registers if engine is off
(bnc#1012628).
- cifs: Limit memory used by lock request calls to a page
(bnc#1012628).
- CIFS: Fix credits calculation for cancelled requests
(bnc#1012628).
- CIFS: Move credit processing to mid callbacks for SMB3
(bnc#1012628).
- CIFS: Fix error paths in writeback code (bnc#1012628).
- kvm: sev: Fail KVM_SEV_INIT if already initialized
(bnc#1012628).
- CIFS: Fix credit calculations in compound mid callback
(bnc#1012628).
- CIFS: Do not assume one credit for async responses
(bnc#1012628).
- CIFS: Fix mounts if the client is low on credits (bnc#1012628).
- gpio: mxc: move gpio noirq suspend/resume to syscore phase
(bnc#1012628).
- Revert "Input: elan_i2c - add ACPI ID for touchpad in ASUS
Aspire F5-573G" (bnc#1012628).
- Input: elan_i2c - add ACPI ID for touchpad in Lenovo V330-15ISK
(bnc#1012628).
- arm64: dts: rockchip: enable usb-host regulators at boot on
rk3328-rock64 (bnc#1012628).
- ARM: OMAP5+: Fix inverted nirq pin interrupts with irq_set_type
(bnc#1012628).
- perf/core: Fix impossible ring-buffer sizes warning
(bnc#1012628).
- perf/x86: Add check_period PMU callback (bnc#1012628).
- ALSA: hda - Add quirk for HP EliteBook 840 G5 (bnc#1012628).
- ALSA: usb-audio: Fix implicit fb endpoint setup by quirk
(bnc#1012628).
- ALSA: pcm: Revert capture stream behavior change in blocking
mode (bnc#1012628).
- ASoC: hdmi-codec: fix oops on re-probe (bnc#1012628).
- tools uapi: fix Alpha support (bnc#1012628).
- riscv: Add pte bit to distinguish swap from invalid
(bnc#1012628).
- x86/kvm/nVMX: read from MSR_IA32_VMX_PROCBASED_CTLS2 only when
it is available (bnc#1012628).
- kvm: vmx: Fix entry number check for add_atomic_switch_msr()
(bnc#1012628).
- mmc: sunxi: Disable HS-DDR mode for H5 eMMC controller by
default (bnc#1012628).
- mmc: sunxi: Filter out unsupported modes declared in the device
tree (bnc#1012628).
- mmc: block: handle complete_work on separate workqueue
(bnc#1012628).
- Input: bma150 - register input device after setting private data
(bnc#1012628).
- Input: elantech - enable 3rd button support on Fujitsu CELSIUS
H780 (bnc#1012628).
- Revert "nfsd4: return default lease period" (bnc#1012628).
- Revert "mm: don't reclaim inodes with many attached pages"
(bnc#1012628).
- Revert "mm: slowly shrink slabs with a relatively small number
of objects" (bnc#1012628).
- mm: proc: smaps_rollup: fix pss_locked calculation
(bnc#1012628).
- alpha: fix page fault handling for r16-r18 targets
(bnc#1012628).
- alpha: Fix Eiger NR_IRQS to 128 (bnc#1012628).
- s390/suspend: fix stack setup in swsusp_arch_suspend
(bnc#1012628).
- s390/zcrypt: fix specification exception on z196 during ap probe
(bnc#1012628).
- tracing: probeevent: Correctly update remaining space in
dynamic area (bnc#1012628).
- x86/platform/UV: Use efi_runtime_lock to serialise BIOS calls
(bnc#1012628).
- powerpc/64s: Fix possible corruption on big endian due to
pgd/pud_present() (bnc#1012628).
- scsi: sd: fix entropy gathering for most rotational disks
(bnc#1012628).
- crypto: ccree - fix resume race condition on init (bnc#1012628).
- md/raid1: don't clear bitmap bits on interrupted recovery
(bnc#1012628).
- x86/a.out: Clear the dump structure initially (bnc#1012628).
- sunrpc: fix 4 more call sites that were using stack memory
with a scatterlist (bnc#1012628).
- dm crypt: don't overallocate the integrity tag space
(bnc#1012628).
- dm thin: fix bug where bio that overwrites thin block ignores
FUA (bnc#1012628).
- drm: Use array_size() when creating lease (bnc#1012628).
- drm/vkms: Fix license inconsistent (bnc#1012628).
- drm/sched: Always trace the dependencies we wait on, to fix
a race (bnc#1012628).
- drm/i915: Block fbdev HPD processing during suspend
(bnc#1012628).
- drm/i915: Prevent a race during I915_GEM_MMAP ioctl with WC set
(bnc#1012628).
- commit eba2b68
-------------------------------------------------------------------
Tue Feb 19 12:52:52 CET 2019 - mbenes@suse.cz
- rpm/klp-symbols: Remove the second column in Symbols.list
Symbols.list file contains also a symbol type next to its name.
klp-convert cannot handle it well and it is superfluous anyway.
- commit 62a0a00
-------------------------------------------------------------------
Tue Feb 19 10:21:09 CET 2019 - jslaby@suse.cz
- signal: Restore the stop PTRACE_EVENT_EXIT (strace
threads-execve regression).
- Delete
patches.suse/revert-signal-Always-notice-exiting-tasks.patch.
- commit 8c9f09c
-------------------------------------------------------------------
Tue Feb 19 07:34:39 CET 2019 - jslaby@suse.cz
- Revert "signal: Always notice exiting tasks" (strace
threads-execve regression).
- commit 08d0345
-------------------------------------------------------------------
Mon Feb 18 05:25:43 CET 2019 - mkubecek@suse.cz
- Update to 5.0-rc7
- commit b094e66
-------------------------------------------------------------------
Fri Feb 15 10:26:12 CET 2019 - jslaby@suse.cz
- Revert "exec: load_script: don't blindly truncate shebang
string" (bnc#1012628).
- Linux 4.20.10 (bnc#1012628).
- Delete
patches.suse/Revert-exec-load_script-don-t-blindly-truncate-sheba.patch.
- commit 4c06520
-------------------------------------------------------------------
Fri Feb 15 10:23:48 CET 2019 - jslaby@suse.cz
- Linux 4.20.9 (bnc#1012628).
- batman-adv: Force mac header to start of data on xmit
(bnc#1012628).
- batman-adv: Avoid WARN on net_device without parent in netns
(bnc#1012628).
- xfrm: refine validation of template and selector families
(bnc#1012628).
- libceph: avoid KEEPALIVE_PENDING races in ceph_con_keepalive()
(bnc#1012628).
- Revert "ext4: use ext4_write_inode() when fsyncing w/o a
journal" (bnc#1012628).
- drm/i915: Try to sanitize bogus DPLL state left over by broken
SNB BIOSen (bnc#1012628).
- xfrm: Make set-mark default behavior backward compatible
(bnc#1012628).
- drm/vmwgfx: Return error code from vmw_execbuf_copy_fence_user
(bnc#1012628).
- drm/vmwgfx: Fix an uninitialized fence handle value
(bnc#1012628).
- drm/vmwgfx: Fix setting of dma masks (bnc#1012628).
- drm/i915: always return something on DDI clock selection
(bnc#1012628).
- drm/amd/powerplay: Fix missing break in switch (bnc#1012628).
- drm/rockchip: rgb: update SPDX license identifier (bnc#1012628).
- drm/modes: Prevent division by zero htotal (bnc#1012628).
- mac80211: ensure that mgmt tx skbs have tailroom for encryption
(bnc#1012628).
- mic: vop: Fix use-after-free on remove (bnc#1012628).
- powerpc/radix: Fix kernel crash with mremap() (bnc#1012628).
- powerpc/papr_scm: Use the correct bind address (bnc#1012628).
- firmware: arm_scmi: provide the mandatory device release
callback (bnc#1012628).
- ARM: dts: da850: fix interrupt numbers for clocksource
(bnc#1012628).
- ARM: tango: Improve ARCH_MULTIPLATFORM compatibility
(bnc#1012628).
- ARM: iop32x/n2100: fix PCI IRQ mapping (bnc#1012628).
- MIPS: VDSO: Include $(ccflags-vdso) in o32,n32 .lds builds
(bnc#1012628).
- mips: loongson64: remove unreachable(), fix loongson_poweroff()
(bnc#1012628).
- MIPS: VDSO: Use same -m%-float cflag as the kernel proper
(bnc#1012628).
- MIPS: OCTEON: don't set octeon_dma_bar_type if PCI is disabled
(bnc#1012628).
- MIPS: Use lower case for addresses in nexys4ddr.dts
(bnc#1012628).
- mips: cm: reprime error cause (bnc#1012628).
- tracing: uprobes: Fix typo in pr_fmt string (bnc#1012628).
- tracing/uprobes: Fix output for multiple string arguments
(bnc#1012628).
- pinctrl: cherryview: fix Strago DMI workaround (bnc#1012628).
- pinctrl: sunxi: Correct number of IRQ banks on H6 main pin
controller (bnc#1012628).
- svcrdma: Remove max_sge check at connect time (bnc#1012628).
- debugfs: fix debugfs_rename parameter checking (bnc#1012628).
- samples: mei: use /dev/mei0 instead of /dev/mei (bnc#1012628).
- mei: me: add ice lake point device id (bnc#1012628).
- cfg80211: call disconnect_wk when AP stops (bnc#1012628).
- misc: vexpress: Off by one in vexpress_syscfg_exec()
(bnc#1012628).
- signal: Better detection of synchronous signals (bnc#1012628).
- signal: Always notice exiting tasks (bnc#1012628).
- signal: Always attempt to allocate siginfo for SIGSTOP
(bnc#1012628).
- iio: ti-ads8688: Update buffer allocation for timestamps
(bnc#1012628).
- iio: chemical: atlas-ph-sensor: correct IIO_TEMP values to
millicelsius (bnc#1012628).
- iio: adc: axp288: Fix TS-pin handling (bnc#1012628).
- tools: iio: iio_generic_buffer: make num_loops signed
(bnc#1012628).
- libata: Add NOLPM quirk for SAMSUNG MZ7TE512HMHP-000L1 SSD
(bnc#1012628).
- mtd: rawnand: gpmi: fix MX28 bus master lockup problem
(bnc#1012628).
- mtd: spinand: Fix the error/cleanup path in spinand_init()
(bnc#1012628).
- mtd: spinand: Handle the case where PROGRAM LOAD does not
reset the cache (bnc#1012628).
- mtd: Make sure mtd->erasesize is valid even if the partition
is of size 0 (bnc#1012628).
- commit 54de81d
-------------------------------------------------------------------
Fri Feb 15 10:12:58 CET 2019 - jslaby@suse.cz
- Revert "exec: load_script: don't blindly truncate shebang
string" (shebang regression).
- commit c99a06a
-------------------------------------------------------------------
Wed Feb 13 11:40:06 CET 2019 - jslaby@suse.cz
- Update config files.
Fix armv6 build, set USB_ROLE_SWITCH=m.
- commit cc8092a
-------------------------------------------------------------------
Tue Feb 12 22:18:26 CET 2019 - jslaby@suse.cz
- Linux 4.20.8 (bnc#1012628).
- ath9k: dynack: check da->enabled first in sampling routines
(bnc#1012628).
- ath9k: dynack: make ewma estimation faster (bnc#1012628).
- nfsd: Fix error return values for nfsd4_clone_file_range()
(bnc#1012628).
- cacheinfo: Keep the old value if of_property_read_u32 fails
(bnc#1012628).
- serial: sh-sci: Do not free irqs that have already been freed
(bnc#1012628).
- serial: 8250_pci: Make PCI class test non fatal (bnc#1012628).
- serial: fix race between flush_to_ldisc and tty_open
(bnc#1012628).
- perf tests evsel-tp-sched: Fix bitwise operator (bnc#1012628).
- perf/core: Don't WARN() for impossible ring-buffer sizes
(bnc#1012628).
- x86/MCE: Initialize mce.bank in the case of a fatal error in
mce_no_way_out() (bnc#1012628).
- perf/x86/intel: Delay memory deallocation until
x86_pmu_dead_cpu() (bnc#1012628).
- perf/x86/intel/uncore: Add Node ID mask (bnc#1012628).
- cpu/hotplug: Fix "SMT disabled by BIOS" detection for KVM
(bnc#1012628).
- KVM: nVMX: unconditionally cancel preemption timer in
free_nested (CVE-2019-7221) (bnc#1012628).
- kvm: fix kvm_ioctl_create_device() reference counting
(CVE-2019-6974) (bnc#1012628).
- KVM: x86: work around leak of uninitialized stack contents
(CVE-2019-7222) (bnc#1012628).
- scsi: aic94xx: fix module loading (bnc#1012628).
- scsi: cxlflash: Prevent deadlock when adapter probe fails
(bnc#1012628).
- scsi: sd_zbc: Fix zone information messages (bnc#1012628).
- staging: speakup: fix tty-operation NULL derefs (bnc#1012628).
- usb: gadget: musb: fix short isoc packets with inventra dma
(bnc#1012628).
- usb: gadget: udc: net2272: Fix bitwise and boolean operations
(bnc#1012628).
- usb: dwc3: gadget: Handle 0 xfer length for OUT EP
(bnc#1012628).
- usb: phy: am335x: fix race condition in _probe (bnc#1012628).
- arm64: dts: allwinner: a64: Fix USB OTG regulator (bnc#1012628).
- irqchip/gic-v3-its: Plug allocation race for devices sharing
a DevID (bnc#1012628).
- futex: Handle early deadlock return correctly (bnc#1012628).
- dmaengine: imx-dma: fix wrong callback invoke (bnc#1012628).
- dmaengine: bcm2835: Fix abort of transactions (bnc#1012628).
- dmaengine: bcm2835: Fix interrupt race on RT (bnc#1012628).
- HID: debug: fix the ring buffer implementation (bnc#1012628).
- cuse: fix ioctl (bnc#1012628).
- fuse: handle zero sized retrieve correctly (bnc#1012628).
- fuse: decrement NR_WRITEBACK_TEMP on the right page
(bnc#1012628).
- fuse: call pipe_buf_release() under pipe lock (bnc#1012628).
- ALSA: hda/realtek - Headset microphone support for System76
darp5 (bnc#1012628).
- ALSA: hda/realtek - Use a common helper for hp pin reference
(bnc#1012628).
- ALSA: hda/realtek - Fix lose hp_pins for disable auto mute
(bnc#1012628).
- ALSA: hda - Serialize codec registrations (bnc#1012628).
- ALSA: usb-audio: Add support for new T+A USB DAC (bnc#1012628).
- ALSA: compress: Fix stop handling on compressed capture streams
(bnc#1012628).
- xfs: eof trim writeback mapping as soon as it is cached
(bnc#1012628).
- net/mlx5e: Force CHECKSUM_UNNECESSARY for short ethernet frames
(bnc#1012628).
- net/mlx5e: Use the inner headers to determine tc/pedit offload
limitation on decap flows (bnc#1012628).
- net: dsa: b53: Fix for failure when irq is not defined in dt
(bnc#1012628).
- net: cls_flower: Remove filter from mask before freeing it
(bnc#1012628).
- bnxt_en: Disable interrupts when allocating CP rings or NQs
(bnc#1012628).
- virtio_net: Account for tx bytes and packets on sending
xdp_frames (bnc#1012628).
- skge: potential memory corruption in skge_get_regs()
(bnc#1012628).
- sctp: walk the list of asoc safely (bnc#1012628).
- sctp: check and update stream->out_curr when allocating
stream_out (bnc#1012628).
- rxrpc: bad unlock balance in rxrpc_recvmsg (bnc#1012628).
- Revert "net: phy: marvell: avoid pause mode on SGMII-to-Copper
for 88e151x" (bnc#1012628).
- rds: fix refcount bug in rds_sock_addref (bnc#1012628).
- net: systemport: Fix WoL with password after deep sleep
(bnc#1012628).
- net: dsa: slave: Don't propagate flag changes on down slave
interfaces (bnc#1012628).
- net: dsa: mv88e6xxx: Fix counting of ATU violations
(bnc#1012628).
- net: dsa: Fix NULL checking in dsa_slave_set_eee()
(bnc#1012628).
- net: dsa: Fix lockdep false positive splat (bnc#1012628).
- net: dp83640: expire old TX-skb (bnc#1012628).
- lib/test_rhashtable: Make test_insert_dup() allocate its hash
table dynamically (bnc#1012628).
- enic: fix checksum validation for IPv6 (bnc#1012628).
- dccp: fool proof ccid_hc_[rt]x_parse_options() (bnc#1012628).
- thermal: hwmon: inline helpers when CONFIG_THERMAL_HWMON is
not set (bnc#1012628).
- xprtrdma: Don't wake pending tasks until disconnect is done
(bnc#1012628).
- scripts/gdb: fix lx-version string output (bnc#1012628).
- kernel/kcov.c: mark write_comp_data() as notrace (bnc#1012628).
- exec: load_script: don't blindly truncate shebang string
(bnc#1012628).
- fs/epoll: drop ovflist branch prediction (bnc#1012628).
- kernel/hung_task.c: force console verbose before panic
(bnc#1012628).
- proc/sysctl: fix return error for proc_doulongvec_minmax()
(bnc#1012628).
- kernel/hung_task.c: break RCU locks based on jiffies
(bnc#1012628).
- arm64/sve: ptrace: Fix SVE_PT_REGS_OFFSET definition
(bnc#1012628).
- HID: lenovo: Add checks to fix of_led_classdev_register
(bnc#1012628).
- thermal: generic-adc: Fix adc to temp interpolation
(bnc#1012628).
- block/swim3: Fix regression on PowerBook G3 (bnc#1012628).
- PCI: imx: Enable MSI from downstream components (bnc#1012628).
- thermal: tsens: qcom: do not create duplicate regmap debugfs
entries (bnc#1012628).
- kdb: Don't back trace on a cpu that didn't round up
(bnc#1012628).
- thermal: bcm2835: enable hwmon explicitly (bnc#1012628).
- block/swim3: Fix -EBUSY error when re-opening device after
unmount (bnc#1012628).
- fsl/fman: Use GFP_ATOMIC in {memac,tgec}_add_hash_mac_address()
(bnc#1012628).
- gdrom: fix a memory leak bug (bnc#1012628).
- isdn: hisax: hfc_pci: Fix a possible concurrency use-after-free
bug in HFCPCI_l1hw() (bnc#1012628).
- zram: fix lockdep warning of free block handling (bnc#1012628).
- mm/page_alloc.c: don't call kasan_free_pages() at deferred
mem init (bnc#1012628).
- ocfs2: improve ocfs2 Makefile (bnc#1012628).
- ocfs2: don't clear bh uptodate for block read (bnc#1012628).
- arch/sh/boards/mach-kfr2r09/setup.c: fix struct mtd_oob_ops
build warning (bnc#1012628).
- scripts/decode_stacktrace: only strip base path when a prefix
of the path (bnc#1012628).
- perf python: Do not force closing original perf descriptor in
evlist.get_pollfd() (bnc#1012628).
- cgroup: fix parsing empty mount option string (bnc#1012628).
- f2fs: fix sbi->extent_list corruption issue (bnc#1012628).
- niu: fix missing checks of niu_pci_eeprom_read (bnc#1012628).
- um: Avoid marking pages with "changed protection" (bnc#1012628).
- f2fs: fix use-after-free issue when accessing sbi->stat_info
(bnc#1012628).
- cifs: check ntwrk_buf_start for NULL before dereferencing it
(bnc#1012628).
- MIPS: ralink: Select CONFIG_CPU_MIPSR2_IRQ_VI on MT7620/8
(bnc#1012628).
- crypto: ux500 - Use proper enum in hash_set_dma_transfer
(bnc#1012628).
- crypto: ux500 - Use proper enum in cryp_set_dma_transfer
(bnc#1012628).
- seq_buf: Make seq_buf_puts() null-terminate the buffer
(bnc#1012628).
- hwmon: (lm80) fix a missing check of bus read in lm80 probe
(bnc#1012628).
- hwmon: (lm80) fix a missing check of the status of SMBus read
(bnc#1012628).
- perf build: Don't unconditionally link the libbfd feature test
to -liberty and -lz (bnc#1012628).
- NFS: nfs_compare_mount_options always compare auth flavors
(bnc#1012628).
- kvm: Change offset in kvm_write_guest_offset_cached to unsigned
(bnc#1012628).
- selftests: kvm: report failed stage when exit reason is
unexpected (bnc#1012628).
- powerpc/fadump: Do not allow hot-remove memory from fadump
reserved area (bnc#1012628).
- KVM: x86: svm: report MSR_IA32_MCG_EXT_CTL as unsupported
(bnc#1012628).
- pinctrl: meson: meson8b: fix the GPIO function for the GPIOAO
pins (bnc#1012628).
- pinctrl: meson: meson8: fix the GPIO function for the GPIOAO
pins (bnc#1012628).
- bpf: sk_msg, fix socket data_ready events (bnc#1012628).
- powerpc/mm: Fix reporting of kernel execute faults on the 8xx
(bnc#1012628).
- bpf: sk_msg, zap ingress queue on psock down (bnc#1012628).
- fbdev: fbcon: Fix unregister crash when more than one
framebuffer (bnc#1012628).
- ACPI/APEI: Clear GHES block_status before panic() (bnc#1012628).
- igb: Fix an issue that PME is not enabled during runtime suspend
(bnc#1012628).
- ice: Do not enable NAPI on q_vectors that have no rings
(bnc#1012628).
- i40e: define proper net_device::neigh_priv_len (bnc#1012628).
- fbdev: fbmem: behave better with small rotated displays and
many CPUs (bnc#1012628).
- md: fix raid10 hang issue caused by barrier (bnc#1012628).
- video: clps711x-fb: release disp device node in probe()
(bnc#1012628).
- drm/amd/display: validate extended dongle caps (bnc#1012628).
- ath10k: fix tx_stats memory leak (bnc#1012628).
- ath10k: fix kernel panic due to use after free (bnc#1012628).
- drbd: Avoid Clang warning about pointless switch statment
(bnc#1012628).
- drbd: skip spurious timeout (ping-timeo) when failing promote
(bnc#1012628).
- drbd: disconnect, if the wrong UUIDs are attached on a connected
peer (bnc#1012628).
- drbd: narrow rcu_read_lock in drbd_sync_handshake (bnc#1012628).
- mlx5: update timecounter at least twice per counter overflow
(bnc#1012628).
- powerpc/powernv/ioda: Allocate indirect TCE levels of cached
userspace addresses on demand (bnc#1012628).
- iwlwifi: mvm: fix setting HE ppe FW config (bnc#1012628).
- powerpc/perf: Fix thresholding counter data for unknown type
(bnc#1012628).
- net: hns3: don't restore rules when flow director is disabled
(bnc#1012628).
- net: hns3: fix the descriptor index when get rss type
(bnc#1012628).
- net: hns3: add max vector number check for pf (bnc#1012628).
- cw1200: Fix concurrency use-after-free bugs in cw1200_hw_scan()
(bnc#1012628).
- scsi: smartpqi: increase fw status register read timeout
(bnc#1012628).
- scsi: smartpqi: correct volume status (bnc#1012628).
- scsi: smartpqi: correct host serial num for ssa (bnc#1012628).
- mlxsw: spectrum: Properly cleanup LAG uppers when removing
port from LAG (bnc#1012628).
- xfrm6_tunnel: Fix spi check in __xfrm6_tunnel_alloc_spi
(bnc#1012628).
- mac80211: fix radiotap vendor presence bitmap handling
(bnc#1012628).
- powerpc/uaccess: fix warning/error with access_ok()
(bnc#1012628).
- drm/amd/display: fix YCbCr420 blank color (bnc#1012628).
- Bluetooth: hci_bcm: Handle deferred probing for the clock supply
(bnc#1012628).
- drm/amd/display: Wait edp HPD to high in detect_sink
(bnc#1012628).
- drm/amd/display: Add retry to read ddc_clock pin (bnc#1012628).
- net: hns3: fix incomplete uninitialization of IRQ in the
hns3_nic_uninit_vector_data() (bnc#1012628).
- net: hns3: fix error handling int the hns3_get_vector_ring_chain
(bnc#1012628).
- percpu: convert spin_lock_irq to spin_lock_irqsave
(bnc#1012628).
- perf tools: Cast off_t to s64 to avoid warning on bionic libc
(bnc#1012628).
- perf header: Fix up argument to ctime() (bnc#1012628).
- usb: musb: dsps: fix runtime pm for peripheral mode
(bnc#1012628).
- usb: musb: dsps: fix otg state machine (bnc#1012628).
- arm64: KVM: Skip MMIO insn after emulation (bnc#1012628).
- livepatch: check kzalloc return values (bnc#1012628).
- mac80211: Properly handle SKB with radiotap only (bnc#1012628).
- pinctrl: rza1: Handle devm_kasprintf() failure cases
(bnc#1012628).
- tools/power/x86/intel_pstate_tracer: Fix non root execution
for post processing a trace file (bnc#1012628).
- bnxt_en: Disable MSIX before re-reserving NQs/CMPL rings
(bnc#1012628).
- i2c: sh_mobile: Add support for r8a774c0 (RZ/G2E) (bnc#1012628).
- perf probe: Fix unchecked usage of strncpy() (bnc#1012628).
- btrfs: use tagged writepage to mitigate livelock of snapshot
(bnc#1012628).
- perf header: Fix unchecked usage of strncpy() (bnc#1012628).
- perf dso: Fix unchecked usage of strncpy() (bnc#1012628).
- perf test: Fix perf_event_attr test failure (bnc#1012628).
- perf stat: Fix CSV mode column output for non-cgroup events
(bnc#1012628).
- tty: serial: samsung: Properly set flags in autoCTS mode
(bnc#1012628).
- serial: sh-sci: Resume PIO in sci_rx_interrupt() on DMA failure
(bnc#1012628).
- serial: sh-sci: Fix locking in sci_submit_rx() (bnc#1012628).
- btrfs: harden agaist duplicate fsid on scanned devices
(bnc#1012628).
- usb: renesas_usbhs: add support for RZ/G2E (bnc#1012628).
- mmc: jz4740: Get CD/WP GPIOs from descriptors (bnc#1012628).
- mmc: sdhci-xenon: Fix timeout checks (bnc#1012628).
- mmc: sdhci-omap: Fix timeout checks (bnc#1012628).
- mmc: sdhci-of-esdhc: Fix timeout checks (bnc#1012628).
- memstick: Prevent memstick host from getting runtime suspended
during card detection (bnc#1012628).
- mmc: meson-mx-sdio: check devm_kasprintf for failure
(bnc#1012628).
- mmc: bcm2835: reset host on timeout (bnc#1012628).
- mmc: bcm2835: Recover from MMC_SEND_EXT_CSD (bnc#1012628).
- KVM: PPC: Book3S: Only report KVM_CAP_SPAPR_TCE_VFIO on powernv
machines (bnc#1012628).
- tools: bpftool: fix -Wmissing declaration warnings
(bnc#1012628).
- ipv6: Fix handling of LLA with VRF and sockets bound to VRF
(bnc#1012628).
- f2fs: fix to reorder set_page_dirty and wait_on_page_writeback
(bnc#1012628).
- clk: qcom: Leave mmss noc on for 8998 (bnc#1012628).
- fix dma-buf/udmabuf selftest (bnc#1012628).
- ASoC: fsl: Fix SND_SOC_EUKREA_TLV320 build error on i.MX8M
(bnc#1012628).
- ARM: pxa: avoid section mismatch warning (bnc#1012628).
- selftests/bpf: use __bpf_constant_htons in test_prog.c
(bnc#1012628).
- switchtec: Fix SWITCHTEC_IOCTL_EVENT_IDX_ALL flags overwrite
(bnc#1012628).
- udf: Fix BUG on corrupted inode (bnc#1012628).
- mlxsw: spectrum_acl: Limit priority value (bnc#1012628).
- phy: sun4i-usb: add support for missing USB PHY index
(bnc#1012628).
- lightnvm: Fix uninitialized return value in nvm_get_chunk_meta()
(bnc#1012628).
- i2c-axxia: check for error conditions first (bnc#1012628).
- lightnvm: pblk: add lock protection to list operations
(bnc#1012628).
- lightnvm: pblk: fix resubmission of overwritten write err lbas
(bnc#1012628).
- drm/msm: dpu: Only check flush register against pending flushes
(bnc#1012628).
- drm/msm/dsi: fix dsi clock names in DSI 10nm PLL driver
(bnc#1012628).
- tee: optee: avoid possible double list_del() (bnc#1012628).
- OPP: Use opp_table->regulators to verify no regulator case
(bnc#1012628).
- cpuidle: big.LITTLE: fix refcount leak (bnc#1012628).
- platform/x86: mlx-platform: Fix tachometer registers
(bnc#1012628).
- clk: imx6sl: ensure MMDC CH0 handshake is bypassed
(bnc#1012628).
- sata_rcar: fix deferred probing (bnc#1012628).
- iommu/arm-smmu-v3: Use explicit mb() when moving cons pointer
(bnc#1012628).
- iommu/arm-smmu: Add support for qcom,smmu-v2 variant
(bnc#1012628).
- iommu/arm-smmu-v3: Avoid memory corruption from Hisilicon MSI
payloads (bnc#1012628).
- usb: dwc3: gadget: Disable CSP for stream OUT ep (bnc#1012628).
- ARM: dts: imx51-zii-rdu1: Do not specify "power-gpio" for hpa1
(bnc#1012628).
- watchdog: renesas_wdt: don't set divider while watchdog is
running (bnc#1012628).
- ARM: dts: Fix up the D-Link DIR-685 MTD partition info
(bnc#1012628).
- arm64: dts: meson: Fix IRQ trigger type for macirq
(bnc#1012628).
- media: coda: fix H.264 deblocking filter controls (bnc#1012628).
- mips: bpf: fix encoding bug for mm_srlv32_op (bnc#1012628).
- ARM: dts: Fix OMAP4430 SDP Ethernet startup (bnc#1012628).
- ARM: OMAP1: ams-delta: Fix audio permanently muted
(bnc#1012628).
- media: v4l2-device: Link subdevices to their parent devices
if available (bnc#1012628).
- iommu/amd: Fix amd_iommu=force_isolation (bnc#1012628).
- pinctrl: sx150x: handle failure case of devm_kstrdup
(bnc#1012628).
- gpio: mt7621: pass mediatek_gpio_bank_probe() failure up the
stack (bnc#1012628).
- gpio: mt7621: report failure of devm_kasprintf() (bnc#1012628).
- usb: dwc3: trace: add missing break statement to make compiler
happy (bnc#1012628).
- IB/hfi1: Unreserve a reserved request when it is completed
(bnc#1012628).
- kobject: return error code if writing /sys/.../uevent fails
(bnc#1012628).
- driver core: Move async_synchronize_full call (bnc#1012628).
- arm64: dts: rockchip: fix rk3399-rockpro64 regulator gpios
(bnc#1012628).
- tipc: fix node keep alive interval calculation (bnc#1012628).
- drm/amdgpu/powerplay: fix clock stretcher limits on polaris (v2)
(bnc#1012628).
- pinctrl: nuvoton: check for devm_kasprintf() failure
(bnc#1012628).
- mac80211: fix deauth TX when we disconnect (bnc#1012628).
- media: imx274: select REGMAP_I2C (bnc#1012628).
- clk: sunxi-ng: a33: Set CLK_SET_RATE_PARENT for all audio
module clocks (bnc#1012628).
- usb: mtu3: fix the issue about SetFeature(U1/U2_Enable)
(bnc#1012628).
- timekeeping: Use proper seqcount initializer (bnc#1012628).
- usb: hub: delay hub autosuspend if USB3 port is still link
training (bnc#1012628).
- usb: dwc2: Disable power down feature on Samsung SoCs
(bnc#1012628).
- dmaengine: sprd: Support DMA link-list cyclic callback
(bnc#1012628).
- usb: dwc3: Correct the logic for checking TRB full in
__dwc3_prepare_one_trb() (bnc#1012628).
- xtensa: xtfpga.dtsi: fix dtc warnings about SPI (bnc#1012628).
- smack: fix access permissions for keyring (bnc#1012628).
- platform/x86: Fix config space access for intel_atomisp2_pm
(bnc#1012628).
- media: DaVinci-VPBE: fix error handling in vpbe_initialize()
(bnc#1012628).
- media: i2c: TDA1997x: select CONFIG_HDMI (bnc#1012628).
- x86/fpu: Add might_fault() to user_insn() (bnc#1012628).
- spi: fix spi-at91-usart.c build errors when PINCTRL is not set
(bnc#1012628).
- ARM: dts: aspeed: add missing memory unit-address (bnc#1012628).
- ARM: dts: mmp2: fix TWSI2 (bnc#1012628).
- drm/v3d: Fix prime imports of buffers from other drivers
(bnc#1012628).
- arm64: ftrace: don't adjust the LR value (bnc#1012628).
- mt76x0: dfs: fix IBI_R11 configuration on non-radar channels
(bnc#1012628).
- s390/zcrypt: improve special ap message cmd handling
(bnc#1012628).
- firmware/efi: Add NULL pointer checks in efivars API functions
(bnc#1012628).
- thermal: Fix locking in cooling device sysfs update cur_state
(bnc#1012628).
- Thermal: do not clear passive state during system sleep
(bnc#1012628).
- arm64: io: Ensure value passed to __iormb() is held in a 64-bit
register (bnc#1012628).
- perf: arm_spe: handle devm_kasprintf() failure (bnc#1012628).
- drm: Clear state->acquire_ctx before leaving
drm_atomic_helper_commit_duplicated_state() (bnc#1012628).
- nfsd4: fix crash on writing v4_end_grace before nfsd startup
(bnc#1012628).
- soc: bcm: brcmstb: Don't leak device tree node reference
(bnc#1012628).
- sunvdc: Do not spin in an infinite loop when vio_ldc_send()
returns EAGAIN (bnc#1012628).
- net: aquantia: return 'err' if set MPI_DEINIT state fails
(bnc#1012628).
- arm64: io: Ensure calls to delay routines are ordered against
prior readX() (bnc#1012628).
- i2c: sh_mobile: add support for r8a77990 (R-Car E3)
(bnc#1012628).
- f2fs: fix wrong return value of f2fs_acl_create (bnc#1012628).
- f2fs: fix race between write_checkpoint and write_begin
(bnc#1012628).
- f2fs: move dir data flush to write checkpoint process
(bnc#1012628).
- f2fs: avoid GC causing encrypted file corrupted (bnc#1012628).
- staging: pi433: fix potential null dereference (bnc#1012628).
- ACPI: SPCR: Consider baud rate 0 as preconfigured state
(bnc#1012628).
- media: adv*/tc358743/ths8200: fill in min
width/height/pixelclock (bnc#1012628).
- iio: accel: kxcjk1013: Add KIOX010A ACPI Hardware-ID
(bnc#1012628).
- iio: adc: meson-saradc: fix internal clock names (bnc#1012628).
- iio: adc: meson-saradc: check for devm_kasprintf failure
(bnc#1012628).
- powerpc/32: Add .data..Lubsan_data*/.data..Lubsan_type*
sections explicitly (bnc#1012628).
- dmaengine: xilinx_dma: Remove __aligned attribute on
zynqmp_dma_desc_ll (bnc#1012628).
- ptp: Fix pass zero to ERR_PTR() in ptp_clock_register
(bnc#1012628).
- clk: meson: meson8b: mark the CPU clock as CLK_IS_CRITICAL
(bnc#1012628).
- clk: meson: meson8b: fix the width of the cpu_scale_div clock
(bnc#1012628).
- clk: meson: meson8b: do not use cpu_div3 for cpu_scale_out_sel
(bnc#1012628).
- drm: rcar-du: Fix external clock error checks (bnc#1012628).
- RDMA/core: Sync unregistration with netlink commands
(bnc#1012628).
- media: vivid: fill in media_device bus_info (bnc#1012628).
- staging: erofs: fix race when the managed cache is enabled
(bnc#1012628).
- staging: erofs: fix the definition of DBG_BUGON (bnc#1012628).
- media: mtk-vcodec: Release device nodes in
mtk_vcodec_init_enc_pm() (bnc#1012628).
- media: video-i2c: avoid accessing released memory area when
removing driver (bnc#1012628).
- media: rc: ensure close() is called on rc_unregister_device
(bnc#1012628).
- soc/tegra: Don't leak device tree node reference (bnc#1012628).
- scsi: cxgb4i: fix thermal configuration dependencies
(bnc#1012628).
- perf tools: Add Hygon Dhyana support (bnc#1012628).
- modpost: validate symbol names also in find_elf_symbol
(bnc#1012628).
- net/mlx5: EQ, Use the right place to store/read IRQ affinity
hint (bnc#1012628).
- bpf: libbpf: retry map creation without the name (bnc#1012628).
- drm/amd/display: calculate stream->phy_pix_clk before clock
mapping (bnc#1012628).
- drm/amd/display: fix gamma not being applied correctly
(bnc#1012628).
- ARM: OMAP2+: hwmod: Fix some section annotations (bnc#1012628).
- drm/rockchip: fix for mailbox read size (bnc#1012628).
- usbnet: smsc95xx: fix rx packet alignment (bnc#1012628).
- staging: iio: ad7780: update voltage on read (bnc#1012628).
- scsi: hisi_sas: change the time of SAS SSP connection
(bnc#1012628).
- i40e: prevent overlapping tx_timeout recover (bnc#1012628).
- i40e: suppress bogus error message (bnc#1012628).
- platform/chrome: don't report EC_MKBP_EVENT_SENSOR_FIFO as
wakeup (bnc#1012628).
- vbox: fix link error with 'gcc -Og' (bnc#1012628).
- fpga: altera-cvp: fix 'bad IO access' on x86_64 (bnc#1012628).
- Tools: hv: kvp: Fix a warning of buffer overflow with gcc 8.0.1
(bnc#1012628).
- fpga: altera-cvp: Fix registration for CvP incapable devices
(bnc#1012628).
- staging:iio:ad2s90: Make probe handle spi_setup failure
(bnc#1012628).
- iwlwifi: fw: do not set sgi bits for HE connection
(bnc#1012628).
- dpaa2-ptp: defer probe when portal allocation failed
(bnc#1012628).
- MIPS: Boston: Disable EG20T prefetch (bnc#1012628).
- ptp: check gettime64 return code in PTP_SYS_OFFSET ioctl
(bnc#1012628).
- serial: fsl_lpuart: clear parity enable bit when disable parity
(bnc#1012628).
- drm/vc4: ->x_scaling[1] should never be set to VC4_SCALING_NONE
(bnc#1012628).
- crypto: aes_ti - disable interrupts while accessing S-box
(bnc#1012628).
- s390/qeth: utilize virtual MAC for Layer2 OSD devices
(bnc#1012628).
- bpftool: Improve handling of ENOENT on map dumps (bnc#1012628).
- powerpc/pseries: add of_node_put() in dlpar_detach_node()
(bnc#1012628).
- x86/PCI: Fix Broadcom CNB20LE unintended sign extension (redux)
(bnc#1012628).
- dlm: Don't swamp the CPU with callbacks queued during recovery
(bnc#1012628).
- clk: boston: fix possible memory leak in clk_boston_setup()
(bnc#1012628).
- ARM: 8808/1: kexec:offline panic_smp_self_stop CPU
(bnc#1012628).
- scsi: lpfc: Fix LOGO/PLOGI handling when triggerd by ABTS
Timeout event (bnc#1012628).
- scsi: mpt3sas: Call sas_remove_host before removing the target
devices (bnc#1012628).
- scsi: lpfc: Correct LCB RJT handling (bnc#1012628).
- ath9k: dynack: use authentication messages for 'late' ack
(bnc#1012628).
- ath10k: assign 'n_cipher_suites' for WCN3990 (bnc#1012628).
- wil6210: fix memory leak in wil_find_tx_bcast_2 (bnc#1012628).
- wil6210: fix reset flow for Talyn-mb (bnc#1012628).
- nds32: Fix gcc 8.0 compiler option incompatible (bnc#1012628).
- drm/amd/display: Fix potential nullptr error (bnc#1012628).
- gpu: ipu-v3: image-convert: Prevent race between run and
unprepare (bnc#1012628).
- genirq/affinity: Spread IRQs to all available NUMA nodes
(bnc#1012628).
- ath10k: fixup extended per sta tx statistics (bnc#1012628).
- drm/sun4i: Initialize registers in tcon-top driver
(bnc#1012628).
- gpiolib: Fix possible use after free on label (bnc#1012628).
- ASoC: Intel: mrfld: fix uninitialized variable access
(bnc#1012628).
- clk: sunxi-ng: h6: Set video PLLs limits (bnc#1012628).
- pinctrl: bcm2835: Use raw spinlock for RT compatibility
(bnc#1012628).
- drm/vgem: Fix vgem_init to get drm device available
(bnc#1012628).
- staging: iio: adc: ad7280a: handle error from __ad7280_read32()
(bnc#1012628).
- drm/v3d: Fix a use-after-free race accessing the scheduler's
fences (bnc#1012628).
- drm/bufs: Fix Spectre v1 vulnerability (bnc#1012628).
- commit 02864b1
-------------------------------------------------------------------
Mon Feb 11 07:13:12 CET 2019 - mkubecek@suse.cz
- Update to 5.0-rc6
- commit eb4b248
-------------------------------------------------------------------
Fri Feb 8 12:08:22 CET 2019 - msuchanek@suse.de
- rpm/kernel-binary.spec.in: Build livepatch support in SUSE release
projects (bsc#1124167).
- commit 7519080
-------------------------------------------------------------------
Thu Feb 7 08:16:45 CET 2019 - jslaby@suse.cz
- Linux 4.20.7 (bnc#1012628).
- drm/msm/gpu: fix building without debugfs (bnc#1012628).
- ipv6: sr: clear IP6CB(skb) on SRH ip4ip6 encapsulation
(bnc#1012628).
- ipvlan, l3mdev: fix broken l3s mode wrt local routes
(bnc#1012628).
- l2tp: copy 4 more bytes to linear part if necessary
(bnc#1012628).
- l2tp: fix reading optional fields of L2TPv3 (bnc#1012628).
- net: ip_gre: always reports o_key to userspace (bnc#1012628).
- net: ip_gre: use erspan key field for tunnel lookup
(bnc#1012628).
- net/ipv6: don't return positive numbers when nothing was dumped
(bnc#1012628).
- net/mlx4_core: Add masking for a few queries on HCA caps
(bnc#1012628).
- netrom: switch to sock timer API (bnc#1012628).
- net/rose: fix NULL ax25_cb kernel panic (bnc#1012628).
- net: set default network namespace in init_dummy_netdev()
(bnc#1012628).
- ravb: expand rx descriptor data to accommodate hw checksum
(bnc#1012628).
- sctp: improve the events for sctp stream reset (bnc#1012628).
- tun: move the call to tun_set_real_num_queues (bnc#1012628).
- ucc_geth: Reset BQL queue when stopping device (bnc#1012628).
- vhost: fix OOB in get_rx_bufs() (bnc#1012628).
- net: ip6_gre: always reports o_key to userspace (bnc#1012628).
- sctp: improve the events for sctp stream adding (bnc#1012628).
- net/mlx5e: Allow MAC invalidation while spoofchk is ON
(bnc#1012628).
- ip6mr: Fix notifiers call on mroute_clean_tables()
(bnc#1012628).
- Revert "net/mlx5e: E-Switch, Initialize eswitch only if eswitch
manager" (bnc#1012628).
- sctp: set chunk transport correctly when it's a new asoc
(bnc#1012628).
- sctp: set flow sport from saddr only when it's 0 (bnc#1012628).
- net: tls: Fix deadlock in free_resources tx (bnc#1012628).
- net: tls: Save iv in tls_rec for async crypto requests
(bnc#1012628).
- virtio_net: Don't enable NAPI when interface is down
(bnc#1012628).
- virtio_net: Don't call free_old_xmit_skbs for xdp_frames
(bnc#1012628).
- virtio_net: Fix not restoring real_num_rx_queues (bnc#1012628).
- virtio_net: Fix out of bounds access of sq (bnc#1012628).
- virtio_net: Don't process redirected XDP frames when XDP is
disabled (bnc#1012628).
- virtio_net: Use xdp_return_frame to free xdp_frames on
destroying vqs (bnc#1012628).
- virtio_net: Differentiate sk_buff and xdp_frame on freeing
(bnc#1012628).
- ipv6: Consider sk_bound_dev_if when binding a socket to an
address (bnc#1012628).
- CIFS: Do not count -ENODATA as failure for query directory
(bnc#1012628).
- CIFS: Fix possible oops and memory leaks in async IO
(bnc#1012628).
- CIFS: Fix trace command logging for SMB2 reads and writes
(bnc#1012628).
- CIFS: fix use-after-free of the lease keys (bnc#1012628).
- CIFS: Do not consider -ENODATA as stat failure for reads
(bnc#1012628).
- fs/dcache: Fix incorrect nr_dentry_unused accounting in
shrink_dcache_sb() (bnc#1012628).
- iommu/vt-d: Fix memory leak in intel_iommu_put_resv_regions()
(bnc#1012628).
- selftests/seccomp: Enhance per-arch ptrace syscall skip tests
(bnc#1012628).
- NFS: Fix up return value on fatal errors in
nfs_page_async_flush() (bnc#1012628).
- ARM: cns3xxx: Fix writing to wrong PCI config registers after
alignment (bnc#1012628).
- arm64: kaslr: ensure randomized quantities are clean also when
kaslr is off (bnc#1012628).
- arm64: Do not issue IPIs for user executable ptes (bnc#1012628).
- arm64: hyp-stub: Forbid kprobing of the hyp-stub (bnc#1012628).
- arm64: hibernate: Clean the __hyp_text to PoC after resume
(bnc#1012628).
- gpio: altera-a10sr: Set proper output level for direction_output
(bnc#1012628).
- gpiolib: fix line event timestamps for nested irqs
(bnc#1012628).
- gpio: pcf857x: Fix interrupts on multiple instances
(bnc#1012628).
- gpio: sprd: Fix the incorrect data register (bnc#1012628).
- gpio: sprd: Fix incorrect irq type setting for the async EIC
(bnc#1012628).
- gfs2: Revert "Fix loop in gfs2_rbm_find" (bnc#1012628).
- mmc: bcm2835: Fix DMA channel leak on probe error (bnc#1012628).
- mmc: mediatek: fix incorrect register setting of
hs400_cmd_int_delay (bnc#1012628).
- ALSA: usb-audio: Add Opus #3 to quirks for native DSD support
(bnc#1012628).
- ALSA: hda/realtek - Fixed hp_pin no value (bnc#1012628).
- ALSA: pcm: Fix tight loop of OSS capture stream (bnc#1012628).
- IB/uverbs: Fix OOPs upon device disassociation (bnc#1012628).
- IB/uverbs: Fix OOPs in uverbs_user_mmap_disassociate
(bnc#1012628).
- IB/hfi1: Remove overly conservative VM_EXEC flag check
(bnc#1012628).
- IB/hfi1: Add limit test for RC/UC send via loopback
(bnc#1012628).
- platform/x86: asus-nb-wmi: Map 0x35 to KEY_SCREENLOCK
(bnc#1012628).
- platform/x86: asus-nb-wmi: Drop mapping of 0x33 and 0x34 scan
codes (bnc#1012628).
- Btrfs: fix deadlock when allocating tree block during leaf/node
split (bnc#1012628).
- btrfs: On error always free subvol_name in btrfs_mount
(bnc#1012628).
- kernel/exit.c: release ptraced tasks before zap_pid_ns_processes
(bnc#1012628).
- mm/hugetlb.c: teach follow_hugetlb_page() to handle FOLL_NOWAIT
(bnc#1012628).
- oom, oom_reaper: do not enqueue same task twice (bnc#1012628).
- mm,memory_hotplug: fix scan_movable_pages() for gigantic
hugepages (bnc#1012628).
- mm, oom: fix use-after-free in oom_kill_process (bnc#1012628).
- mm: hwpoison: use do_send_sig_info() instead of force_sig()
(bnc#1012628).
- mm: migrate: don't rely on __PageMovable() of newpage after
unlocking it (bnc#1012628).
- of: overlay: add tests to validate kfrees from overlay removal
(bnc#1012628).
- of: overlay: add missing of_node_get() in __of_attach_node_sysfs
(bnc#1012628).
- of: overlay: use prop add changeset entry for property in new
nodes (bnc#1012628).
- of: overlay: do not duplicate properties from overlay for new
nodes (bnc#1012628).
- md/raid5: fix 'out of memory' during raid cache recovery
(bnc#1012628).
- cifs: Always resolve hostname before reconnecting (bnc#1012628).
- Refresh
patches.suse/btrfs-8447-serialize-subvolume-mounts-with-potentially-mi.patch.
- commit 730812f
-------------------------------------------------------------------
Sun Feb 3 23:56:23 CET 2019 - mkubecek@suse.cz
- Update to 5.0-rc5
- Config changes:
- x86:
- X86_RESCTRL renamed to X86_CPU_RESCTRL
- commit a42dcc6
-------------------------------------------------------------------
Thu Jan 31 08:37:50 CET 2019 - jslaby@suse.cz
- Linux 4.20.6 (bnc#1012628).
- amd-xgbe: Fix mdio access for non-zero ports and clause 45 PHYs
(bnc#1012628).
- net: bridge: Fix ethernet header pointer before check skb
forwardable (bnc#1012628).
- net: Fix usage of pskb_trim_rcsum (bnc#1012628).
- net: phy: marvell: Errata for mv88e6390 internal PHYs
(bnc#1012628).
- net: phy: mdio_bus: add missing device_del() in
mdiobus_register() error handling (bnc#1012628).
- net: phy: phy driver features are mandatory (bnc#1012628).
- net/sched: act_tunnel_key: fix memory leak in case of action
replace (bnc#1012628).
- net_sched: refetch skb protocol for each filter (bnc#1012628).
- openvswitch: Avoid OOB read when parsing flow nlattrs
(bnc#1012628).
- vhost: log dirty page correctly (bnc#1012628).
- mlxsw: pci: Increase PCI SW reset timeout (bnc#1012628).
- net: ipv4: Fix memory leak in network namespace dismantle
(bnc#1012628).
- mlxsw: spectrum_fid: Update dummy FID index (bnc#1012628).
- mlxsw: pci: Ring CQ's doorbell before RDQ's (bnc#1012628).
- net/sched: cls_flower: allocate mask dynamically in fl_change()
(bnc#1012628).
- udp: with udp_segment release on error path (bnc#1012628).
- ip6_gre: fix tunnel list corruption for x-netns (bnc#1012628).
- erspan: build the header with the right proto according to
erspan_ver (bnc#1012628).
- net: phy: marvell: Fix deadlock from wrong locking
(bnc#1012628).
- ip6_gre: update version related info when changing link
(bnc#1012628).
- tcp: allow MSG_ZEROCOPY transmission also in CLOSE_WAIT state
(bnc#1012628).
- ARM: fix the cockup in the previous patch (bnc#1012628).
- SUNRPC: Address Kerberos performance/behavior regression
(bnc#1012628).
- mei: me: mark LBG devices as having dma support (bnc#1012628).
- mei: me: add denverton innovation engine device IDs
(bnc#1012628).
- USB: leds: fix regression in usbport led trigger (bnc#1012628).
- USB: EHCI: ehci-mv: add MODULE_DEVICE_TABLE (bnc#1012628).
- USB: serial: ftdi_sio: fix GPIO not working in autosuspend
(bnc#1012628).
- USB: serial: simple: add Motorola Tetra TPG2200 device id
(bnc#1012628).
- USB: serial: pl2303: add new PID to support PL2303TB
(bnc#1012628).
- ceph: clear inode pointer when snap realm gets dropped by its
inode (bnc#1012628).
- ASoC: atom: fix a missing check of snd_pcm_lib_malloc_pages
(bnc#1012628).
- ASoC: rt5514-spi: Fix potential NULL pointer dereference
(bnc#1012628).
- ASoC: tlv320aic32x4: Kernel OOPS while entering DAPM standby
mode (bnc#1012628).
- clk: zynqmp: Fix memory allocation in zynqmp_clk_setup
(bnc#1012628).
- clk: socfpga: stratix10: fix rate calculation for pll clocks
(bnc#1012628).
- clk: socfpga: stratix10: fix naming convention for the
fixed-clocks (bnc#1012628).
- inotify: Fix fd refcount leak in inotify_add_watch()
(bnc#1012628).
- ALSA: hda/realtek - Fix typo for ALC225 model (bnc#1012628).
- ALSA: hda - Add mute LED support for HP ProBook 470 G5
(bnc#1012628).
- ARCv2: lib: memeset: fix doing prefetchw outside of buffer
(bnc#1012628).
- ARC: adjust memblock_reserve of kernel memory (bnc#1012628).
- ARC: perf: map generic branches to correct hardware condition
(bnc#1012628).
- s390/vdso: correct vdso mapping for compat tasks (bnc#1012628).
- s390/mm: always force a load of the primary ASCE on context
switch (bnc#1012628).
- s390/early: improve machine detection (bnc#1012628).
- s390/smp: fix CPU hotplug deadlock with CPU rescan
(bnc#1012628).
- s390/smp: Fix calling smp_call_ipl_cpu() from ipl CPU
(bnc#1012628).
- misc: ibmvsm: Fix potential NULL pointer dereference
(bnc#1012628).
- char/mwave: fix potential Spectre v1 vulnerability
(bnc#1012628).
- mmc: sdhci-iproc: handle mmc_of_parse() errors during probe
(bnc#1012628).
- mmc: dw_mmc-bluefield: : Fix the license information
(bnc#1012628).
- mmc: meson-gx: Free irq in release() callback (bnc#1012628).
- staging: rtl8188eu: Add device code for D-Link DWA-121 rev B1
(bnc#1012628).
- tty: Handle problem if line discipline does not have receive_buf
(bnc#1012628).
- uart: Fix crash in uart_write and uart_put_char (bnc#1012628).
- tty/n_hdlc: fix __might_sleep warning (bnc#1012628).
- hv_balloon: avoid touching uninitialized struct page during
tail onlining (bnc#1012628).
- Drivers: hv: vmbus: Check for ring when getting debug info
(bnc#1012628).
- vgacon: unconfuse vc_origin when using soft scrollback
(bnc#1012628).
- CIFS: Fix possible hang during async MTU reads and writes
(bnc#1012628).
- CIFS: Fix credits calculations for reads with errors
(bnc#1012628).
- CIFS: Fix credit calculation for encrypted reads with errors
(bnc#1012628).
- CIFS: Do not reconnect TCP session in add_credits()
(bnc#1012628).
- smb3: add credits we receive from oplock/break PDUs
(bnc#1012628).
- Input: xpad - add support for SteelSeries Stratus Duo
(bnc#1012628).
- Input: input_event - provide override for sparc64 (bnc#1012628).
- Input: uinput - fix undefined behavior in
uinput_validate_absinfo() (bnc#1012628).
- acpi/nfit: Block function zero DSMs (bnc#1012628).
- acpi/nfit: Fix command-supported detection (bnc#1012628).
- scsi: ufs: Use explicit access size in ufshcd_dump_regs
(bnc#1012628).
- dm thin: fix passdown_double_checking_shared_status()
(bnc#1012628).
- dm crypt: fix parsing of extended IV arguments (bnc#1012628).
- drm/amdgpu: Add APTX quirk for Lenovo laptop (bnc#1012628).
- EDAC, altera: Fix S10 persistent register offset (bnc#1012628).
- KVM: x86: Fix single-step debugging (bnc#1012628).
- KVM: x86: Fix PV IPIs for 32-bit KVM host (bnc#1012628).
- KVM: x86: WARN_ONCE if sending a PV IPI returns a fatal error
(bnc#1012628).
- kvm: x86/vmx: Use kzalloc for cached_vmcs12 (bnc#1012628).
- x86/pkeys: Properly copy pkey state at fork() (bnc#1012628).
- x86/selftests/pkeys: Fork() to check for state being preserved
(bnc#1012628).
- x86/kaslr: Fix incorrect i8254 outb() parameters (bnc#1012628).
- x86/entry/64/compat: Fix stack switching for XEN PV
(bnc#1012628).
- posix-cpu-timers: Unbreak timer rearming (bnc#1012628).
- net: sun: cassini: Cleanup license conflict (bnc#1012628).
- irqchip/gic-v3-its: Align PCI Multi-MSI allocation on their size
(bnc#1012628).
- can: dev: __can_get_echo_skb(): fix bogous check for
non-existing skb by removing it (bnc#1012628).
- can: bcm: check timer values before ktime conversion
(bnc#1012628).
- can: flexcan: fix NULL pointer exception during bringup
(bnc#1012628).
- vt: make vt_console_print() compatible with the unicode screen
buffer (bnc#1012628).
- vt: always call notifier with the console lock held
(bnc#1012628).
- vt: invoke notifier on screen size change (bnc#1012628).
- drm/meson: Fix atomic mode switching regression (bnc#1012628).
- bpf: move {prev_,}insn_idx into verifier env (bnc#1012628).
- bpf: move tmp variable into ax register in interpreter
(bnc#1012628).
- nvmet-rdma: Add unlikely for response allocated check
(bnc#1012628).
- nvmet-rdma: fix null dereference under heavy load (bnc#1012628).
- bpf: enable access to ax register also from verifier rewrite
(bnc#1012628).
- bpf: restrict map value pointer arithmetic for unprivileged
(bnc#1012628).
- bpf: restrict stack pointer arithmetic for unprivileged
(bnc#1012628).
- bpf: restrict unknown scalars of mixed signed bounds for
unprivileged (bnc#1012628).
- bpf: fix check_map_access smin_value test when pointer contains
offset (bnc#1012628).
- bpf: prevent out of bounds speculation on pointer arithmetic
(bnc#1012628).
- bpf: fix sanitation of alu op with pointer / scalar type from
different paths (bnc#1012628).
- bpf: fix inner map masking to prevent oob under speculation
(bnc#1012628).
- Drivers: hv: vmbus: Remove the useless API
vmbus_get_outgoing_channel() (bnc#1012628).
- vmbus: fix subchannel removal (bnc#1012628).
- Revert "mm, memory_hotplug: initialize struct pages for the
full memory section" (bnc#1012628).
- usb: dwc3: gadget: Clear req->needs_extra_trb flag on cleanup
(bnc#1012628).
- mt76x0: do not overwrite other MT_BBP(AGC, 8) fields
(bnc#1012628).
- mt76x0: use band parameter for LC calibration (bnc#1012628).
- mt76x02: run calibration after scanning (bnc#1012628).
- mt76x02: assure we update gain after scan (bnc#1012628).
- mt76x0: do not perform MCU calibration for MT7630 (bnc#1012628).
- mt76x0: antenna select corrections (bnc#1012628).
- mt76x0: phy: unify calibration between mt76x0u and mt76x0e
(bnc#1012628).
- ide: fix a typo in the settings proc file name (bnc#1012628).
- Input: input_event - fix the CONFIG_SPARC64 mixup (bnc#1012628).
- Refresh
patches.suse/0005-x86-enter-Use-IBRS-on-syscall-and-interrupts.patch.
- commit 463cfd2
-------------------------------------------------------------------
Wed Jan 30 15:45:40 CET 2019 - mwilck@suse.com
- rpm/kernel-binary.spec.in: fix initrd permissions (bsc#1123697)
dracut has been using permissions 0600 for the initrd for a long
time. On SLE15 or higher, that leads to a permission mismatch
reported by "rpm -V". Set the permissions correctly for our
ghost file.
- commit 9e5e2a5
-------------------------------------------------------------------
Wed Jan 30 09:14:37 CET 2019 - mwilck@suse.com
- config files: set CONFIG_SCSI_MQ_DEFAULT=y (bsc#1122182)
Kernel 4.20 and newer use blk_mq for device mapper exclusively.
Thus stacking device mapper on SCSI devices fails unless we
use blk_mq for SCSI devices as well.
- commit 0a5bbbc
-------------------------------------------------------------------
Mon Jan 28 14:18:42 CET 2019 - tiwai@suse.de
- doc/README.SUSE: Correct description for building a kernel (bsc#1123348)
The obsoleted make cloneconfig is corrected. Also the order of make
scripts and make prepare are corrected as well.
- commit 17a2073
-------------------------------------------------------------------
Mon Jan 28 11:09:25 CET 2019 - tiwai@suse.de
- rpm/release-projects: Add SUSE:Maintenance:* for MU kernels (bsc#1123317)
- commit c784b79
-------------------------------------------------------------------
Mon Jan 28 07:34:08 CET 2019 - mkubecek@suse.cz
- Update to 5.0-rc4
- commit 8e6abff
-------------------------------------------------------------------
Sat Jan 26 11:04:18 CET 2019 - jslaby@suse.cz
- Linux 4.20.5 (bnc#1012628).
- ipmi:ssif: Fix handling of multi-part return messages
(bnc#1012628).
- ipmi: Prevent use-after-free in deliver_response (bnc#1012628).
- ipmi: msghandler: Fix potential Spectre v1 vulnerabilities
(bnc#1012628).
- ipmi: Don't initialize anything in the core until something
uses it (bnc#1012628).
- ipmi: fix use-after-free of user->release_barrier.rda
(bnc#1012628).
- Bluetooth: Fix unnecessary error message for HCI request
completion (bnc#1012628).
- drm/amd/display: Fix disabled cursor on top screen edge
(bnc#1012628).
- mm/memblock.c: skip kmemleak for kasan_init() (bnc#1012628).
- mm, proc: be more verbose about unstable VMA flags in
/proc/<pid>/smaps (bnc#1012628).
- userfaultfd: clear flag if remap event not enabled
(bnc#1012628).
- mm/swap: use nr_node_ids for avail_lists in swap_info_struct
(bnc#1012628).
- mm/page-writeback.c: don't break integrity writeback on
->writepage() error (bnc#1012628).
- ocfs2: fix panic due to unrecovered local alloc (bnc#1012628).
- iomap: don't search past page end in iomap_is_partially_uptodate
(bnc#1012628).
- scsi: megaraid: fix out-of-bound array accesses (bnc#1012628).
- KVM: PPC: Book3S HV: Apply combination of host and l1 pte rc
for nested guest (bnc#1012628).
- KVM: PPC: Book3S HV: Align gfn to L1 page size when inserting
nest-rmap entry (bnc#1012628).
- scsi: smartpqi: call pqi_free_interrupts() in pqi_shutdown()
(bnc#1012628).
- ath10k: fix peer stats null pointer dereference (bnc#1012628).
- scsi: smartpqi: correct lun reset issues (bnc#1012628).
- scsi: mpt3sas: fix memory ordering on 64bit writes
(bnc#1012628).
- IB/usnic: Fix potential deadlock (bnc#1012628).
- sysfs: Disable lockdep for driver bind/unbind files
(bnc#1012628).
- ALSA: bebob: fix model-id of unit for Apogee Ensemble
(bnc#1012628).
- Bluetooth: btusb: Add support for Intel bluetooth device
8087:0029 (bnc#1012628).
- dm: Check for device sector overflow if CONFIG_LBDAF is not set
(bnc#1012628).
- clocksource/drivers/integrator-ap: Add missing of_node_put()
(bnc#1012628).
- quota: Lock s_umount in exclusive mode for Q_XQUOTA{ON,OFF}
quotactls (bnc#1012628).
- perf tools: Add missing open_memstream() prototype for systems
lacking it (bnc#1012628).
- perf tools: Add missing sigqueue() prototype for systems
lacking it (bnc#1012628).
- perf cs-etm: Correct packets swapping in cs_etm__flush()
(bnc#1012628).
- dm snapshot: Fix excessive memory usage and workqueue stalls
(bnc#1012628).
- tools lib subcmd: Don't add the kernel sources to the include
path (bnc#1012628).
- perf stat: Avoid segfaults caused by negated options
(bnc#1012628).
- dm kcopyd: Fix bug causing workqueue stalls (bnc#1012628).
- dm crypt: use u64 instead of sector_t to store iv_offset
(bnc#1012628).
- x86/topology: Use total_cpus for max logical packages
calculation (bnc#1012628).
- netfilter: ipt_CLUSTERIP: fix deadlock in netns exit routine
(bnc#1012628).
- netfilter: ipt_CLUSTERIP: remove wrong WARN_ON_ONCE in netns
exit routine (bnc#1012628).
- netfilter: ipt_CLUSTERIP: check MAC address when duplicate
config is set (bnc#1012628).
- perf vendor events intel: Fix Load_Miss_Real_Latency on SKL/SKX
(bnc#1012628).
- perf parse-events: Fix unchecked usage of strncpy()
(bnc#1012628).
- perf svghelper: Fix unchecked usage of strncpy() (bnc#1012628).
- perf tests ARM: Disable breakpoint tests 32-bit (bnc#1012628).
- perf intel-pt: Fix error with config term "pt=0" (bnc#1012628).
- tools lib traceevent: Fix compile warnings in
tools/lib/traceevent/event-parse.c (bnc#1012628).
- tty/serial: do not free trasnmit buffer page under port lock
(bnc#1012628).
- btrfs: improve error handling of btrfs_add_link (bnc#1012628).
- btrfs: fix use-after-free due to race between replace start
and cancel (bnc#1012628).
- btrfs: alloc_chunk: fix more DUP stripe size handling
(bnc#1012628).
- btrfs: volumes: Make sure there is no overlap of dev extents
at mount time (bnc#1012628).
- mmc: atmel-mci: do not assume idle after atmci_request_end
(bnc#1012628).
- kconfig: fix memory leak when EOF is encountered in quotation
(bnc#1012628).
- kconfig: fix file name and line number of
warn_ignored_character() (bnc#1012628).
- x86/resctrl: Fix rdt_find_domain() return value and checks
(bnc#1012628).
- usb: dwc2: Fix disable all EP's on disconnect (bnc#1012628).
- bpf: relax verifier restriction on BPF_MOV | BPF_ALU
(bnc#1012628).
- arm64: Fix minor issues with the dcache_by_line_op macro
(bnc#1012628).
- clk: imx6q: reset exclusive gates on init (bnc#1012628).
- arm64: kasan: Increase stack size for KASAN_EXTRA (bnc#1012628).
- selftests: do not macro-expand failed assertion expressions
(bnc#1012628).
- scsi: target/core: Make sure that target_wait_for_sess_cmds()
waits long enough (bnc#1012628).
- scsi: target: use consistent left-aligned ASCII INQUIRY data
(bnc#1012628).
- net: call sk_dst_reset when set SO_DONTROUTE (bnc#1012628).
- staging: erofs: fix use-after-free of on-stack
`z_erofs_vle_unzip_io' (bnc#1012628).
- media: venus: core: Set dma maximum segment size (bnc#1012628).
- coresight: tmc: Fix bad register address for CLAIM
(bnc#1012628).
- ASoC: use dma_ops of parent device for acp_audio_dma
(bnc#1012628).
- media: firewire: Fix app_info parameter type in
avc_ca{,_app}_info (bnc#1012628).
- arm64: relocatable: fix inconsistencies in linker script and
options (bnc#1012628).
- powerpc/pseries/cpuidle: Fix preempt warning (bnc#1012628).
- powerpc/xmon: Fix invocation inside lock region (bnc#1012628).
- media: uvcvideo: Refactor teardown of uvc on USB disconnect
(bnc#1012628).
- pstore/ram: Do not treat empty buffers as valid (bnc#1012628).
- clk: imx: make mux parent strings const (bnc#1012628).
- kbuild: let fixdep directly write to .*.cmd files (bnc#1012628).
- jffs2: Fix use of uninitialized delayed_work, lockdep breakage
(bnc#1012628).
- efi/libstub: Disable some warnings for x86{,_64} (bnc#1012628).
- rxe: IB_WR_REG_MR does not capture MR's iova field
(bnc#1012628).
- drm/amdgpu: Reorder uvd ring init before uvd resume
(bnc#1012628).
- net: ethernet: ave: Set initial wol state to disabled
(bnc#1012628).
- scsi: qedi: Check for session online before getting iSCSI TLV
data (bnc#1012628).
- ASoC: pcm3168a: Don't disable pcm3168a when CONFIG_PM defined
(bnc#1012628).
- arm64: Use a raw spinlock in __install_bp_hardening_cb()
(bnc#1012628).
- selinux: always allow mounting submounts (bnc#1012628).
- fpga: altera-cvp: fix probing for multiple FPGAs on the bus
(bnc#1012628).
- usb: gadget: udc: renesas_usb3: add a safety connection way
for forced_b_device (bnc#1012628).
- samples: bpf: fix: error handling regarding kprobe_events
(bnc#1012628).
- clk: meson: meson8b: fix incorrect divider mapping in
cpu_scale_table (bnc#1012628).
- clk: meson: meson8b: add support for more M/N values in sys_pll
(bnc#1012628).
- drm/atomic-helper: Complete fake_commit->flip_done potentially
earlier (bnc#1012628).
- MIPS: Loongson: Add Loongson-3A R2.1 basic support
(bnc#1012628).
- arm64: perf: set suppress_bind_attrs flag to true (bnc#1012628).
- drm/scheduler: Fix bad job be re-processed in TDR (bnc#1012628).
- crypto: ecc - regularize scalar for scalar multiplication
(bnc#1012628).
- MIPS: SiByte: Enable swiotlb for SWARM, LittleSur and BigSur
(bnc#1012628).
- x86/mce: Fix -Wmissing-prototypes warnings (bnc#1012628).
- ALSA: oxfw: add support for APOGEE duet FireWire (bnc#1012628).
- bpf: Allow narrow loads with offset > 0 (bnc#1012628).
- serial: set suppress_bind_attrs flag only if builtin
(bnc#1012628).
- writeback: don't decrement wb->refcnt if !wb->bdi (bnc#1012628).
- of: overlay: add missing of_node_put() after add new node to
changeset (bnc#1012628).
- selftests/bpf: enable (uncomment) all tests in test_libbpf.sh
(bnc#1012628).
- usb: typec: tcpm: Do not disconnect link for self powered
devices (bnc#1012628).
- e1000e: allow non-monotonic SYSTIM readings (bnc#1012628).
- platform/x86: asus-wmi: Tell the EC the OS will handle the
display off hotkey (bnc#1012628).
- ixgbe: allow IPsec Tx offload in VEPA mode (bnc#1012628).
- qtnfmac: fix error handling in control path (bnc#1012628).
- drm/amdkfd: fix interrupt spin lock (bnc#1012628).
- drm/amd/display: Guard against null stream_state in
set_crc_source (bnc#1012628).
- ASoC: wm97xx: fix uninitialized regmap pointer problem
(bnc#1012628).
- gpio: pl061: Move irq_chip definition inside struct pl061
(bnc#1012628).
- netfilter: ipset: Allow matching on destination MAC address
for mac and ipmac sets (bnc#1012628).
- net: clear skb->tstamp in bridge forwarding path (bnc#1012628).
- openvswitch: Fix IPv6 later frags parsing (bnc#1012628).
- octeontx2-af: Fix a resource leak in an error handling path in
'cgx_probe()' (bnc#1012628).
- netlink: fixup regression in RTM_GETADDR (bnc#1012628).
- ipv6: Fix dump of specific table with strict checking
(bnc#1012628).
- ipv6: Take rcu_read_lock in __inet6_bind for mapped addresses
(bnc#1012628).
- r8169: Add support for new Realtek Ethernet (bnc#1012628).
- qmi_wwan: add MTU default to qmap network interface
(bnc#1012628).
- net, skbuff: do not prefer skb allocation fails early
(bnc#1012628).
- net: dsa: mv88x6xxx: mv88e6390 errata (bnc#1012628).
- mlxsw: spectrum_switchdev: Set PVID correctly during VLAN
deletion (bnc#1012628).
- mlxsw: spectrum: Disable lag port TX before removing it
(bnc#1012628).
- ipv6: Consider sk_bound_dev_if when binding a socket to a v4
mapped address (bnc#1012628).
- commit 34ae151
-------------------------------------------------------------------
Thu Jan 24 13:06:05 CET 2019 - ptesarik@suse.cz
- Add product identifying information to VMCOREINFO (bsc#1123015).
- commit 68ca35e
-------------------------------------------------------------------
Thu Jan 24 11:12:41 CET 2019 - msuchanek@suse.de
- rpm/kernel-*.spec.in: replace update srchash dependencies (FATE#325312).
Due to some limitations version cannot be matched so move the hash into
the provide name.
- commit 219bcec
-------------------------------------------------------------------
Wed Jan 23 21:04:24 CET 2019 - jslaby@suse.cz
- Linux 4.20.4 (bnc#1012628).
- tty/ldsem: Wake up readers after timed out down_write()
(bnc#1012628).
- tty: Hold tty_ldisc_lock() during tty_reopen() (bnc#1012628).
- tty: Simplify tty->count math in tty_reopen() (bnc#1012628).
- tty: Don't hold ldisc lock in tty_reopen() if ldisc present
(bnc#1012628).
- can: gw: ensure DLC boundaries after CAN frame modification
(bnc#1012628).
- netfilter: nf_conncount: replace CONNCOUNT_LOCK_SLOTS with
CONNCOUNT_SLOTS (bnc#1012628).
- netfilter: nf_conncount: don't skip eviction when age is
negative (bnc#1012628).
- netfilter: nf_conncount: split gc in two phases (bnc#1012628).
- netfilter: nf_conncount: restart search when nodes have been
erased (bnc#1012628).
- netfilter: nf_conncount: merge lookup and add functions
(bnc#1012628).
- netfilter: nf_conncount: move all list iterations under spinlock
(bnc#1012628).
- netfilter: nf_conncount: speculative garbage collection on
empty lists (bnc#1012628).
- netfilter: nf_conncount: fix argument order to find_next_bit
(bnc#1012628).
- mmc: sdhci-msm: Disable CDR function on TX (bnc#1012628).
- arm64/kvm: consistently handle host HCR_EL2 flags (bnc#1012628).
- arm64: Don't trap host pointer auth use to EL2 (bnc#1012628).
- ipv6: fix kernel-infoleak in ipv6_local_error() (bnc#1012628).
- net: bridge: fix a bug on using a neighbour cache entry without
checking its state (bnc#1012628).
- packet: Do not leak dev refcounts on error exit (bnc#1012628).
- tcp: change txhash on SYN-data timeout (bnc#1012628).
- tun: publish tfile after it's fully initialized (bnc#1012628).
- net: phy: add missing phy driver features (bnc#1012628).
- net: phy: Add missing features to PHY drivers (bnc#1012628).
- net: phy: meson-gxl: Use the genphy_soft_reset callback
(bnc#1012628).
- lan743x: Remove phy_read from link status change function
(bnc#1012628).
- IN_BADCLASS: fix macro to actually work (bnc#1012628).
- r8169: load Realtek PHY driver module before r8169
(bnc#1012628).
- bonding: update nest level on unlink (bnc#1012628).
- ip: on queued skb use skb_header_pointer instead of
pskb_may_pull (bnc#1012628).
- r8169: don't try to read counters if chip is in a PCI power-save
state (bnc#1012628).
- smc: move unhash as early as possible in smc_release()
(bnc#1012628).
- crypto: sm3 - fix undefined shift by >= width of value
(bnc#1012628).
- crypto: caam - fix zero-length buffer DMA mapping (bnc#1012628).
- crypto: authencesn - Avoid twice completion call in decrypt path
(bnc#1012628).
- crypto: ccree - convert to use crypto_authenc_extractkeys()
(bnc#1012628).
- crypto: bcm - convert to use crypto_authenc_extractkeys()
(bnc#1012628).
- crypto: authenc - fix parsing key with misaligned rta_len
(bnc#1012628).
- crypto: talitos - reorder code in talitos_edesc_alloc()
(bnc#1012628).
- crypto: talitos - fix ablkcipher for CONFIG_VMAP_STACK
(bnc#1012628).
- xen: Fix x86 sched_clock() interface for xen (bnc#1012628).
- Revert "btrfs: balance dirty metadata pages in
btrfs_finish_ordered_io" (bnc#1012628).
- btrfs: wait on ordered extents on abort cleanup (bnc#1012628).
- Yama: Check for pid death before checking ancestry
(bnc#1012628).
- scsi: core: Synchronize request queue PM status only on
successful resume (bnc#1012628).
- scsi: sd: Fix cache_type_store() (bnc#1012628).
- virtio: don't allocate vqs when names[i] = NULL (bnc#1012628).
- virtio-balloon: tweak config_changed implementation
(bnc#1012628).
- mips: fix n32 compat_ipc_parse_version (bnc#1012628).
- MIPS: BCM47XX: Setup struct device for the SoC (bnc#1012628).
- MIPS: lantiq: Fix IPI interrupt handling (bnc#1012628).
- MIPS: OCTEON: fix kexec support (bnc#1012628).
- drm/i915/gvt: Fix mmap range check (bnc#1012628).
- drm/rockchip: add missing of_node_put (bnc#1012628).
- OF: properties: add missing of_node_put (bnc#1012628).
- mfd: tps6586x: Handle interrupts on suspend (bnc#1012628).
- media: v4l: ioctl: Validate num_planes for debug messages
(bnc#1012628).
- media: vim2m: only cancel work if it is for right context
(bnc#1012628).
- RDMA/nldev: Don't expose unsafe global rkey to regular user
(bnc#1012628).
- RDMA/vmw_pvrdma: Return the correct opcode when creating WR
(bnc#1012628).
- kbuild: Disable LD_DEAD_CODE_DATA_ELIMINATION with ftrace &
GCC <= 4.7 (bnc#1012628).
- kbuild: fix single target build for external module
(bnc#1012628).
- kbuild: mark prepare0 as PHONY to fix external module build
(bnc#1012628).
- net: dsa: realtek-smi: fix OF child-node lookup (bnc#1012628).
- pstore/ram: Avoid allocation and leak of platform data
(bnc#1012628).
- SUNRPC: Fix TCP receive code on archs with flush_dcache_page()
(bnc#1012628).
- powerpc/tm: Limit TM code inside PPC_TRANSACTIONAL_MEM
(bnc#1012628).
- arm64: kaslr: ensure randomized quantities are clean to the PoC
(bnc#1012628).
- arm64: dts: marvell: armada-ap806: reserve PSCI area
(bnc#1012628).
- fbdev: offb: Fix OF node name handling (bnc#1012628).
- Disable MSI also when pcie-octeon.pcie_disable on (bnc#1012628).
- fix int_sqrt64() for very large numbers (bnc#1012628).
- omap2fb: Fix stack memory disclosure (bnc#1012628).
- media: vivid: fix error handling of kthread_run (bnc#1012628).
- media: vivid: set min width/height to a value > 0 (bnc#1012628).
- bpf: in __bpf_redirect_no_mac pull mac only if present
(bnc#1012628).
- ipv6: make icmp6_send() robust against null skb->dev
(bnc#1012628).
- LSM: Check for NULL cred-security on free (bnc#1012628).
- media: vb2: vb2_mmap: move lock up (bnc#1012628).
- sunrpc: handle ENOMEM in rpcb_getport_async (bnc#1012628).
- netfilter: ebtables: account ebt_table_info to kmemcg
(bnc#1012628).
- block: use rcu_work instead of call_rcu to avoid sleep in
softirq (bnc#1012628).
- drm/vkms: Fix plane duplicate_state (bnc#1012628).
- gpu/drm: Fix lock held when returning to user space
(bnc#1012628).
- selinux: fix GPF on invalid policy (bnc#1012628).
- blockdev: Fix livelocks on loop device (bnc#1012628).
- sctp: allocate sctp_sockaddr_entry with kzalloc (bnc#1012628).
- tipc: fix uninit-value in in tipc_conn_rcv_sub (bnc#1012628).
- tipc: fix uninit-value in tipc_nl_compat_link_reset_stats
(bnc#1012628).
- tipc: fix uninit-value in tipc_nl_compat_bearer_enable
(bnc#1012628).
- tipc: fix uninit-value in tipc_nl_compat_link_set (bnc#1012628).
- tipc: fix uninit-value in tipc_nl_compat_name_table_dump
(bnc#1012628).
- tipc: fix uninit-value in tipc_nl_compat_doit (bnc#1012628).
- block/loop: Don't grab "struct file" for vfs_getattr() operation
(bnc#1012628).
- block/loop: Use global lock for ioctl() operation (bnc#1012628).
- loop: Fold __loop_release into loop_release (bnc#1012628).
- loop: Get rid of loop_index_mutex (bnc#1012628).
- loop: Push lo_ctl_mutex down into individual ioctls
(bnc#1012628).
- loop: Split setting of lo_state from loop_clr_fd (bnc#1012628).
- loop: Push loop_ctl_mutex down into loop_clr_fd() (bnc#1012628).
- loop: Push loop_ctl_mutex down to loop_get_status()
(bnc#1012628).
- loop: Push loop_ctl_mutex down to loop_set_status()
(bnc#1012628).
- loop: Push loop_ctl_mutex down to loop_set_fd() (bnc#1012628).
- loop: Push loop_ctl_mutex down to loop_change_fd()
(bnc#1012628).
- loop: Move special partition reread handling in loop_clr_fd()
(bnc#1012628).
- loop: Move loop_reread_partitions() out of loop_ctl_mutex
(bnc#1012628).
- loop: Fix deadlock when calling blkdev_reread_part()
(bnc#1012628).
- loop: Avoid circular locking dependency between loop_ctl_mutex
and bd_mutex (bnc#1012628).
- loop: Get rid of 'nested' acquisition of loop_ctl_mutex
(bnc#1012628).
- loop: Fix double mutex_unlock(&loop_ctl_mutex) in
loop_control_ioctl() (bnc#1012628).
- loop: drop caches if offset or block_size are changed
(bnc#1012628).
- drm/fb-helper: Ignore the value of fb_var_screeninfo.pixclock
(bnc#1012628).
- media: vb2: be sure to unlock mutex on errors (bnc#1012628).
- nbd: Use set_blocksize() to set device blocksize (bnc#1012628).
- Refresh
patches.suse/kernel-add-product-identifying-information-to-kernel-build.patch.
- commit b458db8
-------------------------------------------------------------------
Tue Jan 22 12:20:08 CET 2019 - oneukum@suse.com
- media: usb: pwc: Don't use coherent DMA buffers for ISO transfer
(bsc#1054610).
- commit 59d243a
-------------------------------------------------------------------
Mon Jan 21 07:20:38 CET 2019 - mkubecek@suse.cz
- Update to 5.0-rc3
- Config changes:
- ARM64:
- HSA_AMD=y
- commit 05bf5c0
-------------------------------------------------------------------
Fri Jan 18 07:53:27 CET 2019 - jslaby@suse.cz
- Linux 4.20.3 (bnc#1012628).
- Btrfs: use nofs context when initializing security xattrs to
avoid deadlock (bnc#1012628).
- Btrfs: fix deadlock when enabling quotas due to concurrent
snapshot creation (bnc#1012628).
- Btrfs: fix access to available allocation bits when starting
balance (bnc#1012628).
- sunrpc: use-after-free in svc_process_common() (bnc#1012628).
- mm: page_mapped: don't assume compound page is huge or THP
(bnc#1012628).
- ext4: fix special inode number checks in __ext4_iget()
(bnc#1012628).
- ext4: track writeback errors using the generic tracking
infrastructure (bnc#1012628).
- ext4: use ext4_write_inode() when fsyncing w/o a journal
(bnc#1012628).
- ext4: avoid kernel warning when writing the superblock to a
dead device (bnc#1012628).
- ext4: fix a potential fiemap/page fault deadlock w/ inline_data
(bnc#1012628).
- ext4: make sure enough credits are reserved for dioread_nolock
writes (bnc#1012628).
- rbd: don't return 0 on unmap if RBD_DEV_FLAG_REMOVING is set
(bnc#1012628).
- drm/amdgpu: Don't fail resume process if resuming atomic state
fails (bnc#1012628).
- drm/amdgpu: Don't ignore rc from
drm_dp_mst_topology_mgr_resume() (bnc#1012628).
- drm/i915: Unwind failure on pinning the gen7 ppgtt
(bnc#1012628).
- drm/fb-helper: Partially bring back workaround for bugs of
SDL 1.2 (bnc#1012628).
- drm/amd/display: Fix MST dp_blank REG_WAIT timeout
(bnc#1012628).
- PCI: dwc: Move interrupt acking into the proper callback
(bnc#1012628).
- PCI: dwc: Take lock when ACKing an interrupt (bnc#1012628).
- PCI: dwc: Use interrupt masking instead of disabling
(bnc#1012628).
- drm/amdgpu: Add new VegaM pci id (bnc#1012628).
- vfio/type1: Fix unmap overflow off-by-one (bnc#1012628).
- mtd: rawnand: qcom: fix memory corruption that causes panic
(bnc#1012628).
- i2c: dev: prevent adapter retries and timeout being set as
minus value (bnc#1012628).
- ACPI/IORT: Fix rc_dma_get_range() (bnc#1012628).
- ACPI / PMIC: xpower: Fix TS-pin current-source handling
(bnc#1012628).
- ACPI: power: Skip duplicate power resource references in _PRx
(bnc#1012628).
- mm, memcg: fix reclaim deadlock with writeback (bnc#1012628).
- mm/usercopy.c: no check page span for stack objects
(bnc#1012628).
- slab: alien caches must not be initialized if the allocation
of the alien cache failed (bnc#1012628).
- fork, memcg: fix cached_stacks case (bnc#1012628).
- USB: Add USB_QUIRK_DELAY_CTRL_MSG quirk for Corsair K70 RGB
(bnc#1012628).
- USB: storage: add quirk for SMI SM3350 (bnc#1012628).
- USB: storage: don't insert sane sense for SPC3+ when bad sense
specified (bnc#1012628).
- usb: cdc-acm: send ZLP for Telit 3G Intel based modems
(bnc#1012628).
- ARM: davinci: da830-evm: fix label names in GPIO lookup entries
(bnc#1012628).
- ARM: davinci: dm644x-evm: fix label names in GPIO lookup entries
(bnc#1012628).
- ARM: davinci: omapl138-hawk: fix label names in GPIO lookup
entries (bnc#1012628).
- ARM: davinci: da850-evm: fix label names in GPIO lookup entries
(bnc#1012628).
- ARM: davinci: dm355-evm: fix label names in GPIO lookup entries
(bnc#1012628).
- cifs: check kzalloc return (bnc#1012628).
- cifs: Fix potential OOB access of lock element array
(bnc#1012628).
- CIFS: Fix credit computation for compounded requests
(bnc#1012628).
- CIFS: Do not hide EINTR after sending network packets
(bnc#1012628).
- CIFS: Do not set credits to 1 if the server didn't grant
anything (bnc#1012628).
- CIFS: Fix adjustment of credits for MTU requests (bnc#1012628).
- ALSA: hda/realtek - Disable headset Mic VREF for headset mode
of ALC225 (bnc#1012628).
- ALSA: hda/realtek - Add unplug function into unplug state of
Headset Mode for ALC225 (bnc#1012628).
- ALSA: hda/realtek - Support Dell headset mode for New AIO
platform (bnc#1012628).
- x86, modpost: Replace last remnants of RETPOLINE with
CONFIG_RETPOLINE (bnc#1012628).
- cpufreq: scmi: Fix frequency invariance in slow path
(bnc#1012628).
- cpufreq: scpi/scmi: Fix freeing of dynamic OPPs (bnc#1012628).
- staging: rtl8188eu: Fix module loading from tasklet for WEP
encryption (bnc#1012628).
- staging: rtl8188eu: Fix module loading from tasklet for CCMP
encryption (bnc#1012628).
- usbcore: Select only first configuration for non-UAC3 compliant
devices (bnc#1012628).
- Btrfs: fix deadlock when using free space tree due to block
group creation (bnc#1012628).
- powerpc/tm: Unset MSR[TS] if not recheckpointing (bnc#1012628).
- commit 4b478de
-------------------------------------------------------------------
Mon Jan 14 05:32:46 CET 2019 - mkubecek@suse.cz
- Update to 5.0-rc2
- Config changes:
- x86:
- RESCTRL renamed to X86_RESCTRL
- commit 879eb5c
-------------------------------------------------------------------
Sun Jan 13 13:37:25 CET 2019 - jslaby@suse.cz
- Linux 4.20.2 (bnc#1012628).
- drm/rockchip: psr: do not dereference encoder before it is
null checked (bnc#1012628).
- drm/vc4: Set ->is_yuv to false when num_planes == 1
(bnc#1012628).
- drm/nouveau/drm/nouveau: Check rc from
drm_dp_mst_topology_mgr_resume() (bnc#1012628).
- lib: fix build failure in CONFIG_DEBUG_VIRTUAL test
(bnc#1012628).
- of: __of_detach_node() - remove node from phandle cache
(bnc#1012628).
- of: of_node_get()/of_node_put() nodes held in phandle cache
(bnc#1012628).
- power: supply: olpc_battery: correct the temperature units
(bnc#1012628).
- intel_th: msu: Fix an off-by-one in attribute store
(bnc#1012628).
- genwqe: Fix size check (bnc#1012628).
- selftests: Fix test errors related to lib.mk khdr target
(bnc#1012628).
- powerpc/4xx/ocm: Fix compilation error due to PAGE_KERNEL usage
(bnc#1012628).
- drivers/perf: hisi: Fixup one DDRC PMU register offset
(bnc#1012628).
- video: fbdev: pxafb: Fix "WARNING: invalid free of devm_
allocated data" (bnc#1012628).
- ceph: don't update importing cap's mseq when handing cap export
(bnc#1012628).
- sched/fair: Fix infinite loop in update_blocked_averages()
by reverting a9e7f6544b9c (bnc#1012628).
- iommu/vt-d: Handle domain agaw being less than iommu agaw
(bnc#1012628).
- RDMA/iwcm: Don't copy past the end of dev_name() string
(bnc#1012628).
- RDMA/srpt: Fix a use-after-free in the channel release code
(bnc#1012628).
- stm class: Fix a module refcount leak in policy creation error
path (bnc#1012628).
- rxe: fix error completion wr_id and qp_num (bnc#1012628).
- 9p/net: put a lower bound on msize (bnc#1012628).
- iio: dac: ad5686: fix bit shift read register (bnc#1012628).
- iio: adc: qcom-spmi-adc5: Initialize prescale properly
(bnc#1012628).
- powerpc/tm: Set MSR[TS] just prior to recheckpoint
(bnc#1012628).
- Revert "powerpc/tm: Unset MSR[TS] if not recheckpointing"
(bnc#1012628).
- nfsd4: zero-length WRITE should succeed (bnc#1012628).
- xprtrdma: Yet another double DMA-unmap (bnc#1012628).
- lockd: Show pid of lockd for remote locks (bnc#1012628).
- PCI / PM: Allow runtime PM without callback functions
(bnc#1012628).
- selinux: policydb - fix byte order and alignment issues
(bnc#1012628).
- b43: Fix error in cordic routine (bnc#1012628).
- gfs2: Fix loop in gfs2_rbm_find (bnc#1012628).
- gfs2: Get rid of potential double-freeing in gfs2_create_inode
(bnc#1012628).
- dlm: memory leaks on error path in dlm_user_request()
(bnc#1012628).
- dlm: lost put_lkb on error path in receive_convert() and
receive_unlock() (bnc#1012628).
- dlm: possible memory leak on error path in create_lkb()
(bnc#1012628).
- dlm: fixed memory leaks after failed ls_remove_names allocation
(bnc#1012628).
- dm: do not allow readahead to limit IO size (bnc#1012628).
- block: mq-deadline: Fix write completion handling (bnc#1012628).
- block: deactivate blk_stat timer in wbt_disable_default()
(bnc#1012628).
- Fix failure path in alloc_pid() (bnc#1012628).
- driver core: Add missing dev->bus->need_parent_lock checks
(bnc#1012628).
- srcu: Lock srcu_data structure in srcu_gp_start() (bnc#1012628).
- ALSA: usb-audio: Always check descriptor sizes in parser code
(bnc#1012628).
- ALSA: usb-audio: Fix an out-of-bound read in
create_composite_quirks (bnc#1012628).
- ALSA: usb-audio: Check mixer unit descriptors more strictly
(bnc#1012628).
- ALSA: usb-audio: Avoid access before bLength check in
build_audio_procunit() (bnc#1012628).
- ALSA: cs46xx: Potential NULL dereference in probe (bnc#1012628).
- media: cx23885: only reset DMA on problematic CPUs
(bnc#1012628).
- mm, swap: fix swapoff with KSM pages (bnc#1012628).
- mm, hmm: mark hmm_devmem_{add, add_resource} EXPORT_SYMBOL_GPL
(bnc#1012628).
- mm, hmm: replace hmm_devmem_pages_create() with
devm_memremap_pages() (bnc#1012628).
- mm, hmm: use devm semantics for hmm_devmem_{add, remove}
(bnc#1012628).
- mm, devm_memremap_pages: add MEMORY_DEVICE_PRIVATE support
(bnc#1012628).
- sunrpc: use SVC_NET() in svcauth_gss_* functions (bnc#1012628).
- sunrpc: fix cache_head leak due to queued request (bnc#1012628).
- memcg, oom: notify on oom killer invocation from the charge path
(bnc#1012628).
- mm, devm_memremap_pages: fix shutdown handling (bnc#1012628).
- mm, devm_memremap_pages: kill mapping "System RAM" support
(bnc#1012628).
- mm, devm_memremap_pages: mark devm_memremap_pages()
EXPORT_SYMBOL_GPL (bnc#1012628).
- hwpoison, memory_hotplug: allow hwpoisoned pages to be offlined
(bnc#1012628).
- zram: fix double free backing device (bnc#1012628).
- fork: record start_time late (bnc#1012628).
- scsi: lpfc: do not set queue->page_count to 0 if
pc_sli4_params.wqpcnt is invalid (bnc#1012628).
- scsi: zfcp: fix posting too many status read buffers leading
to adapter shutdown (bnc#1012628).
- commit 036c5c2
-------------------------------------------------------------------
Fri Jan 11 19:08:56 CET 2019 - afaerber@suse.de
- config: arm64: Update to 5.0-rc1
- commit 88c2434
-------------------------------------------------------------------
Wed Jan 9 20:05:02 CET 2019 - jslaby@suse.cz
- Linux 4.20.1 (bnc#1012628).
- panic: avoid deadlocks in re-entrant console drivers
(bnc#1012628).
- iwlwifi: add new cards for 9560, 9462, 9461 and killer series
(bnc#1012628).
- media: ov5640: Fix set format regression (bnc#1012628).
- bnx2x: Fix NULL pointer dereference in bnx2x_del_all_vlans()
on some hw (bnc#1012628).
- tap: call skb_probe_transport_header after setting skb->dev
(bnc#1012628).
- ax25: fix a use-after-free in ax25_fillin_cb() (bnc#1012628).
- ibmveth: fix DMA unmap error in ibmveth_xmit_start error path
(bnc#1012628).
- ieee802154: lowpan_header_create check must check daddr
(bnc#1012628).
- ip: validate header length on virtual device xmit (bnc#1012628).
- net/hamradio/6pack: use mod_timer() to rearm timers
(bnc#1012628).
- isdn: fix kernel-infoleak in capi_unlocked_ioctl (bnc#1012628).
- netrom: fix locking in nr_find_socket() (bnc#1012628).
- net/wan: fix a double free in x25_asy_open_tty() (bnc#1012628).
- ptr_ring: wrap back ->producer in __ptr_ring_swap_queue()
(bnc#1012628).
- sock: Make sock->sk_stamp thread-safe (bnc#1012628).
- tipc: fix a double free in tipc_enable_bearer() (bnc#1012628).
- IB/hfi1: Incorrect sizing of sge for PIO will OOPs
(bnc#1012628).
- ALSA: rme9652: Fix potential Spectre v1 vulnerability
(bnc#1012628).
- ALSA: emu10k1: Fix potential Spectre v1 vulnerabilities
(bnc#1012628).
- ALSA: pcm: Fix potential Spectre v1 vulnerability (bnc#1012628).
- ALSA: emux: Fix potential Spectre v1 vulnerabilities
(bnc#1012628).
- powerpc/fsl: Fix spectre_v2 mitigations reporting (bnc#1012628).
- mtd: atmel-quadspi: disallow building on ebsa110 (bnc#1012628).
- mtd: rawnand: marvell: prevent timeouts on a loaded machine
(bnc#1012628).
- mtd: rawnand: Fix JEDEC detection (bnc#1012628).
- mtd: rawnand: omap2: Pass the parent of pdev to
dma_request_chan() (bnc#1012628).
- ALSA: hda: add mute LED support for HP EliteBook 840 G4
(bnc#1012628).
- ALSA: hda/realtek: Enable audio jacks of ASUS UX391UA with
ALC294 (bnc#1012628).
- ALSA: fireface: fix for state to fetch PCM frames (bnc#1012628).
- ALSA: firewire-lib: fix wrong handling payload_length as
payload_quadlet (bnc#1012628).
- ALSA: firewire-lib: fix wrong assignment for
'out_packet_without_header' tracepoint (bnc#1012628).
- ALSA: firewire-lib: use the same print format for
'without_header' tracepoints (bnc#1012628).
- ALSA: hda/realtek: Enable the headset mic auto detection for
ASUS laptops (bnc#1012628).
- ALSA: hda/tegra: clear pending irq handlers (bnc#1012628).
- usb: dwc2: host: use hrtimer for NAK retries (bnc#1012628).
- USB: serial: pl2303: add ids for Hewlett-Packard HP POS pole
displays (bnc#1012628).
- USB: serial: option: add Fibocom NL678 series (bnc#1012628).
- usb: r8a66597: Fix a possible concurrency use-after-free bug
in r8a66597_endpoint_disable() (bnc#1012628).
- usb: dwc2: disable power_down on Amlogic devices (bnc#1012628).
- Revert "usb: dwc3: pci: Use devm functions to get the phy GPIOs"
(bnc#1012628).
- usb: roles: Add a description for the class to Kconfig
(bnc#1012628).
- media: dvb-usb-v2: Fix incorrect use of transfer_flags
URB_FREE_BUFFER (bnc#1012628).
- i915: fix missing user_access_end() in page fault exception case
(bnc#1012628).
- staging: wilc1000: fix missing read_write setting when reading
data (bnc#1012628).
- staging: bcm2835-audio: double free in init error path
(bnc#1012628).
- ASoC: intel: cht_bsw_max98090_ti: Add pmc_plt_clk_0 quirk for
Chromebook Clapper (bnc#1012628).
- ASoC: intel: cht_bsw_max98090_ti: Add pmc_plt_clk_0 quirk for
Chromebook Gnawty (bnc#1012628).
- s390/pci: fix sleeping in atomic during hotplug (bnc#1012628).
- Input: atmel_mxt_ts - don't try to free unallocated kernel
memory (bnc#1012628).
- Input: elan_i2c - add ACPI ID for touchpad in ASUS Aspire
F5-573G (bnc#1012628).
- x86/speculation/l1tf: Drop the swap storage limit restriction
when l1tf=off (bnc#1012628).
- x86/mm: Drop usage of __flush_tlb_all() in
kernel_physical_mapping_init() (bnc#1012628).
- KVM: x86: Use jmp to invoke kvm_spurious_fault() from .fixup
(bnc#1012628).
- arm64: KVM: Make VHE Stage-2 TLB invalidation operations
non-interruptible (bnc#1012628).
- KVM: PPC: Book3S HV: Fix race between kvm_unmap_hva_range and
MMU mode switch (bnc#1012628).
- KVM: nVMX: Free the VMREAD/VMWRITE bitmaps if alloc_kvm_area()
fails (bnc#1012628).
- platform-msi: Free descriptors in platform_msi_domain_free()
(bnc#1012628).
- drm/v3d: Skip debugfs dumping GCA on platforms without GCA
(bnc#1012628).
- DRM: UDL: get rid of useless vblank initialization
(bnc#1012628).
- clocksource/drivers/arc_timer: Utilize generic sched_clock
(bnc#1012628).
- perf machine: Record if a arch has a single user/kernel address
space (bnc#1012628).
- perf thread: Add fallback functions for cases where cpumode
is insufficient (bnc#1012628).
- perf tools: Use fallback for sample_addr_correlates_sym()
cases (bnc#1012628).
- perf script: Use fallbacks for branch stacks (bnc#1012628).
- perf pmu: Suppress potential format-truncation warning
(bnc#1012628).
- perf env: Also consider env->arch == NULL as local operation
(bnc#1012628).
- ocxl: Fix endiannes bug in ocxl_link_update_pe() (bnc#1012628).
- ocxl: Fix endiannes bug in read_afu_name() (bnc#1012628).
- ext4: add ext4_sb_bread() to disambiguate ENOMEM cases
(bnc#1012628).
- ext4: fix possible use after free in ext4_quota_enable
(bnc#1012628).
- ext4: missing unlock/put_page() in
ext4_try_to_write_inline_data() (bnc#1012628).
- ext4: fix EXT4_IOC_GROUP_ADD ioctl (bnc#1012628).
- ext4: include terminating u32 in size of xattr entries when
expanding inodes (bnc#1012628).
- ext4: avoid declaring fs inconsistent due to invalid file
handles (bnc#1012628).
- ext4: force inode writes when nfsd calls commit_metadata()
(bnc#1012628).
- ext4: check for shutdown and r/o file system in
ext4_write_inode() (bnc#1012628).
- spi: bcm2835: Fix race on DMA termination (bnc#1012628).
- spi: bcm2835: Fix book-keeping of DMA termination (bnc#1012628).
- spi: bcm2835: Avoid finishing transfer prematurely in IRQ mode
(bnc#1012628).
- clk: rockchip: fix typo in rk3188 spdif_frac parent
(bnc#1012628).
- clk: sunxi-ng: Use u64 for calculation of NM rate (bnc#1012628).
- crypto: cavium/nitrox - fix a DMA pool free failure
(bnc#1012628).
- crypto: chcr - small packet Tx stalls the queue (bnc#1012628).
- crypto: testmgr - add AES-CFB tests (bnc#1012628).
- crypto: cfb - fix decryption (bnc#1012628).
- cgroup: fix CSS_TASK_ITER_PROCS (bnc#1012628).
- binder: fix use-after-free due to ksys_close() during fdget()
(bnc#1012628).
- cdc-acm: fix abnormal DATA RX issue for Mediatek Preloader
(bnc#1012628).
- btrfs: dev-replace: go back to suspended state if target device
is missing (bnc#1012628).
- btrfs: dev-replace: go back to suspend state if another EXCL_OP
is running (bnc#1012628).
- Btrfs: fix deadlock with memory reclaim during scrub
(bnc#1012628).
- btrfs: skip file_extent generation check for free_space_inode
in run_delalloc_nocow (bnc#1012628).
- Btrfs: fix fsync of files with multiple hard links in new
directories (bnc#1012628).
- btrfs: run delayed items before dropping the snapshot
(bnc#1012628).
- Btrfs: send, fix race with transaction commits that create
snapshots (bnc#1012628).
- brcmfmac: fix roamoff=1 modparam (bnc#1012628).
- brcmfmac: Fix out of bounds memory access during fw load
(bnc#1012628).
- KEYS: fix parsing invalid pkey info string (bnc#1012628).
- powerpc/tm: Unset MSR[TS] if not recheckpointing (bnc#1012628).
- f2fs: read page index before freeing (bnc#1012628).
- f2fs: fix to update new block address correctly for OPU
(bnc#1012628).
- f2fs: fix missing unlock(sbi->gc_mutex) (bnc#1012628).
- f2fs: fix validation of the block count in
sanity_check_raw_super (bnc#1012628).
- f2fs: sanity check of xattr entry size (bnc#1012628).
- serial: uartps: Fix interrupt mask issue to handle the RX
interrupts properly (bnc#1012628).
- media: cec: keep track of outstanding transmits (bnc#1012628).
- media: cec-pin: fix broken tx_ignore_nack_until_eom error
injection (bnc#1012628).
- media: rc: cec devices do not have a lirc chardev (bnc#1012628).
- media: imx274: fix stack corruption in imx274_read_reg
(bnc#1012628).
- media: vivid: free bitmap_cap when updating std/timings/etc
(bnc#1012628).
- media: vim2m: use cancel_delayed_work_sync instead of
flush_schedule_work (bnc#1012628).
- media: vb2: check memory model for VIDIOC_CREATE_BUFS
(bnc#1012628).
- media: v4l2-tpg: array index could become negative
(bnc#1012628).
- media: v4l2-fwnode: Fix setting V4L2_MBUS_DATA_ACTIVE_HIGH/LOW
flag (bnc#1012628).
- tools lib traceevent: Fix processing of dereferenced args in
bprintk events (bnc#1012628).
- MIPS: math-emu: Write-protect delay slot emulation pages
(bnc#1012628).
- MIPS: c-r4k: Add r4k_blast_scache_node for Loongson-3
(bnc#1012628).
- MIPS: Ensure pmd_present() returns false after
pmd_mknotpresent() (bnc#1012628).
- MIPS: Align kernel load address to 64KB (bnc#1012628).
- MIPS: Expand MIPS32 ASIDs to 64 bits (bnc#1012628).
- MIPS: OCTEON: mark RGMII interface disabled on OCTEON III
(bnc#1012628).
- MIPS: Fix a R10000_LLSC_WAR logic in atomic.h (bnc#1012628).
- CIFS: Fix error mapping for SMB2_LOCK command which caused
OFD lock problem (bnc#1012628).
- smb3: fix large reads on encrypted connections (bnc#1012628).
- CIFS: return correct errors when pinning memory failed for
direct I/O (bnc#1012628).
- CIFS: use the correct length when pinning memory for direct
I/O for write (bnc#1012628).
- arm64: KVM: Avoid setting the upper 32 bits of VTCR_EL2 to 1
(bnc#1012628).
- arm/arm64: KVM: vgic: Force VM halt when changing the active
state of GICv3 PPIs/SGIs (bnc#1012628).
- ARM: dts: exynos: Specify I2S assigned clocks in proper node
(bnc#1012628).
- rtc: m41t80: Correct alarm month range with RTC reads
(bnc#1012628).
- KVM: arm/arm64: vgic: Do not cond_resched_lock() with IRQs
disabled (bnc#1012628).
- KVM: arm/arm64: vgic: Cap SPIs to the VM-defined maximum
(bnc#1012628).
- KVM: arm/arm64: vgic-v2: Set active_source to 0 when restoring
state (bnc#1012628).
- KVM: arm/arm64: Fix VMID alloc race by reverting to lock-less
(bnc#1012628).
- KVM: arm/arm64: vgic: Fix off-by-one bug in vgic_get_irq()
(bnc#1012628).
- iommu/arm-smmu-v3: Fix big-endian CMD_SYNC writes (bnc#1012628).
- arm64: compat: Avoid sending SIGILL for unallocated syscall
numbers (bnc#1012628).
- arm64: compat: Don't pull syscall number from regs in
arm_compat_syscall (bnc#1012628).
- parisc: Remap hugepage-aligned pages in set_kernel_text_rw()
(bnc#1012628).
- tpm: tpm_try_transmit() refactor error flow (bnc#1012628).
- tpm: tpm_i2c_nuvoton: use correct command duration for TPM 2.x
(bnc#1012628).
- spi: bcm2835: Unbreak the build of esoteric configs
(bnc#1012628).
- MIPS: Only include mmzone.h when CONFIG_NEED_MULTIPLE_NODES=y
(bnc#1012628).
- commit 5978cc8
-------------------------------------------------------------------
Tue Jan 8 09:38:29 CET 2019 - jslaby@suse.cz
- packet: validate address length if non-zero (nmap EINVAL
failure).
- commit 34f009a
-------------------------------------------------------------------
Mon Jan 7 13:01:30 CET 2019 - mkubecek@suse.cz
- config: restore accidentally lost BPFILTER_UMH (ppc64, ppc64le, s390x)
- commit a56baa9
-------------------------------------------------------------------
Mon Jan 7 11:21:25 CET 2019 - mkubecek@suse.cz
- vfio_pci: Add local source directory as include.
- commit ea6d6e3
-------------------------------------------------------------------
Mon Jan 7 08:19:51 CET 2019 - mkubecek@suse.cz
- Update to 5.0-rc1
- Eliminated 1 patch
- ARM configs need update
- Config changes:
- PM:
- ENERGY_MODEL=y
- Networking:
- CAN_FLEXCAN=m
- USB_NET_AQC111=m
- QTNFMAC_PCIE=m
- VIRT_WIFI=m
- PCI:
- PCI_MESON=n
- SCSI:
- SCSI_UFS_CDNS_PLATFORM=m
- NVME:
- NVME_TCP=m
- NVME_TARGET_TCP=m
- Graphics:
- DRM_PANEL_OLIMEX_LCD_OLINUXINO=n
- DRM_PANEL_SAMSUNG_S6D16D0=n
- DRM_PANEL_TRULY_NT35597_WQXGA=n
- TINYDRM_HX8357D=n
- Sound:
- SND_SOC_AMD_ACP3x=n
- SND_SOC_INTEL_KBL_RT5660_MACH=m
- SND_SOC_XILINX_I2S=n
- SND_SOC_AK4118=n
- Multimedia:
- VIDEO_ASPEED=m
- VIDEO_SECO_CEC=m
- VIDEO_SECO_RC=y
- I3C:
- I3C=m
- CDNS_I3C_MASTER=m
- DW_I3C_MASTER=m
- IIO:
- AD7124=n
- AD7949=n
- TI_DAC7311=n
- VCNL4035=n
- SENSORS_RM3100_I2C=n
- SENSORS_RM3100_SPI=n
- MCP41010=m
- PHY:
- PHY_CADENCE_SIERRA=m
- PHY_FSL_IMX8MQ_USB=m
- misc drivers:
- MTD_PHYSMAP_GPIO_ADDR=y
- SPI_MXIC=n
- MISC_ALCOR_PCI=m
- RC_XBOX_DVD=m
- PINCTRL_OCELOT=n
- GPIO_CADENCE=m
- SENSORS_OCC_P8_I2C=m
- TQMX86_WDT=m
- MMC_ALCOR=m
- MMC_SDHCI_AM654=m
- LEDS_TRIGGER_AUDIO=m
- SERIO_OLPC_APSP=m
- Security:
- INTEGRITY_PLATFORM_KEYRING=y
- IMA_ARCH_POLICY=y
- Crypto:
- CRYPTO_ADIANTUM=m
- CRYPTO_STREEBOG=m
- CRYPTO_STATS=n
- Library:
- RAID6_PQ_BENCHMARK=y (preserve current behaviour)
- Testing:
- TEST_OBJAGG=n
- x86:
- RESCTRL=y
- HUAWEI_WMI=m
- i386:
- PVH=y
- MTD_PHYSMAP_OF=m
- ppc*:
- PVPANIC=m
- FB_LOGO_CENTER=n
- FSI_OCC=m
- DEBUG_VIRTUAL=n
- SENSORS_OCC_P9_SBE=m
- s390x:
- PCCARD=n
- RAPIDIO
- other RapidIO options copy other architectures
- DMADEVICES related options copy other architectures
- */debug:
- CRYPTO_STATS=y
- TTY_PRINTK_LEVEL=6
- commit 6a4ceaa
-------------------------------------------------------------------
Mon Dec 24 01:34:19 CET 2018 - mkubecek@suse.cz
- Update to 4.20 final
- Eliminated 1 patch
- Refresh configs
- commit ba5c149
-------------------------------------------------------------------
Sat Dec 22 09:59:08 CET 2018 - jslaby@suse.cz
- Linux 4.19.12 (bnc#1012628).
- Btrfs: fix missing delayed iputs on unmount (bnc#1012628).
- nvmet-rdma: fix response use after free (bnc#1012628).
- nvme: validate controller state before rescheduling keep alive
(bnc#1012628).
- i2c: uniphier-f: fix violation of tLOW requirement for Fast-mode
(bnc#1012628).
- i2c: uniphier: fix violation of tLOW requirement for Fast-mode
(bnc#1012628).
- i2c: scmi: Fix probe error on devices with an empty SMB0001
ACPI device node (bnc#1012628).
- i2c: axxia: properly handle master timeout (bnc#1012628).
- mlxsw: spectrum_switchdev: Fix VLAN device deletion via ioctl
(bnc#1012628).
- vhost/vsock: fix reset orphans race with close timeout
(bnc#1012628).
- cifs: In Kconfig CONFIG_CIFS_POSIX needs depends on legacy
(insecure cifs) (bnc#1012628).
- drm/ast: Fix connector leak during driver unload (bnc#1012628).
- acpi/nfit: Fix user-initiated ARS to be "ARS-long" rather than
"ARS-short" (bnc#1012628).
- tools/testing/nvdimm: Align test resources to 128M
(bnc#1012628).
- drm/amdgpu/vcn: Update vcn.cur_state during suspend
(bnc#1012628).
- net: mvpp2: fix phylink handling of invalid PHY modes
(bnc#1012628).
- net: mvpp2: fix detection of 10G SFP modules (bnc#1012628).
- thermal: armada: fix legacy validity test sense (bnc#1012628).
- ethernet: fman: fix wrong of_node_put() in probe function
(bnc#1012628).
- ARM: 8816/1: dma-mapping: fix potential uninitialized return
(bnc#1012628).
- ARM: 8815/1: V7M: align v7m_dma_inv_range() with v7 counterpart
(bnc#1012628).
- ARM: 8814/1: mm: improve/fix ARM v7_dma_inv_range() unaligned
address handling (bnc#1012628).
- bpf: check pending signals while verifying programs
(bnc#1012628).
- net/mlx4_en: Fix build break when CONFIG_INET is off
(bnc#1012628).
- mv88e6060: disable hardware level MAC learning (bnc#1012628).
- macvlan: return correct error value (bnc#1012628).
- libata: whitelist all SAMSUNG MZ7KM* solid-state disks
(bnc#1012628).
- Input: omap-keypad - fix keyboard debounce configuration
(bnc#1012628).
- Input: synaptics - enable SMBus for HP 15-ay000 (bnc#1012628).
- clk: mmp: Off by one in mmp_clk_add() (bnc#1012628).
- clk: mvebu: Off by one bugs in cp110_of_clk_get() (bnc#1012628).
- drm/amd/powerplay: issue pre-display settings for display
change event (bnc#1012628).
- drm/msm: Fix error return checking (bnc#1012628).
- drm/msm: dpu: Fix "WARNING: invalid free of devm_ allocated
data" (bnc#1012628).
- drm/msm: dpu: Don't set legacy plane->crtc pointer
(bnc#1012628).
- drm/msm/hdmi: Enable HPD after HDMI IRQ is set up (bnc#1012628).
- ide: pmac: add of_node_put() (bnc#1012628).
- drivers/tty: add missing of_node_put() (bnc#1012628).
- drivers/sbus/char: add of_node_put() (bnc#1012628).
- sbus: char: add of_node_put() (bnc#1012628).
- SUNRPC: Fix a potential race in xprt_connect() (bnc#1012628).
- nfs: don't dirty kernel pages read by direct-io (bnc#1012628).
- liquidio: read sc->iq_no before release sc (bnc#1012628).
- bpf: Fix verifier log string check for bad alignment
(bnc#1012628).
- bonding: fix 802.3ad state sent to partner when unbinding slave
(bnc#1012628).
- net: aquantia: fix rx checksum offload bits (bnc#1012628).
- net: stmmac: Move debugfs init/exit to ->probe()/->remove()
(bnc#1012628).
- ARC: io.h: Implement reads{x}()/writes{x}() (bnc#1012628).
- drm/amdgpu: wait for IB test on first device open (bnc#1012628).
- drm/ttm: fix LRU handling in ttm_buffer_object_transfer
(bnc#1012628).
- drm/msm: Grab a vblank reference when waiting for commit_done
(bnc#1012628).
- drm/msm/dsi: configure VCO rate for 10nm PLL driver
(bnc#1012628).
- drm/msm: fix handling of cmdstream offset (bnc#1012628).
- drm/msm/gpu: Fix a couple memory leaks in debugfs (bnc#1012628).
- drm/msm: Fix task dump in gpu recovery (bnc#1012628).
- x86/earlyprintk/efi: Fix infinite loop on some screen widths
(bnc#1012628).
- drm/amd/display: Fix 6x4K displays light-up on Vega20 (v2)
(bnc#1012628).
- net: ethernet: ave: Replace NET_IP_ALIGN with AVE_FRAME_HEADROOM
(bnc#1012628).
- tools/bpf: add addition type tests to test_btf (bnc#1012628).
- tools/bpf: fix two test_btf unit test cases (bnc#1012628).
- scsi: vmw_pscsi: Rearrange code to avoid multiple calls to
free_irq during unload (bnc#1012628).
- scsi: libiscsi: Fix NULL pointer dereference in
iscsi_eh_session_reset (bnc#1012628).
- i2c: rcar: check bus state before reinitializing (bnc#1012628).
- Input: hyper-v - fix wakeup from suspend-to-idle (bnc#1012628).
- mac80211_hwsim: fix module init error paths for netlink
(bnc#1012628).
- IB/hfi1: Remove race conditions in user_sdma send path
(bnc#1012628).
- locking/qspinlock, x86: Provide liveness guarantee
(bnc#1012628).
- locking/qspinlock: Re-order code (bnc#1012628).
- commit c634493
-------------------------------------------------------------------
Fri Dec 21 20:24:59 CET 2018 - mkubecek@suse.cz
- rtlwifi: Fix leak of skb when processing C2H_BT_INFO
(bsc#1116448).
- commit 9d82d20
-------------------------------------------------------------------
Thu Dec 20 17:42:36 CET 2018 - msuchanek@suse.de
- mm: add mm_pxd_folded checks to pgtable_bytes accounting
functions (s390/mm: fix mis-accounting of pgtable_bytes).
- mm: introduce mm_[p4d|pud|pmd]_folded (s390/mm: fix
mis-accounting of pgtable_bytes).
- mm: make the __PAGETABLE_PxD_FOLDED defines non-empty (s390/mm:
fix mis-accounting of pgtable_bytes).
- commit c005ee0
-------------------------------------------------------------------
Thu Dec 20 10:45:14 CET 2018 - jslaby@suse.cz
- Linux 4.19.11 (bnc#1012628).
- sched/pelt: Fix warning and clean up IRQ PELT config
(bnc#1012628).
- scsi: raid_attrs: fix unused variable warning (bnc#1012628).
- staging: olpc_dcon: add a missing dependency (bnc#1012628).
- slimbus: ngd: mark PM functions as __maybe_unused (bnc#1012628).
- i2c: aspeed: fix build warning (bnc#1012628).
- ARM: dts: qcom-apq8064-arrow-sd-600eval fix graph_endpoint
warning (bnc#1012628).
- drm/msm: fix address space warning (bnc#1012628).
- pinctrl: sunxi: a83t: Fix IRQ offset typo for PH11
(bnc#1012628).
- aio: fix spectre gadget in lookup_ioctx (bnc#1012628).
- scripts/spdxcheck.py: always open files in binary mode
(bnc#1012628).
- fs/iomap.c: get/put the page in iomap_page_create/release()
(bnc#1012628).
- userfaultfd: check VM_MAYWRITE was set after verifying the
uffd is registered (bnc#1012628).
- arm64: dma-mapping: Fix FORCE_CONTIGUOUS buffer clearing
(bnc#1012628).
- block/bio: Do not zero user pages (bnc#1012628).
- ovl: fix decode of dir file handle with multi lower layers
(bnc#1012628).
- ovl: fix missing override creds in link of a metacopy upper
(bnc#1012628).
- MMC: OMAP: fix broken MMC on OMAP15XX/OMAP5910/OMAP310
(bnc#1012628).
- mmc: core: use mrq->sbc when sending CMD23 for RPMB
(bnc#1012628).
- mmc: sdhci-omap: Fix DCRC error handling during tuning
(bnc#1012628).
- mmc: sdhci: fix the timeout check window for clock and reset
(bnc#1012628).
- fuse: continue to send FUSE_RELEASEDIR when FUSE_OPEN returns
ENOSYS (bnc#1012628).
- ARM: mmp/mmp2: fix cpu_is_mmp2() on mmp2-dt (bnc#1012628).
- ARM: dts: bcm2837: Fix polarity of wifi reset GPIOs
(bnc#1012628).
- dm thin: send event about thin-pool state change _after_
making it (bnc#1012628).
- dm cache metadata: verify cache has blocks in
blocks_are_clean_separate_dirty() (bnc#1012628).
- dm: call blk_queue_split() to impose device limits on bios
(bnc#1012628).
- tracing: Fix memory leak in create_filter() (bnc#1012628).
- tracing: Fix memory leak in set_trigger_filter() (bnc#1012628).
- tracing: Fix memory leak of instance function hash filters
(bnc#1012628).
- media: vb2: don't call __vb2_queue_cancel if vb2_start_streaming
failed (bnc#1012628).
- powerpc/msi: Fix NULL pointer access in teardown code
(bnc#1012628).
- powerpc: Look for "stdout-path" when setting up legacy consoles
(bnc#1012628).
- drm/nouveau/kms: Fix memory leak in nv50_mstm_del()
(bnc#1012628).
- drm/nouveau/kms/nv50-: also flush fb writes when rewinding
push buffer (bnc#1012628).
- Revert "drm/rockchip: Allow driver to be shutdown on
reboot/kexec" (bnc#1012628).
- drm/i915/gvt: Fix tiled memory decoding bug on BDW
(bnc#1012628).
- drm/i915/execlists: Apply a full mb before execution for
Braswell (bnc#1012628).
- drm/amdgpu/powerplay: Apply avfs cks-off voltages on VI
(bnc#1012628).
- drm/amdkfd: add new vega10 pci ids (bnc#1012628).
- drm/amdgpu: add some additional vega10 pci ids (bnc#1012628).
- drm/amdgpu: update smu firmware images for VI variants (v2)
(bnc#1012628).
- drm/amdgpu: update SMC firmware image for polaris10 variants
(bnc#1012628).
- dm zoned: Fix target BIO completion handling (bnc#1012628).
- commit 096f733
-------------------------------------------------------------------
Mon Dec 17 10:15:52 CET 2018 - jslaby@suse.cz
- Linux 4.19.10 (bnc#1012628).
- tcp: lack of available data can also cause TSO defer
(bnc#1012628).
- bpf: fix off-by-one error in adjust_subprog_starts
(bnc#1012628).
- IB/hfi1: Fix an out-of-bounds access in get_hw_stats
(bnc#1012628).
- ALSA: hda/realtek - Fix the mute LED regresion on Lenovo X1
Carbon (bnc#1012628).
- ALSA: hda/realtek: Enable audio jacks of ASUS UX433FN/UX333FA
with ALC294 (bnc#1012628).
- ALSA: hda/realtek: Enable audio jacks of ASUS UX533FD with
ALC294 (bnc#1012628).
- ALSA: hda/realtek: ALC294 mic and headset-mode fixups for ASUS
X542UN (bnc#1012628).
- ALSA: hda/realtek - Fixed headphone issue for ALC700
(bnc#1012628).
- ALSA: fireface: fix reference to wrong register for clock
configuration (bnc#1012628).
- dax: Check page->mapping isn't NULL (bnc#1012628).
- flexfiles: enforce per-mirror stateid only for v4 DSes
(bnc#1012628).
- ocfs2: fix potential use after free (bnc#1012628).
- initramfs: clean old path before creating a hardlink
(bnc#1012628).
- kernel/kcov.c: mark funcs in __sanitizer_cov_trace_pc() as
notrace (bnc#1012628).
- proc: fixup map_files test on arm (bnc#1012628).
- debugobjects: avoid recursive calls with kmemleak (bnc#1012628).
- hfsplus: do not free node before using (bnc#1012628).
- hfs: do not free node before using (bnc#1012628).
- mm/page_alloc.c: fix calculation of pgdat->nr_zones
(bnc#1012628).
- ocfs2: fix deadlock caused by ocfs2_defrag_extent()
(bnc#1012628).
- ACPI/IORT: Fix iort_get_platform_device_domain() uninitialized
pointer value (bnc#1012628).
- nvme-rdma: fix double freeing of async event data (bnc#1012628).
- nvme: flush namespace scanning work just before removing
namespaces (bnc#1012628).
- nvme: warn when finding multi-port subsystems without
multipathing enabled (bnc#1012628).
- fscache, cachefiles: remove redundant variable 'cache'
(bnc#1012628).
- cachefiles: Explicitly cast enumerated type in put_object
(bnc#1012628).
- fscache: fix race between enablement and dropping of object
(bnc#1012628).
- afs: Fix validation/callback interaction (bnc#1012628).
- pstore/ram: Correctly calculate usable PRZ bytes (bnc#1012628).
- pvcalls-front: fixes incorrect error handling (bnc#1012628).
- Revert "xen/balloon: Mark unallocated host memory as UNUSABLE"
(bnc#1012628).
- xen: xlate_mmu: add missing header to fix 'W=1' warning
(bnc#1012628).
- drm/ast: fixed reading monitor EDID not stable issue
(bnc#1012628).
- drm/amdgpu: Add delay after enable RLC ucode (bnc#1012628).
- net: hisilicon: remove unexpected free_netdev (bnc#1012628).
- ixgbe: recognize 1000BaseLX SFP modules as 1Gbps (bnc#1012628).
- igb: fix uninitialized variables (bnc#1012628).
- cachefiles: Fix page leak in cachefiles_read_backing_file
while vmscan is active (bnc#1012628).
- fscache: Fix race in fscache_op_complete() due to split
atomic_sub & read (bnc#1012628).
- cachefiles: Fix an assertion failure when trying to update a
failed object (bnc#1012628).
- ALSA: usb-audio: Add vendor and product name for Dell WD19 Dock
(bnc#1012628).
- netfilter: nf_tables: deactivate expressions in rule replecement
routine (bnc#1012628).
- usb: gadget: u_ether: fix unsafe list iteration (bnc#1012628).
- net: thunderx: fix NULL pointer dereference in nic_remove
(bnc#1012628).
- x86/kvm/vmx: fix old-style function declaration (bnc#1012628).
- KVM: x86: fix empty-body warnings (bnc#1012628).
- KVM: VMX: Update shared MSRs to be saved/restored on
MSR_EFER.LMA changes (bnc#1012628).
- netfilter: nf_conncount: remove wrong condition check routine
(bnc#1012628).
- netfilter: nat: fix double register in masquerade modules
(bnc#1012628).
- netfilter: add missing error handling code for register
functions (bnc#1012628).
- IB/mlx5: Fix page fault handling for MW (bnc#1012628).
- netfilter: ipv6: Preserve link scope traffic original oif
(bnc#1012628).
- drm/meson: add support for 1080p25 mode (bnc#1012628).
- thunderbolt: Prevent root port runtime suspend during NVM
upgrade (bnc#1012628).
- USB: omap_udc: fix rejection of out transfers when DMA is used
(bnc#1012628).
- USB: omap_udc: fix USB gadget functionality on Palm Tungsten E
(bnc#1012628).
- USB: omap_udc: fix omap_udc_start() on 15xx machines
(bnc#1012628).
- USB: omap_udc: fix crashes on probe error and module removal
(bnc#1012628).
- USB: omap_udc: use devm_request_irq() (bnc#1012628).
- ipvs: call ip_vs_dst_notifier earlier than ipv6_dev_notf
(bnc#1012628).
- fsi: master-ast-cf: select GENERIC_ALLOCATOR (bnc#1012628).
- bpf: fix check of allowed specifiers in bpf_trace_printk
(bnc#1012628).
- RDMA/hns: Bugfix pbl configuration for rereg mr (bnc#1012628).
- exportfs: do not read dentry after free (bnc#1012628).
- ASoC: omap-dmic: Add pm_qos handling to avoid overruns with
CPU_IDLE (bnc#1012628).
- ASoC: omap-mcpdm: Add pm_qos handling to avoid under/overruns
with CPU_IDLE (bnc#1012628).
- ASoC: omap-mcbsp: Fix latency value calculation for pm_qos
(bnc#1012628).
- tools: bpftool: fix potential NULL pointer dereference in
do_load (bnc#1012628).
- RDMA/rdmavt: Fix rvt_create_ah function signature (bnc#1012628).
- RDMA/bnxt_re: Avoid accessing the device structure after it
is freed (bnc#1012628).
- RDMA/bnxt_re: Fix system hang when registration with L2 driver
fails (bnc#1012628).
- RDMA/core: Add GIDs while changing MAC addr only for registered
ndev (bnc#1012628).
- RDMA/mlx5: Fix fence type for IB_WR_LOCAL_INV WR (bnc#1012628).
- Btrfs: send, fix infinite loop due to directory rename
dependencies (bnc#1012628).
- ARM: dts: at91: sama5d2: use the divided clock for SMC
(bnc#1012628).
- phy: qcom-qusb2: Fix HSTX_TRIM tuning with fused value for
SDM845 (bnc#1012628).
- phy: qcom-qusb2: Use HSTX_TRIM fused value as is (bnc#1012628).
- objtool: Fix segfault in .cold detection with
-ffunction-sections (bnc#1012628).
- objtool: Fix double-free in .cold detection error path
(bnc#1012628).
- ASoC: acpi: fix: continue searching when machine is ignored
(bnc#1012628).
- PCI: imx6: Fix link training status detection in link up check
(bnc#1012628).
- perf tools: Restore proper cwd on return from mnt namespace
(bnc#1012628).
- hwmon: (w83795) temp4_type has writable permission
(bnc#1012628).
- netfilter: xt_hashlimit: fix a possible memory leak in
htable_create() (bnc#1012628).
- aio: fix failure to put the file pointer (bnc#1012628).
- bpf: allocate local storage buffers using GFP_ATOMIC
(bnc#1012628).
- hwmon: (mlxreg-fan) Fix macros for tacho fault reading
(bnc#1012628).
- spi: omap2-mcspi: Add missing suspend and resume calls
(bnc#1012628).
- ASoC: dapm: Recalculate audio map forcely when card instantiated
(bnc#1012628).
- ASoC: omap-abe-twl6040: Fix missing audio card caused by
deferred probing (bnc#1012628).
- hwmon: (ina2xx) Fix current value calculation (bnc#1012628).
- s390/cpum_cf: Reject request for sampling in event
initialization (bnc#1012628).
- ASoC: qcom: Set dai_link id to each dai_link (bnc#1012628).
- ASoC: Intel: Power down links before turning off display audio
power (bnc#1012628).
- ASoC: wm_adsp: Fix dma-unsafe read of scratch registers
(bnc#1012628).
- ASoC: rockchip: add missing slave_config setting for I2S
(bnc#1012628).
- hwmon: (raspberrypi) Fix initial notify (bnc#1012628).
- hwmon (ina2xx) Fix NULL id pointer in probe() (bnc#1012628).
- s390/cio: Fix cleanup when unsupported IDA format is used
(bnc#1012628).
- s390/cio: Fix cleanup of pfn_array alloc failure (bnc#1012628).
- netfilter: nf_tables: fix use-after-free when deleting compat
expressions (bnc#1012628).
- netfilter: xt_RATEEST: remove netns exit routine (bnc#1012628).
- perf tools: Fix crash on synthesizing the unit (bnc#1012628).
- selftests: add script to stress-test nft packet path vs. control
plane (bnc#1012628).
- netfilter: nf_tables: don't skip inactive chains during update
(bnc#1012628).
- netfilter: nf_conncount: fix unexpected permanent node of list
(bnc#1012628).
- netfilter: nf_conncount: fix list_del corruption in conn_free
(bnc#1012628).
- netfilter: nf_conncount: use spin_lock_bh instead of spin_lock
(bnc#1012628).
- sysv: return 'err' instead of 0 in __sysv_write_inode
(bnc#1012628).
- arm64: dts: sdm845-mtp: Reserve reserved gpios (bnc#1012628).
- ASoC: sun8i-codec: fix crash on module removal (bnc#1012628).
- tools: bpftool: prevent infinite loop in get_fdinfo()
(bnc#1012628).
- ARM: OMAP1: ams-delta: Fix possible use of uninitialized field
(bnc#1012628).
- ARM: dts: am3517-som: Fix WL127x Wifi interrupt (bnc#1012628).
- ARM: dts: logicpd-somlv: Fix interrupt on mmc3_dat1
(bnc#1012628).
- ARM: dts: LogicPD Torpedo: Fix mmc3_dat1 interrupt
(bnc#1012628).
- ARM: dts: am3517: Fix pinmuxing for CD on MMC1 (bnc#1012628).
- staging: rtl8723bs: Fix the return value in case of error in
'rtw_wx_read32()' (bnc#1012628).
- ASoC: qdsp6: q6afe-dai: Fix the dai widgets (bnc#1012628).
- ASoC: qdsp6: q6afe: Fix wrong MI2S SD line mask (bnc#1012628).
- ASoC: rsnd: fixup clock start checker (bnc#1012628).
- ARM: OMAP2+: prm44xx: Fix section annotation on
omap44xx_prm_enable_io_wakeup (bnc#1012628).
- net: fix XPS static_key accounting (bnc#1012628).
- net: restore call to netdev_queue_numa_node_write when resetting
XPS (bnc#1012628).
- sctp: update frag_point when stream_interleave is set
(bnc#1012628).
- net: phy: sfp: correct store of detected link modes
(bnc#1012628).
- virtio-net: keep vnet header zeroed after processing XDP
(bnc#1012628).
- tun: forbid iface creation with rtnl ops (bnc#1012628).
- tcp: fix NULL ref in tail loss probe (bnc#1012628).
- tcp: Do not underestimate rwnd_limited (bnc#1012628).
- sctp: kfree_rcu asoc (bnc#1012628).
- rtnetlink: ndo_dflt_fdb_dump() only work for ARPHRD_ETHER
devices (bnc#1012628).
- Revert "net/ibm/emac: wrong bit is used for STA control"
(bnc#1012628).
- net: use skb_list_del_init() to remove from RX sublists
(bnc#1012628).
- net: Prevent invalid access to skb->prev in __qdisc_drop_all
(bnc#1012628).
- net: phy: don't allow __set_phy_supported to add unsupported
modes (bnc#1012628).
- net/mlx4_en: Change min MTU size to ETH_MIN_MTU (bnc#1012628).
- net/mlx4_core: Correctly set PFC param if global pause is
turned off (bnc#1012628).
- net: 8139cp: fix a BUG triggered by changing mtu with network
traffic (bnc#1012628).
- ipv6: sr: properly initialize flowi6 prior passing to
ip6_route_output (bnc#1012628).
- neighbour: Avoid writing before skb->head in neigh_hh_output()
(bnc#1012628).
- ipv6: Check available headroom in ip6_xmit() even without
options (bnc#1012628).
- ipv4: ipv6: netfilter: Adjust the frag mem limit when truesize
changes (bnc#1012628).
- commit 9284d89
-------------------------------------------------------------------
Mon Dec 17 06:49:50 CET 2018 - mkubecek@suse.cz
- Update to 4.20-rc7
- Config changes:
- ARM:
- MEDIA_CONTROLLER_REQUEST_API=y
- commit 4731528
-------------------------------------------------------------------
Thu Dec 13 16:51:22 CET 2018 - jslaby@suse.cz
- Linux 4.19.9 (bnc#1012628).
- media: vicodec: lower minimum height to 360 (bnc#1012628).
- media: cec: check for non-OK/NACK conditions while claiming a LA
(bnc#1012628).
- media: omap3isp: Unregister media device as first (bnc#1012628).
- media: ipu3-cio2: Unregister device nodes first, then release
resources (bnc#1012628).
- iommu/vt-d: Fix NULL pointer dereference in prq_event_thread()
(bnc#1012628).
- brcmutil: really fix decoding channel info for 160 MHz bandwidth
(bnc#1012628).
- mt76: fix building without CONFIG_LEDS_CLASS (bnc#1012628).
- iommu/ipmmu-vmsa: Fix crash on early domain free (bnc#1012628).
- scsi: ufs: Fix hynix ufs bug with quirk on hi36xx SoC
(bnc#1012628).
- can: ucan: remove set but not used variable 'udev'
(bnc#1012628).
- can: rcar_can: Fix erroneous registration (bnc#1012628).
- test_firmware: fix error return getting clobbered (bnc#1012628).
- HID: input: Ignore battery reported by Symbol DS4308
(bnc#1012628).
- batman-adv: Use explicit tvlv padding for ELP packets
(bnc#1012628).
- batman-adv: Expand merged fragment buffer for full packet
(bnc#1012628).
- amd/iommu: Fix Guest Virtual APIC Log Tail Address Register
(bnc#1012628).
- bnx2x: Assign unique DMAE channel number for FW DMAE
transactions (bnc#1012628).
- qed: Fix PTT leak in qed_drain() (bnc#1012628).
- qed: Fix overriding offload_tc by protocols without APP TLV
(bnc#1012628).
- qed: Fix rdma_info structure allocation (bnc#1012628).
- qed: Fix reading wrong value in loop condition (bnc#1012628).
- usb: dwc2: pci: Fix an error code in probe (bnc#1012628).
- Revert "usb: gadget: ffs: Fix BUG when userland exits with
submitted AIO transfers" (bnc#1012628).
- s390/ism: clear dmbe_mask bit before SMC IRQ handling
(bnc#1012628).
- nvme-fc: resolve io failures during connect (bnc#1012628).
- bnxt_en: Fix filling time in bnxt_fill_coredump_record()
(bnc#1012628).
- drm/amdgpu: Add amdgpu "max bpc" connector property (v2)
(bnc#1012628).
- drm/amd/display: Support amdgpu "max bpc" connector property
(v2) (bnc#1012628).
- net/mlx4_core: Zero out lkey field in SW2HW_MPT fw command
(bnc#1012628).
- net/mlx4_core: Fix uninitialized variable compilation warning
(bnc#1012628).
- net/mlx4: Fix UBSAN warning of signed integer overflow
(bnc#1012628).
- drivers/net/ethernet/qlogic/qed/qed_rdma.h: fix typo
(bnc#1012628).
- gpio: pxa: fix legacy non pinctrl aware builds again
(bnc#1012628).
- gpio: mockup: fix indicated direction (bnc#1012628).
- tc-testing: tdc.py: ignore errors when decoding stdout/stderr
(bnc#1012628).
- tc-testing: tdc.py: Guard against lack of returncode in executed
command (bnc#1012628).
- mtd: rawnand: qcom: Namespace prefix some commands
(bnc#1012628).
- cpufreq: ti-cpufreq: Only register platform_device when
supported (bnc#1012628).
- Revert "HID: uhid: use strlcpy() instead of strncpy()"
(bnc#1012628).
- HID: multitouch: Add pointstick support for Cirque Touchpad
(bnc#1012628).
- mtd: spi-nor: Fix Cadence QSPI page fault kernel panic
(bnc#1012628).
- net: ena: fix crash during failed resume from hibernation
(bnc#1012628).
- NFSv4: Fix a NFSv4 state manager deadlock (bnc#1012628).
- qed: Fix bitmap_weight() check (bnc#1012628).
- qed: Fix QM getters to always return a valid pq (bnc#1012628).
- net/ibmnvic: Fix deadlock problem in reset (bnc#1012628).
- riscv: fix warning in arch/riscv/include/asm/module.h
(bnc#1012628).
- net: faraday: ftmac100: remove netif_running(netdev) check
before disabling interrupts (bnc#1012628).
- iommu/vt-d: Use memunmap to free memremap (bnc#1012628).
- NFSv4.2 copy do not allocate memory under the lock
(bnc#1012628).
- flexfiles: use per-mirror specified stateid for IO
(bnc#1012628).
- ibmvnic: Fix RX queue buffer cleanup (bnc#1012628).
- ibmvnic: Update driver queues after change in ring size support
(bnc#1012628).
- team: no need to do team_notify_peers or team_mcast_rejoin
when disabling port (bnc#1012628).
- net: amd: add missing of_node_put() (bnc#1012628).
- usb: quirk: add no-LPM quirk on SanDisk Ultra Flair device
(bnc#1012628).
- usb: appledisplay: Add 27" Apple Cinema Display (bnc#1012628).
- USB: check usb_get_extra_descriptor for proper size
(bnc#1012628).
- USB: serial: console: fix reported terminal settings
(bnc#1012628).
- ALSA: usb-audio: Add SMSL D1 to quirks for native DSD support
(bnc#1012628).
- ALSA: hda: Add support for AMD Stoney Ridge (bnc#1012628).
- ALSA: pcm: Fix starvation on down_write_nonblock()
(bnc#1012628).
- ALSA: pcm: Call snd_pcm_unlink() conditionally at closing
(bnc#1012628).
- ALSA: pcm: Fix interval evaluation with openmin/max
(bnc#1012628).
- ALSA: hda/realtek - Fix speaker output regression on Thinkpad
T570 (bnc#1012628).
- ALSA: hda/realtek: ALC286 mic and headset-mode fixups for Acer
Aspire U27-880 (bnc#1012628).
- ALSA: hda/realtek - Add support for Acer Aspire C24-860 headset
mic (bnc#1012628).
- ALSA: hda/realtek: Fix mic issue on Acer AIO Veriton Z4660G
(bnc#1012628).
- ALSA: hda/realtek: Fix mic issue on Acer AIO Veriton
Z4860G/Z6860G (bnc#1012628).
- media: gspca: fix frame overflow error (bnc#1012628).
- media: vicodec: fix memchr() kernel oops (bnc#1012628).
- Revert "mfd: cros_ec: Use devm_kzalloc for private data"
(bnc#1012628).
- parisc: Enable -ffunction-sections for modules on 32-bit kernel
(bnc#1012628).
- virtio/s390: avoid race on vcdev->config (bnc#1012628).
- virtio/s390: fix race in ccw_io_helper() (bnc#1012628).
- vhost/vsock: fix use-after-free in network stack callers
(bnc#1012628).
- arm64: hibernate: Avoid sending cross-calling with interrupts
disabled (bnc#1012628).
- SUNRPC: Fix leak of krb5p encode pages (bnc#1012628).
- dmaengine: dw: Fix FIFO size for Intel Merrifield (bnc#1012628).
- Revert "dmaengine: imx-sdma: Use GFP_NOWAIT for dma allocations"
(bnc#1012628).
- Revert "dmaengine: imx-sdma: alloclate bd memory from dma pool"
(bnc#1012628).
- dmaengine: imx-sdma: implement channel termination via worker
(bnc#1012628).
- dmaengine: imx-sdma: use GFP_NOWAIT for dma descriptor
allocations (bnc#1012628).
- dmaengine: cppi41: delete channel from pending list when stop
channel (bnc#1012628).
- ARM: 8806/1: kprobes: Fix false positive with FORTIFY_SOURCE
(bnc#1012628).
- xhci: workaround CSS timeout on AMD SNPS 3.0 xHC (bnc#1012628).
- xhci: Prevent U1/U2 link pm states if exit latency is too long
(bnc#1012628).
- arm64: dts: rockchip: remove vdd_log from rock960 to fix a
stability issues (bnc#1012628).
- Revert "x86/e820: put !E820_TYPE_RAM regions into
memblock.reserved" (bnc#1012628).
- cifs: Fix separator when building path from dentry
(bnc#1012628).
- staging: rtl8712: Fix possible buffer overrun (bnc#1012628).
- Revert commit ef9209b642f "staging: rtl8723bs: Fix indenting
errors and an off-by-one mistake in core/rtw_mlme_ext.c"
(bnc#1012628).
- crypto: do not free algorithm before using (bnc#1012628).
- drm/amdgpu: update mc firmware image for polaris12 variants
(bnc#1012628).
- drm/lease: Send a distinct uevent (bnc#1012628).
- drm/msm: Move fence put to where failure occurs (bnc#1012628).
- drm/amdgpu/gmc8: update MC firmware for polaris (bnc#1012628).
- drm/amdgpu/gmc8: always load MC firmware in the driver
(bnc#1012628).
- drm/i915: Downgrade Gen9 Plane WM latency error (bnc#1012628).
- kprobes/x86: Fix instruction patching corruption when copying
more than one RIP-relative instruction (bnc#1012628).
- x86/efi: Allocate e820 buffer before calling
efi_exit_boot_service (bnc#1012628).
- Drivers: hv: vmbus: Offload the handling of channels to two
workqueues (bnc#1012628).
- tty: serial: 8250_mtk: always resume the device in probe
(bnc#1012628).
- tty: do not set TTY_IO_ERROR flag if console port (bnc#1012628).
- gnss: sirf: fix activation retry handling (bnc#1012628).
- kgdboc: fix KASAN global-out-of-bounds bug in
param_set_kgdboc_var() (bnc#1012628).
- libnvdimm, pfn: Pad pfn namespaces relative to other regions
(bnc#1012628).
- cfg80211: Fix busy loop regression in ieee80211_ie_split_ric()
(bnc#1012628).
- mac80211_hwsim: Timer should be initialized before device
registered (bnc#1012628).
- mac80211: fix GFP_KERNEL under tasklet context (bnc#1012628).
- mac80211: Clear beacon_int in ieee80211_do_stop (bnc#1012628).
- mac80211: ignore tx status for PS stations in
ieee80211_tx_status_ext (bnc#1012628).
- mac80211: fix reordering of buffered broadcast packets
(bnc#1012628).
- mac80211: ignore NullFunc frames in the duplicate detection
(bnc#1012628).
- HID: quirks: fix RetroUSB.com devices (bnc#1012628).
- Update config files.
- commit 2f28da6
-------------------------------------------------------------------
Mon Dec 10 19:38:45 CET 2018 - afaerber@suse.de
- config: arm: Enable EFI support (boo#1104833)
- commit 7050650
-------------------------------------------------------------------
Mon Dec 10 17:25:25 CET 2018 - afaerber@suse.de
- config: armv7hl: Update to 4.20-rc5
- commit f01387b
-------------------------------------------------------------------
Mon Dec 10 08:41:00 CET 2018 - jslaby@suse.cz
- Delete
patches.suse/blk-mq-fix-corruption-with-direct-issue.patch.
It is in 4.20-rc6 as ffe81d45322c but was partially reverted by
c616cbee97ae, so this patch still applies cleanly, but is unwanted.
Drop it.
- commit 7670339
-------------------------------------------------------------------
Mon Dec 10 08:23:20 CET 2018 - jslaby@suse.cz
- Refresh
patches.rpmify/x86-build-Fix-compiler-support-check-for-CONFIG_RETP.patch.
Update upstream status.
- commit ceaabb8
-------------------------------------------------------------------
Mon Dec 10 07:05:25 CET 2018 - mkubecek@suse.cz
- Update to 4.20-rc6
- Eliminated 1 patch
- Refresh configs
- commit 93f10c3
-------------------------------------------------------------------
Sun Dec 9 20:54:03 CET 2018 - jslaby@suse.cz
- Linux 4.19.8 (bnc#1012628).
- blk-mq: punt failed direct issue to dispatch list (bnc#1012628).
- tipc: use destination length for copy string (bnc#1012628).
- arc: [devboards] Add support of NFSv3 ACL (bnc#1012628).
- ARC: change defconfig defaults to ARCv2 (bnc#1012628).
- btrfs: tree-checker: Don't check max block group size as
current max chunk size limit is unreliable (bnc#1012628).
- Input: elan_i2c - add support for ELAN0621 touchpad
(bnc#1012628).
- Input: elan_i2c - add ACPI ID for Lenovo IdeaPad 330-15ARR
(bnc#1012628).
- Input: elan_i2c - add ELAN0620 to the ACPI table (bnc#1012628).
- Input: cros_ec_keyb - fix button/switch capability reports
(bnc#1012628).
- Input: matrix_keypad - check for errors from of_get_named_gpio()
(bnc#1012628).
- Input: synaptics - add PNP ID for ThinkPad P50 to SMBus
(bnc#1012628).
- Input: xpad - quirk all PDP Xbox One gamepads (bnc#1012628).
- scsi: lpfc: fix block guard enablement on SLI3 adapters
(bnc#1012628).
- i40e: Fix deletion of MAC filters (bnc#1012628).
- selinux: add support for RTM_NEWCHAIN, RTM_DELCHAIN, and
RTM_GETCHAIN (bnc#1012628).
- svm: Add mutex_lock to protect apic_access_page_done on AMD
systems (bnc#1012628).
- kgdboc: Fix warning with module build (bnc#1012628).
- kgdboc: Fix restrict error (bnc#1012628).
- userfaultfd: shmem: UFFDIO_COPY: set the page dirty if VM_WRITE
is not set (bnc#1012628).
- userfaultfd: shmem: add i_size checks (bnc#1012628).
- userfaultfd: shmem: allocate anonymous memory for MAP_PRIVATE
shmem (bnc#1012628).
- userfaultfd: use ENOENT instead of EFAULT if the atomic copy
user fails (bnc#1012628).
- drm/meson: Fix OOB memory accesses in meson_viu_set_osd_lut()
(bnc#1012628).
- drm/meson: Enable fast_io in meson_dw_hdmi_regmap_config
(bnc#1012628).
- drm/meson: Fixes for drm_crtc_vblank_on/off support
(bnc#1012628).
- drm: set is_master to 0 upon drm_new_set_master() failure
(bnc#1012628).
- drm/amd/dm: Don't forget to attach MST encoders (bnc#1012628).
- drm/ast: Fix incorrect free on ioregs (bnc#1012628).
- tracing/fgraph: Fix set_graph_function from showing interrupts
(bnc#1012628).
- IB/mlx5: Avoid load failure due to unknown link width
(bnc#1012628).
- mips: fix mips_get_syscall_arg o32 check (bnc#1012628).
- MIPS: ralink: Fix mt7620 nd_sd pinmux (bnc#1012628).
- tracepoint: Use __idx instead of idx in DO_TRACE macro to make
it unique (bnc#1012628).
- sched, trace: Fix prev_state output in sched_switch tracepoint
(bnc#1012628).
- arm64: ftrace: Fix to enable syscall events on arm64
(bnc#1012628).
- mtd: nand: Fix memory allocation in nanddev_bbt_init()
(bnc#1012628).
- uprobes: Fix handle_swbp() vs. unregister() + register()
race once more (bnc#1012628).
- iser: set sector for ambiguous mr status errors (bnc#1012628).
- unifdef: use memcpy instead of strncpy (bnc#1012628).
- test_hexdump: use memcpy instead of strncpy (bnc#1012628).
- blk-mq: fix corruption with direct issue (bnc#1012628).
- Delete
patches.suse/blk-mq-fix-corruption-with-direct-issue.patch.
- commit 9cae63f
-------------------------------------------------------------------
Sat Dec 8 00:44:22 CET 2018 - msuchanek@suse.de
- Include modules.fips in kernel-binary as well as kernel-binary-base
(FATE#323247).
- commit e42315d
-------------------------------------------------------------------
Fri Dec 7 11:43:38 CET 2018 - jslaby@suse.cz
- x86/build: Fix compiler support check for CONFIG_RETPOLINE
(KMP build).
- commit fb5fd39
-------------------------------------------------------------------
Wed Dec 5 22:36:16 CET 2018 - mkubecek@suse.cz
- blk-mq: fix corruption with direct issue (bko#201685).
- commit 8970eff
-------------------------------------------------------------------
Wed Dec 5 20:39:04 CET 2018 - jslaby@suse.cz
- Linux 4.19.7 (bnc#1012628).
- mm/huge_memory: rename freeze_page() to unmap_page()
(bnc#1012628).
- mm/huge_memory: splitting set mapping+index before unfreeze
(bnc#1012628).
- mm/huge_memory: fix lockdep complaint on 32-bit i_size_read()
(bnc#1012628).
- mm/khugepaged: collapse_shmem() stop if punched or truncated
(bnc#1012628).
- mm/khugepaged: fix crashes due to misaccounted holes
(bnc#1012628).
- mm/khugepaged: collapse_shmem() remember to clear holes
(bnc#1012628).
- mm/khugepaged: minor reorderings in collapse_shmem()
(bnc#1012628).
- mm/khugepaged: collapse_shmem() without freezing new_page
(bnc#1012628).
- mm/khugepaged: collapse_shmem() do not crash on Compound
(bnc#1012628).
- lan743x: Enable driver to work with LAN7431 (bnc#1012628).
- lan743x: fix return value for lan743x_tx_napi_poll
(bnc#1012628).
- net: don't keep lonely packets forever in the gro hash
(bnc#1012628).
- net: gemini: Fix copy/paste error (bnc#1012628).
- net: thunderx: set tso_hdrs pointer to NULL in
nicvf_free_snd_queue (bnc#1012628).
- packet: copy user buffers before orphan or clone (bnc#1012628).
- rapidio/rionet: do not free skb before reading its length
(bnc#1012628).
- s390/qeth: fix length check in SNMP processing (bnc#1012628).
- usbnet: ipheth: fix potential recvmsg bug and recvmsg bug 2
(bnc#1012628).
- net: thunderx: set xdp_prog to NULL if bpf_prog_add fails
(bnc#1012628).
- net: skb_scrub_packet(): Scrub offload_fwd_mark (bnc#1012628).
- virtio-net: disable guest csum during XDP set (bnc#1012628).
- virtio-net: fail XDP set if guest csum is negotiated
(bnc#1012628).
- net/dim: Update DIM start sample after each DIM iteration
(bnc#1012628).
- tcp: defer SACK compression after DupThresh (bnc#1012628).
- net: phy: add workaround for issue where PHY driver doesn't
bind to the device (bnc#1012628).
- tipc: fix lockdep warning during node delete (bnc#1012628).
- x86/speculation: Enable cross-hyperthread spectre v2 STIBP
mitigation (bnc#1012628).
- x86/speculation: Apply IBPB more strictly to avoid cross-process
data leak (bnc#1012628).
- x86/speculation: Propagate information about RSB filling
mitigation to sysfs (bnc#1012628).
- x86/speculation: Add RETPOLINE_AMD support to the inline asm
CALL_NOSPEC variant (bnc#1012628).
- x86/retpoline: Make CONFIG_RETPOLINE depend on compiler support
(bnc#1012628).
- x86/retpoline: Remove minimal retpoline support (bnc#1012628).
- x86/speculation: Update the TIF_SSBD comment (bnc#1012628).
- x86/speculation: Clean up spectre_v2_parse_cmdline()
(bnc#1012628).
- x86/speculation: Remove unnecessary ret variable in
cpu_show_common() (bnc#1012628).
- x86/speculation: Move STIPB/IBPB string conditionals out of
cpu_show_common() (bnc#1012628).
- x86/speculation: Disable STIBP when enhanced IBRS is in use
(bnc#1012628).
- x86/speculation: Rename SSBD update functions (bnc#1012628).
- x86/speculation: Reorganize speculation control MSRs update
(bnc#1012628).
- sched/smt: Make sched_smt_present track topology (bnc#1012628).
- x86/Kconfig: Select SCHED_SMT if SMP enabled (bnc#1012628).
- sched/smt: Expose sched_smt_present static key (bnc#1012628).
- x86/speculation: Rework SMT state change (bnc#1012628).
- x86/l1tf: Show actual SMT state (bnc#1012628).
- x86/speculation: Reorder the spec_v2 code (bnc#1012628).
- x86/speculation: Mark string arrays const correctly
(bnc#1012628).
- x86/speculataion: Mark command line parser data __initdata
(bnc#1012628).
- x86/speculation: Unify conditional spectre v2 print functions
(bnc#1012628).
- x86/speculation: Add command line control for indirect branch
speculation (bnc#1012628).
- x86/speculation: Prepare for per task indirect branch
speculation control (bnc#1012628).
- x86/process: Consolidate and simplify switch_to_xtra() code
(bnc#1012628).
- x86/speculation: Avoid __switch_to_xtra() calls (bnc#1012628).
- x86/speculation: Prepare for conditional IBPB in switch_mm()
(bnc#1012628).
- ptrace: Remove unused ptrace_may_access_sched() and MODE_IBRS
(bnc#1012628).
- x86/speculation: Split out TIF update (bnc#1012628).
- x86/speculation: Prevent stale SPEC_CTRL msr content
(bnc#1012628).
- x86/speculation: Prepare arch_smt_update() for PRCTL mode
(bnc#1012628).
- x86/speculation: Add prctl() control for indirect branch
speculation (bnc#1012628).
- x86/speculation: Enable prctl mode for spectre_v2_user
(bnc#1012628).
- x86/speculation: Add seccomp Spectre v2 user space protection
mode (bnc#1012628).
- x86/speculation: Provide IBPB always command line options
(bnc#1012628).
- userfaultfd: shmem/hugetlbfs: only allow to register VM_MAYWRITE
vmas (bnc#1012628).
- kvm: mmu: Fix race in emulated page table writes (bnc#1012628).
- kvm: svm: Ensure an IBPB on all affected CPUs when freeing a
vmcb (bnc#1012628).
- KVM: nVMX/nSVM: Fix bug which sets vcpu->arch.tsc_offset to
L1 tsc_offset (bnc#1012628).
- KVM: x86: Fix kernel info-leak in KVM_HC_CLOCK_PAIRING hypercall
(bnc#1012628).
- KVM: LAPIC: Fix pv ipis use-before-initialization (bnc#1012628).
- KVM: X86: Fix scan ioapic use-before-initialization
(bnc#1012628).
- KVM: VMX: re-add ple_gap module parameter (bnc#1012628).
- xtensa: enable coprocessors that are being flushed
(bnc#1012628).
- xtensa: fix coprocessor context offset definitions
(bnc#1012628).
- xtensa: fix coprocessor part of ptrace_{get,set}xregs
(bnc#1012628).
- udf: Allow mounting volumes with incorrect identification
strings (bnc#1012628).
- btrfs: Always try all copies when reading extent buffers
(bnc#1012628).
- Btrfs: ensure path name is null terminated at
btrfs_control_ioctl (bnc#1012628).
- Btrfs: fix rare chances for data loss when doing a fast fsync
(bnc#1012628).
- Btrfs: fix race between enabling quotas and subvolume creation
(bnc#1012628).
- btrfs: relocation: set trans to be NULL after ending transaction
(bnc#1012628).
- PCI: layerscape: Fix wrong invocation of outbound window
disable accessor (bnc#1012628).
- PCI: dwc: Fix MSI-X EP framework address calculation bug
(bnc#1012628).
- PCI: Fix incorrect value returned from pcie_get_speed_cap()
(bnc#1012628).
- arm64: dts: rockchip: Fix PCIe reset polarity for
rk3399-puma-haikou (bnc#1012628).
- x86/MCE/AMD: Fix the thresholding machinery initialization order
(bnc#1012628).
- x86/fpu: Disable bottom halves while loading FPU registers
(bnc#1012628).
- perf/x86/intel: Move branch tracing setup to the Intel-specific
source file (bnc#1012628).
- perf/x86/intel: Add generic branch tracing check to
intel_pmu_has_bts() (bnc#1012628).
- perf/x86/intel: Disallow precise_ip on BTS events (bnc#1012628).
- fs: fix lost error code in dio_complete (bnc#1012628).
- ALSA: wss: Fix invalid snd_free_pages() at error path
(bnc#1012628).
- ALSA: ac97: Fix incorrect bit shift at AC97-SPSA control write
(bnc#1012628).
- ALSA: control: Fix race between adding and removing a user
element (bnc#1012628).
- ALSA: sparc: Fix invalid snd_free_pages() at error path
(bnc#1012628).
- ALSA: hda: Add ASRock N68C-S UCC the power_save blacklist
(bnc#1012628).
- ALSA: hda/realtek - Support ALC300 (bnc#1012628).
- ALSA: hda/realtek - fix headset mic detection for MSI MS-B171
(bnc#1012628).
- ALSA: hda/realtek - fix the pop noise on headphone for lenovo
laptops (bnc#1012628).
- ALSA: hda/realtek - Add auto-mute quirk for HP Spectre x360
laptop (bnc#1012628).
- function_graph: Create function_graph_enter() to consolidate
architecture code (bnc#1012628).
- ARM: function_graph: Simplify with function_graph_enter()
(bnc#1012628).
- microblaze: function_graph: Simplify with function_graph_enter()
(bnc#1012628).
- x86/function_graph: Simplify with function_graph_enter()
(bnc#1012628).
- nds32: function_graph: Simplify with function_graph_enter()
(bnc#1012628).
- powerpc/function_graph: Simplify with function_graph_enter()
(bnc#1012628).
- sh/function_graph: Simplify with function_graph_enter()
(bnc#1012628).
- sparc/function_graph: Simplify with function_graph_enter()
(bnc#1012628).
- parisc: function_graph: Simplify with function_graph_enter()
(bnc#1012628).
- riscv/function_graph: Simplify with function_graph_enter()
(bnc#1012628).
- s390/function_graph: Simplify with function_graph_enter()
(bnc#1012628).
- arm64: function_graph: Simplify with function_graph_enter()
(bnc#1012628).
- MIPS: function_graph: Simplify with function_graph_enter()
(bnc#1012628).
- function_graph: Make ftrace_push_return_trace() static
(bnc#1012628).
- function_graph: Use new curr_ret_depth to manage depth instead
of curr_ret_stack (bnc#1012628).
- function_graph: Have profiler use curr_ret_stack and not depth
(bnc#1012628).
- function_graph: Move return callback before update of
curr_ret_stack (bnc#1012628).
- function_graph: Reverse the order of pushing the ret_stack
and the callback (bnc#1012628).
- binder: fix race that allows malicious free of live buffer
(bnc#1012628).
- ext2: initialize opts.s_mount_opt as zero before using it
(bnc#1012628).
- ext2: fix potential use after free (bnc#1012628).
- ASoC: intel: cht_bsw_max98090_ti: Add quirk for boards using
pmc_plt_clk_0 (bnc#1012628).
- ASoC: pcm186x: Fix device reset-registers trigger value
(bnc#1012628).
- ARM: dts: rockchip: Remove @0 from the veyron memory node
(bnc#1012628).
- dmaengine: at_hdmac: fix memory leak in at_dma_xlate()
(bnc#1012628).
- dmaengine: at_hdmac: fix module unloading (bnc#1012628).
- staging: most: use format specifier "%s" in snprintf
(bnc#1012628).
- staging: vchiq_arm: fix compat VCHIQ_IOC_AWAIT_COMPLETION
(bnc#1012628).
- staging: mt7621-dma: fix potentially dereferencing uninitialized
'tx_desc' (bnc#1012628).
- staging: mt7621-pinctrl: fix uninitialized variable ngroups
(bnc#1012628).
- staging: rtl8723bs: Fix incorrect sense of ether_addr_equal
(bnc#1012628).
- staging: rtl8723bs: Add missing return for
cfg80211_rtw_get_station (bnc#1012628).
- USB: usb-storage: Add new IDs to ums-realtek (bnc#1012628).
- usb: core: quirks: add RESET_RESUME quirk for Cherry G230
Stream series (bnc#1012628).
- Revert "usb: dwc3: gadget: skip Set/Clear Halt when invalid"
(bnc#1012628).
- iio/hid-sensors: Fix IIO_CHAN_INFO_RAW returning wrong values
for signed numbers (bnc#1012628).
- iio:st_magn: Fix enable device after trigger (bnc#1012628).
- lib/test_kmod.c: fix rmmod double free (bnc#1012628).
- mm: cleancache: fix corruption on missed inode invalidation
(bnc#1012628).
- mm: use swp_offset as key in shmem_replace_page() (bnc#1012628).
- Drivers: hv: vmbus: check the creation_status in
vmbus_establish_gpadl() (bnc#1012628).
- misc: mic/scif: fix copy-paste error in
scif_create_remote_lookup (bnc#1012628).
- Refresh
patches.suse/0001-x86-speculation-Add-basic-IBRS-support-infrastructur.patch.
- Refresh
patches.suse/0002-x86-speculation-Add-inlines-to-control-Indirect-Bran.patch.
- commit 745e582
-------------------------------------------------------------------
Wed Dec 5 20:31:12 CET 2018 - jslaby@suse.cz
- blk-mq: fix corruption with direct issue (ext4 corruption).
- commit 34177d9
-------------------------------------------------------------------
Tue Dec 4 13:58:55 CET 2018 - jroedel@suse.de
- blacklist.conf: Blacklist MAINTAINERS file
- commit c4b3c90
-------------------------------------------------------------------
Mon Dec 3 21:24:59 CET 2018 - tiwai@suse.de
- ALSA: usb-audio: Fix UAF decrement if card has no live
interfaces in card.c (CVE-2018-19824,bsc#1118152).
- commit 8ad46ce
-------------------------------------------------------------------
Mon Dec 3 14:26:19 CET 2018 - afaerber@suse.de
- config: armv6hl: Update to 4.20-rc5
- commit c85b385
-------------------------------------------------------------------
Mon Dec 3 08:07:45 CET 2018 - guillaume.gardet@free.fr
- config: armv7hl: Build some options as modules (boo#1104833)
Enable HISI_THERMAL=m while at it.
(cherry picked from commit c9b9dd67316b831b4cddb2fb439c96767d6cee14)
- config: armv7hl: Build some options as modules (boo#1104833)
Enable HISI_THERMAL=m while at it.
- commit f931328
-------------------------------------------------------------------
Mon Dec 3 08:07:44 CET 2018 - guillaume.gardet@free.fr
- config: arm: Update to 4.19.5
- commit f7aa38e
-------------------------------------------------------------------
Mon Dec 3 07:27:25 CET 2018 - mkubecek@suse.cz
- Update to 4.20-rc5
- Config changes:
- General:
- PSI_DEFAULT_DISABLED=y
- Sound:
- SND_SOC_INTEL_SKYLAKE_HDAUDIO_CODEC=y
- ARM:
- ARM64_ERRATUM_1286807=y
- commit 2ccaf30
-------------------------------------------------------------------
Sun Dec 2 09:32:56 CET 2018 - jslaby@suse.cz
- Linux 4.19.6 (bnc#1012628).
- HID: steam: remove input device when a hid client is running
(bnc#1012628).
- efi/libstub: arm: support building with clang (bnc#1012628).
- usb: core: Fix hub port connection events lost (bnc#1012628).
- usb: dwc3: gadget: fix ISOC TRB type on unaligned transfers
(bnc#1012628).
- usb: dwc3: gadget: Properly check last unaligned/zero chain TRB
(bnc#1012628).
- usb: dwc3: core: Clean up ULPI device (bnc#1012628).
- usb: dwc3: Fix NULL pointer exception in dwc3_pci_remove()
(bnc#1012628).
- xhci: Fix leaking USB3 shared_hcd at xhci removal (bnc#1012628).
- xhci: handle port status events for removed USB3 hcd
(bnc#1012628).
- xhci: Add check for invalid byte size error when UAS devices
are connected (bnc#1012628).
- usb: xhci: fix uninitialized completion when USB3 port got
wrong status (bnc#1012628).
- usb: xhci: fix timeout for transition from RExit to U0
(bnc#1012628).
- xhci: Add quirk to workaround the errata seen on Cavium
Thunder-X2 Soc (bnc#1012628).
- usb: xhci: Prevent bus suspend if a port connect change or
polling state is detected (bnc#1012628).
- ALSA: oss: Use kvzalloc() for local buffer allocations
(bnc#1012628).
- MAINTAINERS: Add Sasha as a stable branch maintainer
(bnc#1012628).
- Documentation/security-bugs: Clarify treatment of embargoed
information (bnc#1012628).
- Documentation/security-bugs: Postpone fix publication in
exceptional cases (bnc#1012628).
- mmc: sdhci-pci: Try "cd" for card-detect lookup before using
NULL (bnc#1012628).
- mmc: sdhci-pci: Workaround GLK firmware failing to restore
the tuning value (bnc#1012628).
- gpio: don't free unallocated ida on gpiochip_add_data_with_key()
error path (bnc#1012628).
- iwlwifi: fix wrong WGDS_WIFI_DATA_SIZE (bnc#1012628).
- iwlwifi: mvm: support sta_statistics() even on older firmware
(bnc#1012628).
- iwlwifi: mvm: fix regulatory domain update when the firmware
starts (bnc#1012628).
- iwlwifi: mvm: don't use SAR Geo if basic SAR is not used
(bnc#1012628).
- brcmfmac: fix reporting support for 160 MHz channels
(bnc#1012628).
- opp: ti-opp-supply: Dynamically update u_volt_min (bnc#1012628).
- opp: ti-opp-supply: Correct the supply in
_get_optimal_vdd_voltage call (bnc#1012628).
- tools/power/cpupower: fix compilation with STATIC=true
(bnc#1012628).
- v9fs_dir_readdir: fix double-free on p9stat_read error
(bnc#1012628).
- selinux: Add __GFP_NOWARN to allocation at str_read()
(bnc#1012628).
- Input: synaptics - avoid using uninitialized variable when
probing (bnc#1012628).
- bfs: add sanity check at bfs_fill_super() (bnc#1012628).
- sctp: clear the transport of some out_chunk_list chunks in
sctp_assoc_rm_peer (bnc#1012628).
- gfs2: Don't leave s_fs_info pointing to freed memory in init_sbd
(bnc#1012628).
- llc: do not use sk_eat_skb() (bnc#1012628).
- mm: don't warn about large allocations for slab (bnc#1012628).
- mm/memory.c: recheck page table entry with page table lock held
(bnc#1012628).
- tcp: do not release socket ownership in tcp_close()
(bnc#1012628).
- drm/fb-helper: Blacklist writeback when adding connectors to
fbdev (bnc#1012628).
- drm/vc4: Set ->legacy_cursor_update to false when doing
non-async updates (bnc#1012628).
- drm/amdgpu: Fix oops when pp_funcs->switch_power_profile is
unset (bnc#1012628).
- drm/i915: Disable LP3 watermarks on all SNB machines
(bnc#1012628).
- drm/ast: change resolution may cause screen blurred
(bnc#1012628).
- drm/ast: fixed cursor may disappear sometimes (bnc#1012628).
- drm/ast: Remove existing framebuffers before loading driver
(bnc#1012628).
- can: flexcan: Unlock the MB unconditionally (bnc#1012628).
- can: dev: can_get_echo_skb(): factor out non sending code to
__can_get_echo_skb() (bnc#1012628).
- can: dev: __can_get_echo_skb(): replace struct can_frame by
canfd_frame to access frame length (bnc#1012628).
- can: dev: __can_get_echo_skb(): Don't crash the kernel if
can_priv::echo_skb is accessed out of bounds (bnc#1012628).
- can: dev: __can_get_echo_skb(): print error message, if trying
to echo non existing skb (bnc#1012628).
- can: rx-offload: introduce can_rx_offload_get_echo_skb()
and can_rx_offload_queue_sorted() functions (bnc#1012628).
- can: rx-offload: rename can_rx_offload_irq_queue_err_skb()
to can_rx_offload_queue_tail() (bnc#1012628).
- can: flexcan: use can_rx_offload_queue_sorted() for
flexcan_irq_bus_*() (bnc#1012628).
- can: flexcan: handle tx-complete CAN frames via rx-offload
infrastructure (bnc#1012628).
- can: raw: check for CAN FD capable netdev in raw_sendmsg()
(bnc#1012628).
- can: hi311x: Use level-triggered interrupt (bnc#1012628).
- can: flexcan: Always use last mailbox for TX (bnc#1012628).
- can: flexcan: remove not needed struct flexcan_priv::tx_mb
and struct flexcan_priv::tx_mb_idx (bnc#1012628).
- ACPICA: AML interpreter: add region addresses in global list
during initialization (bnc#1012628).
- IB/hfi1: Eliminate races in the SDMA send error path
(bnc#1012628).
- fsnotify: generalize handling of extra event flags
(bnc#1012628).
- fanotify: fix handling of events on child sub-directory
(bnc#1012628).
- pinctrl: meson: fix pinconf bias disable (bnc#1012628).
- pinctrl: meson: fix gxbb ao pull register bits (bnc#1012628).
- pinctrl: meson: fix gxl ao pull register bits (bnc#1012628).
- pinctrl: meson: fix meson8 ao pull register bits (bnc#1012628).
- pinctrl: meson: fix meson8b ao pull register bits (bnc#1012628).
- tools/testing/nvdimm: Fix the array size for dimm devices
(bnc#1012628).
- scsi: lpfc: fix remoteport access (bnc#1012628).
- scsi: hisi_sas: Remove set but not used variable 'dq_list'
(bnc#1012628).
- KVM: PPC: Move and undef TRACE_INCLUDE_PATH/FILE (bnc#1012628).
- cpufreq: imx6q: add return value check for voltage scale
(bnc#1012628).
- rtc: cmos: Do not export alarm rtc_ops when we do not support
alarms (bnc#1012628).
- rtc: pcf2127: fix a kmemleak caused in pcf2127_i2c_gather_write
(bnc#1012628).
- crypto: simd - correctly take reqsize of wrapped skcipher into
account (bnc#1012628).
- floppy: fix race condition in __floppy_read_block_0()
(bnc#1012628).
- powerpc/io: Fix the IO workarounds code to work with Radix
(bnc#1012628).
- sched/fair: Fix cpu_util_wake() for 'execl' type workloads
(bnc#1012628).
- perf/x86/intel/uncore: Add more IMC PCI IDs for KabyLake and
CoffeeLake CPUs (bnc#1012628).
- block: copy ioprio in __bio_clone_fast() and bounce
(bnc#1012628).
- SUNRPC: Fix a bogus get/put in generic_key_to_expire()
(bnc#1012628).
- riscv: add missing vdso_install target (bnc#1012628).
- RISC-V: Silence some module warnings on 32-bit (bnc#1012628).
- drm/amdgpu: fix bug with IH ring setup (bnc#1012628).
- kdb: Use strscpy with destination buffer size (bnc#1012628).
- NFSv4: Fix an Oops during delegation callbacks (bnc#1012628).
- powerpc/numa: Suppress "VPHN is not supported" messages
(bnc#1012628).
- efi/arm: Revert deferred unmap of early memmap mapping
(bnc#1012628).
- z3fold: fix possible reclaim races (bnc#1012628).
- mm, memory_hotplug: check zone_movable in has_unmovable_pages
(bnc#1012628).
- tmpfs: make lseek(SEEK_DATA/SEK_HOLE) return ENXIO with a
negative offset (bnc#1012628).
- mm, page_alloc: check for max order in hot path (bnc#1012628).
- dax: Avoid losing wakeup in dax_lock_mapping_entry
(bnc#1012628).
- include/linux/pfn_t.h: force '~' to be parsed as an unary
operator (bnc#1012628).
- tty: wipe buffer (bnc#1012628).
- tty: wipe buffer if not echoing data (bnc#1012628).
- gfs2: Fix iomap buffer head reference counting bug
(bnc#1012628).
- rcu: Make need_resched() respond to urgent RCU-QS needs
(bnc#1012628).
- media: ov5640: Re-work MIPI startup sequence (bnc#1012628).
- media: ov5640: Fix timings setup code (bnc#1012628).
- media: ov5640: fix exposure regression (bnc#1012628).
- media: ov5640: fix auto gain & exposure when changing mode
(bnc#1012628).
- media: ov5640: fix wrong binning value in exposure calculation
(bnc#1012628).
- media: ov5640: fix auto controls values when switching to
manual mode (bnc#1012628).
- commit e8181d1
-------------------------------------------------------------------
Tue Nov 27 20:56:09 CET 2018 - jslaby@suse.cz
- Linux 4.19.5 (bnc#1012628).
- drm/i915: Replace some PAGE_SIZE with I915_GTT_PAGE_SIZE
(bnc#1012628).
- cifs: don't dereference smb_file_target before null check
(bnc#1012628).
- cifs: fix return value for cifs_listxattr (bnc#1012628).
- arm64: kprobe: make page to RO mode when allocate it
(bnc#1012628).
- block: brd: associate with queue until adding disk
(bnc#1012628).
- net: hns3: bugfix for rtnl_lock's range in the hclgevf_reset()
(bnc#1012628).
- net: hns3: bugfix for rtnl_lock's range in the hclge_reset()
(bnc#1012628).
- net: hns3: bugfix for handling mailbox while the command queue
reinitialized (bnc#1012628).
- net: hns3: bugfix for the initialization of command queue's
spin lock (bnc#1012628).
- ixgbe: fix MAC anti-spoofing filter after VFLR (bnc#1012628).
- reiserfs: propagate errors from fill_with_dentries() properly
(bnc#1012628).
- hfs: prevent btree data loss on root split (bnc#1012628).
- hfsplus: prevent btree data loss on root split (bnc#1012628).
- perf unwind: Take pgoff into account when reporting elf to
libdwfl (bnc#1012628).
- um: Give start_idle_thread() a return code (bnc#1012628).
- drm/edid: Add 6 bpc quirk for BOE panel (bnc#1012628).
- afs: Handle EIO from delivery function (bnc#1012628).
- platform/x86: intel_telemetry: report debugfs failure
(bnc#1012628).
- clk: fixed-rate: fix of_node_get-put imbalance (bnc#1012628).
- perf symbols: Set PLT entry/header sizes properly on Sparc
(bnc#1012628).
- fs/exofs: fix potential memory leak in mount option parsing
(bnc#1012628).
- clk: samsung: exynos5420: Enable PERIS clocks for suspend
(bnc#1012628).
- apparmor: Fix uninitialized value in aa_split_fqname
(bnc#1012628).
- x86/earlyprintk: Add a force option for pciserial device
(bnc#1012628).
- platform/x86: acerhdf: Add BIOS entry for Gateway LT31 v1.3307
(bnc#1012628).
- clk: meson-axg: pcie: drop the mpll3 clock parent (bnc#1012628).
- arm64: percpu: Initialize ret in the default case (bnc#1012628).
- clk: meson: clk-pll: drop CLK_GET_RATE_NOCACHE where unnecessary
(bnc#1012628).
- clk: renesas: r9a06g032: Fix UART34567 clock rate (bnc#1012628).
- clk: ti: fix OF child-node lookup (bnc#1012628).
- serial: sh-sci: Fix receive on SCIFA/SCIFB variants with DMA
(bnc#1012628).
- netfilter: ipv6: fix oops when defragmenting locally generated
fragments (bnc#1012628).
- s390/decompressor: add missing FORCE to build targets
(bnc#1012628).
- s390/vdso: add missing FORCE to build targets (bnc#1012628).
- HID: i2c-hid: Add a small delay after sleep command for Raydium
touchpanel (bnc#1012628).
- Revert "HID: add NOGET quirk for Eaton Ellipse MAX UPS"
(bnc#1012628).
- HID: alps: allow incoming reports when only the trackstick is
opened (bnc#1012628).
- Revert "netfilter: nft_numgen: add map lookups for numgen
random operations" (bnc#1012628).
- netfilter: ipset: list:set: Decrease refcount synchronously
on deletion and replace (bnc#1012628).
- netfilter: ipset: actually allow allowable CIDR 0 in
hash:net,port,net (bnc#1012628).
- netfilter: ipset: fix ip_set_list allocation failure
(bnc#1012628).
- s390/mm: fix mis-accounting of pgtable_bytes (bnc#1012628).
- s390/mm: Fix ERROR: "__node_distance" undefined! (bnc#1012628).
- bpf: fix bpf_prog_get_info_by_fd to return 0 func_lens for
unpriv (bnc#1012628).
- netfilter: ipset: Correct rcu_dereference() call in
ip_set_put_comment() (bnc#1012628).
- netfilter: xt_IDLETIMER: add sysfs filename checking routine
(bnc#1012628).
- netfilter: ipset: Fix calling ip_set() macro at dumping
(bnc#1012628).
- netfilter: nft_compat: ebtables 'nat' table is normal chain type
(bnc#1012628).
- s390/qeth: fix HiperSockets sniffer (bnc#1012628).
- s390/qeth: unregister netdevice only when registered
(bnc#1012628).
- net: hns3: Fix for out-of-bounds access when setting pfc back
pressure (bnc#1012628).
- hwmon: (ibmpowernv) Remove bogus __init annotations
(bnc#1012628).
- ARM: dts: imx6sll: fix typo for fsl,imx6sll-i2c node
(bnc#1012628).
- ARM: dts: fsl: Fix improperly quoted stdout-path values
(bnc#1012628).
- Revert "drm/exynos/decon5433: implement frame counter"
(bnc#1012628).
- arm64: dts: renesas: r8a7795: add missing dma-names on hscif2
(bnc#1012628).
- arm64: dts: renesas: condor: switch from EtherAVB to GEther
(bnc#1012628).
- xen/grant-table: Fix incorrect gnttab_dma_free_pages() pr_debug
message (bnc#1012628).
- clk: fixed-factor: fix of_node_get-put imbalance (bnc#1012628).
- mtd: nand: Fix nanddev_pos_next_page() kernel-doc header
(bnc#1012628).
- lib/raid6: Fix arm64 test build (bnc#1012628).
- drm/amd/display: Stop leaking planes (bnc#1012628).
- block: Clear kernel memory before copying to user (bnc#1012628).
- drm/amd/display: Drop reusing drm connector for MST
(bnc#1012628).
- drm/amd/amdgpu/dm: Fix dm_dp_create_fake_mst_encoder()
(bnc#1012628).
- s390/perf: Change CPUM_CF return code in event init function
(bnc#1012628).
- ceph: quota: fix null pointer dereference in quota check
(bnc#1012628).
- of/device: Really only set bus DMA mask when appropriate
(bnc#1012628).
- nvme: make sure ns head inherits underlying device limits
(bnc#1012628).
- i2c: omap: Enable for ARCH_K3 (bnc#1012628).
- i2c: qcom-geni: Fix runtime PM mismatch with child devices
(bnc#1012628).
- sched/core: Take the hotplug lock in sched_init_smp()
(bnc#1012628).
- perf tools: Fix undefined symbol scnprintf in libperf-jvmti.so
(bnc#1012628).
- perf tools: Do not zero sample_id_all for group members
(bnc#1012628).
- ice: Fix dead device link issue with flow control (bnc#1012628).
- ice: Fix the bytecount sent to netdev_tx_sent_queue
(bnc#1012628).
- ice: Change req_speeds to be u16 (bnc#1012628).
- i40e: restore NETIF_F_GSO_IPXIP[46] to netdev features
(bnc#1012628).
- qed: Fix memory/entry leak in qed_init_sp_request()
(bnc#1012628).
- qed: Fix blocking/unlimited SPQ entries leak (bnc#1012628).
- qed: Fix SPQ entries not returned to pool in error flows
(bnc#1012628).
- qed: Fix potential memory corruption (bnc#1012628).
- net: stmmac: Fix RX packet size > 8191 (bnc#1012628).
- net: aquantia: fix potential IOMMU fault after driver unbind
(bnc#1012628).
- net: aquantia: fixed enable unicast on 32 macvlan (bnc#1012628).
- net: aquantia: invalid checksumm offload implementation
(bnc#1012628).
- kbuild: deb-pkg: fix too low build version number (bnc#1012628).
- Revert "scripts/setlocalversion: git: Make -dirty check more
robust" (bnc#1012628).
- SUNRPC: drop pointless static qualifier in
xdr_get_next_encode_buffer() (bnc#1012628).
- x86/mm: Move LDT remap out of KASLR region on 5-level paging
(bnc#1012628).
- x86/ldt: Unmap PTEs for the slot before freeing LDT pages
(bnc#1012628).
- x86/ldt: Remove unused variable in map_ldt_struct()
(bnc#1012628).
- media: v4l: event: Add subscription to list before calling
"add" operation (bnc#1012628).
- MIPS: OCTEON: cavium_octeon_defconfig: re-enable OCTEON USB
driver (bnc#1012628).
- RISC-V: Fix raw_copy_{to,from}_user() (bnc#1012628).
- uio: Fix an Oops on load (bnc#1012628).
- ALSA: hda/realtek - Add quirk entry for HP Pavilion 15
(bnc#1012628).
- ALSA: hda/ca0132 - Call pci_iounmap() instead of iounmap()
(bnc#1012628).
- can: kvaser_usb: Fix accessing freed memory in
kvaser_usb_start_xmit() (bnc#1012628).
- can: kvaser_usb: Fix potential uninitialized variable use
(bnc#1012628).
- usb: cdc-acm: add entry for Hiro (Conexant) modem (bnc#1012628).
- USB: quirks: Add no-lpm quirk for Raydium touchscreens
(bnc#1012628).
- USB: Wait for extra delay time after USB_PORT_FEAT_RESET for
quirky hub (bnc#1012628).
- usb: quirks: Add delay-init quirk for Corsair K70 LUX RGB
(bnc#1012628).
- misc: atmel-ssc: Fix section annotation on
atmel_ssc_get_driver_data (bnc#1012628).
- USB: misc: appledisplay: add 20" Apple Cinema Display
(bnc#1012628).
- gnss: serial: fix synchronous write timeout (bnc#1012628).
- gnss: sirf: fix synchronous write timeout (bnc#1012628).
- mtd: rawnand: atmel: fix OF child-node lookup (bnc#1012628).
- drivers/misc/sgi-gru: fix Spectre v1 vulnerability
(bnc#1012628).
- ACPI / platform: Add SMB0001 HID to forbidden_id_list
(bnc#1012628).
- HID: uhid: forbid UHID_CREATE under KERNEL_DS or elevated
privileges (bnc#1012628).
- HID: Add quirk for Primax PIXART OEM mice (bnc#1012628).
- HID: Add quirk for Microsoft PIXART OEM mouse (bnc#1012628).
- libceph: fall back to sendmsg for slab pages (bnc#1012628).
- mt76x0: run vco calibration for each channel configuration
(bnc#1012628).
- commit 6210279
-------------------------------------------------------------------
Tue Nov 27 17:08:15 CET 2018 - tiwai@suse.de
- media: dvb-pll: don't re-validate tuner frequencies
(bsc#1116374).
- commit 3debe43
-------------------------------------------------------------------
Mon Nov 26 07:22:42 CET 2018 - mkubecek@suse.cz
- Update to 4.20-rc4
- Config changes:
- Networking:
- MT76_LEDS=y (split from MT76_CORE)
- commit 1ac69b7
-------------------------------------------------------------------
Fri Nov 23 18:47:44 CET 2018 - msuchanek@suse.de
- Build ppc64le for POWER8+ (FATE#325617).
- commit f6da51b
-------------------------------------------------------------------
Fri Nov 23 08:51:18 CET 2018 - jslaby@suse.cz
- Linux 4.19.4 (bnc#1012628).
- flow_dissector: do not dissect l4 ports for fragments
(bnc#1012628).
- ibmvnic: fix accelerated VLAN handling (bnc#1012628).
- ip_tunnel: don't force DF when MTU is locked (bnc#1012628).
- ipv6: fix a dst leak when removing its exception (bnc#1012628).
- ipv6: Fix PMTU updates for UDP/raw sockets in presence of VRF
(bnc#1012628).
- net: bcmgenet: protect stop from timeout (bnc#1012628).
- net-gro: reset skb->pkt_type in napi_reuse_skb() (bnc#1012628).
- sctp: not allow to set asoc prsctp_enable by sockopt
(bnc#1012628).
- tcp: Fix SOF_TIMESTAMPING_RX_HARDWARE to use the latest
timestamp during TCP coalescing (bnc#1012628).
- tg3: Add PHY reset for 5717/5719/5720 in change ring and flow
control paths (bnc#1012628).
- tipc: don't assume linear buffer when reading ancillary data
(bnc#1012628).
- tipc: fix lockdep warning when reinitilaizing sockets
(bnc#1012628).
- tuntap: fix multiqueue rx (bnc#1012628).
- net: systemport: Protect stop from timeout (bnc#1012628).
- net/sched: act_pedit: fix memory leak when IDR allocation fails
(bnc#1012628).
- net: sched: cls_flower: validate nested enc_opts_policy to
avoid warning (bnc#1012628).
- tipc: fix link re-establish failure (bnc#1012628).
- net/mlx5e: Don't match on vlan non-existence if ethertype is
wildcarded (bnc#1012628).
- net/mlx5e: Claim TC hw offloads support only under a proper
build config (bnc#1012628).
- net/mlx5e: Adjust to max number of channles when re-attaching
(bnc#1012628).
- net/mlx5e: RX, verify received packet size in Linear Striding RQ
(bnc#1012628).
- Revert "sctp: remove sctp_transport_pmtu_check" (bnc#1012628).
- net/mlx5e: Always use the match level enum when parsing TC
rule match (bnc#1012628).
- net/mlx5e: Fix selftest for small MTUs (bnc#1012628).
- net/mlx5e: Removed unnecessary warnings in FEC caps query
(bnc#1012628).
- inet: frags: better deal with smp races (bnc#1012628).
- l2tp: fix a sock refcnt leak in l2tp_tunnel_register
(bnc#1012628).
- net/mlx5: IPSec, Fix the SA context hash key (bnc#1012628).
- net/mlx5e: IPoIB, Reset QP after channels are closed
(bnc#1012628).
- net: dsa: mv88e6xxx: Fix clearing of stats counters
(bnc#1012628).
- net: phy: realtek: fix RTL8201F sysfs name (bnc#1012628).
- sctp: define SCTP_SS_DEFAULT for Stream schedulers
(bnc#1012628).
- net: qualcomm: rmnet: Fix incorrect assignment of real_dev
(bnc#1012628).
- net: dsa: microchip: initialize mutex before use (bnc#1012628).
- sctp: fix strchange_flags name for Stream Change Event
(bnc#1012628).
- net: phy: mdio-gpio: Fix working over slow can_sleep GPIOs
(bnc#1012628).
- sctp: not increase stream's incnt before sending addstrm_in
request (bnc#1012628).
- mlxsw: spectrum: Fix IP2ME CPU policer configuration
(bnc#1012628).
- net: smsc95xx: Fix MTU range (bnc#1012628).
- rxrpc: Fix lockup due to no error backoff after ack transmit
error (bnc#1012628).
- usbnet: smsc95xx: disable carrier check while suspending
(bnc#1012628).
- Revert "x86/speculation: Enable cross-hyperthread spectre v2
STIBP mitigation" (bnc#1012628).
- Refresh
patches.suse/0001-x86-speculation-Add-basic-IBRS-support-infrastructur.patch.
- commit 2f38375
-------------------------------------------------------------------
Fri Nov 23 00:55:31 CET 2018 - msuchanek@suse.de
- Revert "Remove Cell/PS3 support from ppc64 kernel (boo#1114846)"
This reverts commit fd6aaf7f98693355e7dcc5e4e1926fb1664d803b.
Fixed upstream in 43c6494fa149 ("powerpc/io: Fix the IO workarounds code
to work with Radix")
- commit 4f86993
-------------------------------------------------------------------
Thu Nov 22 11:47:48 CET 2018 - tiwai@suse.de
- media: dvb-pll: fix tuner frequency ranges (bsc#1116374).
- commit edac906
-------------------------------------------------------------------
Wed Nov 21 11:33:39 CET 2018 - jslaby@suse.cz
- Linux 4.19.3 (bnc#1012628).
- powerpc/traps: restore recoverability of machine_check
interrupts (bnc#1012628).
- powerpc/64/module: REL32 relocation range check (bnc#1012628).
- powerpc/mm: Fix page table dump to work on Radix (bnc#1012628).
- powerpc/mm: fix always true/false warning in slice.c
(bnc#1012628).
- drm/amd/display: fix bug of accessing invalid memory
(bnc#1012628).
- Input: wm97xx-ts - fix exit path (bnc#1012628).
- powerpc/Makefile: Fix PPC_BOOK3S_64 ASFLAGS (bnc#1012628).
- powerpc/eeh: Fix possible null deref in eeh_dump_dev_log()
(bnc#1012628).
- tty: check name length in tty_find_polling_driver()
(bnc#1012628).
- tracing/kprobes: Check the probe on unloaded module correctly
(bnc#1012628).
- drm/nouveau/secboot/acr: fix memory leak (bnc#1012628).
- drm/amdgpu/powerplay: fix missing break in switch statements
(bnc#1012628).
- ARM: imx_v6_v7_defconfig: Select CONFIG_TMPFS_POSIX_ACL
(bnc#1012628).
- powerpc/nohash: fix undefined behaviour when testing page size
support (bnc#1012628).
- drm/msm/gpu: fix parameters in function
msm_gpu_crashstate_capture (bnc#1012628).
- drm/msm/disp/dpu: Use proper define for drm_encoder_init()
'encoder_type' (bnc#1012628).
- drm/msm: dpu: Allow planes to extend past active display
(bnc#1012628).
- powerpc/mm: Don't report hugepage tables as memory leaks when
using kmemleak (bnc#1012628).
- drm/omap: fix memory barrier bug in DMM driver (bnc#1012628).
- drm/amd/display: Raise dispclk value for dce120 by 15%
(bnc#1012628).
- drm/amd/display: fix gamma not being applied (bnc#1012628).
- drm/hisilicon: hibmc: Do not carry error code in HiBMC
framebuffer pointer (bnc#1012628).
- media: pci: cx23885: handle adding to list failure
(bnc#1012628).
- media: coda: don't overwrite h.264 profile_idc on decoder
instance (bnc#1012628).
- MIPS: kexec: Mark CPU offline before disabling local IRQ
(bnc#1012628).
- powerpc/boot: Ensure _zimage_start is a weak symbol
(bnc#1012628).
- powerpc/memtrace: Remove memory in chunks (bnc#1012628).
- MIPS/PCI: Call pcie_bus_configure_settings() to set MPS/MRRS
(bnc#1012628).
- staging: erofs: fix a missing endian conversion (bnc#1012628).
- serial: 8250_of: Fix for lack of interrupt support
(bnc#1012628).
- sc16is7xx: Fix for multi-channel stall (bnc#1012628).
- media: tvp5150: fix width alignment during set_selection()
(bnc#1012628).
- powerpc/selftests: Wait all threads to join (bnc#1012628).
- staging:iio:ad7606: fix voltage scales (bnc#1012628).
- drm: rcar-du: Update Gen3 output limitations (bnc#1012628).
- drm/amdgpu: Fix SDMA TO after GPU reset v3 (bnc#1012628).
- staging: most: video: fix registration of an empty comp
core_component (bnc#1012628).
- 9p locks: fix glock.client_id leak in do_lock (bnc#1012628).
- udf: Prevent write-unsupported filesystem to be remounted
read-write (bnc#1012628).
- ARM: dts: imx6ull: keep IMX6UL_ prefix for signals on both
i.MX6UL and i.MX6ULL (bnc#1012628).
- media: ov5640: fix mode change regression (bnc#1012628).
- 9p: clear dangling pointers in p9stat_free (bnc#1012628).
- drm/amdgpu: fix integer overflow test in amdgpu_bo_list_create()
(bnc#1012628).
- media: ov5640: fix restore of last mode set (bnc#1012628).
- ovl: fix error handling in ovl_verify_set_fh() (bnc#1012628).
- ovl: fix recursive oi->lock in ovl_link() (bnc#1012628).
- ovl: check whiteout in ovl_create_over_whiteout() (bnc#1012628).
- ovl: automatically enable redirect_dir on metacopy=on
(bnc#1012628).
- serial: sh-sci: Fix could not remove dev_attr_rx_fifo_timeout
(bnc#1012628).
- scsi: qla2xxx: Fix incorrect port speed being set for FC
adapters (bnc#1012628).
- scsi: qla2xxx: Fix process response queue for ISP26XX and above
(bnc#1012628).
- scsi: qla2xxx: Remove stale debug trace message from tcm_qla2xxx
(bnc#1012628).
- scsi: qla2xxx: Fix early srb free on abort (bnc#1012628).
- scsi: qla2xxx: shutdown chip if reset fail (bnc#1012628).
- scsi: qla2xxx: Reject bsg request if chip is down (bnc#1012628).
- scsi: qla2xxx: Fix re-using LoopID when handle is in use
(bnc#1012628).
- scsi: qla2xxx: Fix for double free of SRB structure
(bnc#1012628).
- scsi: qla2xxx: Fix NVMe session hang on unload (bnc#1012628).
- scsi: qla2xxx: Fix NVMe Target discovery (bnc#1012628).
- scsi: qla2xxx: Fix duplicate switch database entries
(bnc#1012628).
- scsi: qla2xxx: Fix driver hang when FC-NVMe LUNs are configured
(bnc#1012628).
- vfs: fix FIGETBSZ ioctl on an overlayfs file (bnc#1012628).
- fuse: Fix use-after-free in fuse_dev_do_read() (bnc#1012628).
- fuse: Fix use-after-free in fuse_dev_do_write() (bnc#1012628).
- fuse: fix blocked_waitq wakeup (bnc#1012628).
- fuse: set FR_SENT while locked (bnc#1012628).
- drm/msm: fix OF child-node lookup (bnc#1012628).
- arm64: dts: stratix10: Support Ethernet Jumbo frame
(bnc#1012628).
- arm64: dts: stratix10: fix multicast filtering (bnc#1012628).
- clk: meson-gxbb: set fclk_div3 as CLK_IS_CRITICAL (bnc#1012628).
- clk: meson: axg: mark fdiv2 and fdiv3 as critical (bnc#1012628).
- zram: close udev startup race condition as default groups
(bnc#1012628).
- MIPS: Loongson-3: Fix CPU UART irq delivery problem
(bnc#1012628).
- MIPS: Loongson-3: Fix BRIDGE irq delivery problem (bnc#1012628).
- xtensa: add NOTES section to the linker script (bnc#1012628).
- xtensa: make sure bFLT stack is 16 byte aligned (bnc#1012628).
- xtensa: fix boot parameters address translation (bnc#1012628).
- um: Drop own definition of PTRACE_SYSEMU/_SINGLESTEP
(bnc#1012628).
- clk: s2mps11: Fix matching when built as module and DT node
contains compatible (bnc#1012628).
- clk: at91: Fix division by zero in PLL recalc_rate()
(bnc#1012628).
- clk: sunxi-ng: h6: fix bus clocks' divider position
(bnc#1012628).
- clk: rockchip: fix wrong mmc sample phase shift for rk3328
(bnc#1012628).
- clk: rockchip: Fix static checker warning in
rockchip_ddrclk_get_parent call (bnc#1012628).
- libceph: bump CEPH_MSG_MAX_DATA_LEN (bnc#1012628).
- Revert "ceph: fix dentry leak in splice_dentry()" (bnc#1012628).
- thermal: core: Fix use-after-free in
thermal_cooling_device_destroy_sysfs (bnc#1012628).
- mach64: fix display corruption on big endian machines
(bnc#1012628).
- mach64: fix image corruption due to reading accelerator
registers (bnc#1012628).
- acpi/nfit, x86/mce: Handle only uncorrectable machine checks
(bnc#1012628).
- acpi/nfit, x86/mce: Validate a MCE's address before using it
(bnc#1012628).
- acpi, nfit: Fix ARS overflow continuation (bnc#1012628).
- reset: hisilicon: fix potential NULL pointer dereference
(bnc#1012628).
- crypto: hisilicon - Fix NULL dereference for same dst and src
(bnc#1012628).
- crypto: hisilicon - Fix reference after free of memories on
error path (bnc#1012628).
- vhost/scsi: truncate T10 PI iov_iter to prot_bytes
(bnc#1012628).
- scsi: qla2xxx: Initialize port speed to avoid setting lower
speed (bnc#1012628).
- SCSI: fix queue cleanup race before queue initialization is done
(bnc#1012628).
- Revert "powerpc/8xx: Use L1 entry APG to handle _PAGE_ACCESSED
for CONFIG_SWAP" (bnc#1012628).
- soc: ti: QMSS: Fix usage of irq_set_affinity_hint (bnc#1012628).
- ocfs2: fix a misuse a of brelse after failing
ocfs2_check_dir_entry (bnc#1012628).
- ocfs2: free up write context when direct IO failed
(bnc#1012628).
- mm: thp: relax __GFP_THISNODE for MADV_HUGEPAGE mappings
(bnc#1012628).
- memory_hotplug: cond_resched in __remove_pages (bnc#1012628).
- netfilter: conntrack: fix calculation of next bucket number
in early_drop (bnc#1012628).
- ARM: 8809/1: proc-v7: fix Thumb annotation of
cpu_v7_hvc_switch_mm (bnc#1012628).
- bonding/802.3ad: fix link_failure_count tracking (bnc#1012628).
- mtd: spi-nor: cadence-quadspi: Return error code in
cqspi_direct_read_execute() (bnc#1012628).
- mtd: nand: Fix nanddev_neraseblocks() (bnc#1012628).
- mtd: docg3: don't set conflicting BCH_CONST_PARAMS option
(bnc#1012628).
- hwmon: (core) Fix double-free in __hwmon_device_register()
(bnc#1012628).
- perf cs-etm: Correct CPU mode for samples (bnc#1012628).
- perf stat: Handle different PMU names with common prefix
(bnc#1012628).
- perf callchain: Honour the ordering of
PERF_CONTEXT_{USER,KERNEL,etc} (bnc#1012628).
- perf intel-pt/bts: Calculate cpumode for synthesized samples
(bnc#1012628).
- perf intel-pt: Insert callchain context into synthesized
callchains (bnc#1012628).
- of, numa: Validate some distance map rules (bnc#1012628).
- x86/cpu/vmware: Do not trace vmware_sched_clock() (bnc#1012628).
- x86/hyper-v: Enable PIT shutdown quirk (bnc#1012628).
- termios, tty/tty_baudrate.c: fix buffer overrun (bnc#1012628).
- arch/alpha, termios: implement BOTHER, IBSHIFT and termios2
(bnc#1012628).
- watchdog/core: Add missing prototypes for weak functions
(bnc#1012628).
- btrfs: fix pinned underflow after transaction aborted
(bnc#1012628).
- Btrfs: fix missing data checksums after a ranged fsync (msync)
(bnc#1012628).
- Btrfs: fix cur_offset in the error case for nocow (bnc#1012628).
- Btrfs: fix infinite loop on inode eviction after deduplication
of eof block (bnc#1012628).
- Btrfs: fix data corruption due to cloning of eof block
(bnc#1012628).
- btrfs: tree-checker: Fix misleading group system information
(bnc#1012628).
- clockevents/drivers/i8253: Add support for PIT shutdown quirk
(bnc#1012628).
- ext4: add missing brelse() update_backups()'s error path
(bnc#1012628).
- ext4: add missing brelse() in set_flexbg_block_bitmap()'s
error path (bnc#1012628).
- ext4: add missing brelse() add_new_gdb_meta_bg()'s error path
(bnc#1012628).
- ext4: avoid potential extra brelse in
setup_new_flex_group_blocks() (bnc#1012628).
- ext4: missing !bh check in ext4_xattr_inode_write()
(bnc#1012628).
- ext4: fix possible inode leak in the retry loop of
ext4_resize_fs() (bnc#1012628).
- ext4: avoid buffer leak on shutdown in ext4_mark_iloc_dirty()
(bnc#1012628).
- ext4: avoid buffer leak in ext4_orphan_add() after prior errors
(bnc#1012628).
- ext4: fix missing cleanup if ext4_alloc_flex_bg_array() fails
while resizing (bnc#1012628).
- ext4: avoid possible double brelse() in add_new_gdb() on error
path (bnc#1012628).
- ext4: fix possible leak of sbi->s_group_desc_leak in error path
(bnc#1012628).
- ext4: fix possible leak of s_journal_flag_rwsem in error path
(bnc#1012628).
- ext4: fix buffer leak in ext4_xattr_get_block() on error path
(bnc#1012628).
- ext4: release bs.bh before re-using in ext4_xattr_block_find()
(bnc#1012628).
- ext4: fix buffer leak in ext4_xattr_move_to_block() on error
path (bnc#1012628).
- ext4: fix buffer leak in ext4_expand_extra_isize_ea() on error
path (bnc#1012628).
- ext4: fix buffer leak in __ext4_read_dirblock() on error path
(bnc#1012628).
- mount: Retest MNT_LOCKED in do_umount (bnc#1012628).
- mount: Don't allow copying MNT_UNBINDABLE|MNT_LOCKED mounts
(bnc#1012628).
- mount: Prevent MNT_DETACH from disconnecting locked mounts
(bnc#1012628).
- mnt: fix __detach_mounts infinite loop (bnc#1012628).
- uapi: fix linux/kfd_ioctl.h userspace compilation errors
(bnc#1012628).
- ARM: cpuidle: Don't register the driver when back-end init
returns -ENXIO (bnc#1012628).
- kdb: use correct pointer when 'btc' calls 'btt' (bnc#1012628).
- kdb: print real address of pointers instead of hashed addresses
(bnc#1012628).
- sunrpc: correct the computation for page_ptr when truncating
(bnc#1012628).
- NFSv4: Don't exit the state manager without clearing
NFS4CLNT_MANAGER_RUNNING (bnc#1012628).
- nfsd: COPY and CLONE operations require the saved filehandle
to be set (bnc#1012628).
- rtc: hctosys: Add missing range error reporting (bnc#1012628).
- fuse: fix use-after-free in fuse_direct_IO() (bnc#1012628).
- fuse: fix leaked notify reply (bnc#1012628).
- fuse: fix possibly missed wake-up after abort (bnc#1012628).
- selinux: check length properly in SCTP bind hook (bnc#1012628).
- gfs2: Put bitmap buffers in put_super (bnc#1012628).
- gfs2: Fix metadata read-ahead during truncate (2) (bnc#1012628).
- libata: blacklist SAMSUNG MZ7TD256HAFV-000L9 SSD (bnc#1012628).
- crypto: user - fix leaking uninitialized memory to userspace
(bnc#1012628).
- lib/ubsan.c: don't mark __ubsan_handle_builtin_unreachable as
noreturn (bnc#1012628).
- hugetlbfs: fix kernel BUG at
fs/hugetlbfs/inode.c:444! (bnc#1012628).
- mm/swapfile.c: use kvzalloc for swap_info_struct allocation
(bnc#1012628).
- efi/arm/libstub: Pack FDT after populating it (bnc#1012628).
- mm: don't reclaim inodes with many attached pages (bnc#1012628).
- scripts/spdxcheck.py: make python3 compliant (bnc#1012628).
- drm/rockchip: Allow driver to be shutdown on reboot/kexec
(bnc#1012628).
- drm/amdgpu: Fix typo in amdgpu_vmid_mgr_init (bnc#1012628).
- drm/amdgpu: add missing CHIP_HAINAN in
amdgpu_ucode_get_load_type (bnc#1012628).
- drm/amdgpu: Suppress keypresses from ACPI_VIDEO events
(bnc#1012628).
- drm/nouveau: Check backlight IDs are >= 0, not > 0
(bnc#1012628).
- drm/nouveau: Fix nv50_mstc->best_encoder() (bnc#1012628).
- drm/amd/powerplay: Enable/Disable NBPSTATE on On/OFF of UVD
(bnc#1012628).
- drm/etnaviv: fix bogus fence complete check in timeout handler
(bnc#1012628).
- drm/dp_mst: Check if primary mstb is null (bnc#1012628).
- drm: panel-orientation-quirks: Add quirk for Acer One 10 (S1003)
(bnc#1012628).
- drm/i915/dp: Link train Fallback on eDP only if fallback link
BW can fit panel's native mode (bnc#1012628).
- drm/i915: Use the correct crtc when sanitizing plane mapping
(bnc#1012628).
- drm/i915: Restore vblank interrupts earlier (bnc#1012628).
- drm/i915: Don't unset intel_connector->mst_port (bnc#1012628).
- drm/i915: Skip vcpi allocation for MSTB ports that are gone
(bnc#1012628).
- drm/i915: Large page offsets for pread/pwrite (bnc#1012628).
- drm/i915/dp: Fix link retraining comment in
intel_dp_long_pulse() (bnc#1012628).
- drm/i915/dp: Restrict link retrain workaround to external
monitors (bnc#1012628).
- drm/i915/icl: Fix the macros for DFLEXDPMLE register bits
(bnc#1012628).
- drm/i915/hdmi: Add HDMI 2.0 audio clock recovery N values
(bnc#1012628).
- drm/i915: Mark up GTT sizes as u64 (bnc#1012628).
- drm/i915: Fix error handling for the NV12 fb dimensions check
(bnc#1012628).
- drm/i915: Fix ilk+ watermarks when disabling pipes
(bnc#1012628).
- drm/i915: Compare user's 64b GTT offset even on 32b
(bnc#1012628).
- drm/i915: Don't oops during modeset shutdown after lpe audio
deinit (bnc#1012628).
- drm/i915: Mark pin flags as u64 (bnc#1012628).
- drm/i915/ringbuffer: Delay after EMIT_INVALIDATE for gen4/gen5
(bnc#1012628).
- drm/i915/execlists: Force write serialisation into context
image vs execution (bnc#1012628).
- drm/i915: Fix possible race in intel_dp_add_mst_connector()
(bnc#1012628).
- drm/i915: Fix NULL deref when re-enabling HPD IRQs on systems
with MST (bnc#1012628).
- drm/i915: Fix hpd handling for pins with two encoders
(bnc#1012628).
- CONFIG_XEN_PV breaks xen_create_contiguous_region on ARM
(bnc#1012628).
- Revert "ACPICA: AML interpreter: add region addresses in global
list during initialization" (bnc#1012628).
- commit fc4ca8a
-------------------------------------------------------------------
Tue Nov 20 14:26:50 CET 2018 - rgoldwyn@suse.com
- apparmor: fix unnecessary creation of net-compat (bsc#1116724).
- commit f5cf767
-------------------------------------------------------------------
Mon Nov 19 14:10:29 CET 2018 - tiwai@suse.de
- drm/amdgpu: Add missing firmware entry for HAINAN (bsc#1116239).
- commit 21d18c9
-------------------------------------------------------------------
Mon Nov 19 07:08:48 CET 2018 - mkubecek@suse.cz
- Update to 4.20-rc3
- Refresh configs
- commit 81d20d2
-------------------------------------------------------------------
Tue Nov 13 21:56:49 CET 2018 - jslaby@suse.cz
- Linux 4.19.2 (bnc#1012628).
- bpf: fix partial copy of map_ptr when dst is scalar
(bnc#1012628).
- MIPS: VDSO: Reduce VDSO_RANDOMIZE_SIZE to 64MB for 64bit
(bnc#1012628).
- gpio: mxs: Get rid of external API call (bnc#1012628).
- mtd: rawnand: marvell: fix the IRQ handler complete() condition
(bnc#1012628).
- mtd: maps: gpio-addr-flash: Fix ioremapped size (bnc#1012628).
- mtd: spi-nor: fsl-quadspi: fix read error for flash size larger
than 16MB (bnc#1012628).
- mtd: spi-nor: intel-spi: Add support for Intel Ice Lake SPI
serial flash (bnc#1012628).
- mtd: spi-nor: fsl-quadspi: Don't let -EINVAL on the bus
(bnc#1012628).
- spi: spi-mem: Adjust op len based on message/transfer size
limitations (bnc#1012628).
- spi: bcm-qspi: switch back to reading flash using smaller chunks
(bnc#1012628).
- spi: bcm-qspi: fix calculation of address length (bnc#1012628).
- bcache: trace missed reading by cache_missed (bnc#1012628).
- bcache: fix ioctl in flash device (bnc#1012628).
- bcache: correct dirty data statistics (bnc#1012628).
- bcache: fix miss key refill->end in writeback (bnc#1012628).
- hwmon: (pmbus) Fix page count auto-detection (bnc#1012628).
- jffs2: free jffs2_sb_info through jffs2_kill_sb() (bnc#1012628).
- block: setup bounce bio_sets properly (bnc#1012628).
- block: make sure discard bio is aligned with logical block size
(bnc#1012628).
- block: make sure writesame bio is aligned with logical block
size (bnc#1012628).
- cpufreq: conservative: Take limits changes into account properly
(bnc#1012628).
- dma-mapping: fix panic caused by passing empty cma command
line argument (bnc#1012628).
- pcmcia: Implement CLKRUN protocol disabling for Ricoh bridges
(bnc#1012628).
- ACPI / OSL: Use 'jiffies' as the time bassis for
acpi_os_get_timer() (bnc#1012628).
- ACPICA: AML interpreter: add region addresses in global list
during initialization (bnc#1012628).
- ACPICA: AML Parser: fix parse loop to correctly skip erroneous
extended opcodes (bnc#1012628).
- acpi, nfit: Fix Address Range Scrub completion tracking
(bnc#1012628).
- kprobes/x86: Use preempt_enable() in optimized_callback()
(bnc#1012628).
- ipmi: Fix timer race with module unload (bnc#1012628).
- mailbox: PCC: handle parse error (bnc#1012628).
- parisc: Fix address in HPMC IVA (bnc#1012628).
- parisc: Fix map_pages() to not overwrite existing pte entries
(bnc#1012628).
- parisc: Fix exported address of os_hpmc handler (bnc#1012628).
- ALSA: hda - Add quirk for ASUS G751 laptop (bnc#1012628).
- ALSA: hda - Fix headphone pin config for ASUS G751
(bnc#1012628).
- ALSA: hda/realtek - Fix the problem of the front MIC on the
Lenovo M715 (bnc#1012628).
- ALSA: hda - Add mic quirk for the Lenovo G50-30 (17aa:3905)
(bnc#1012628).
- ALSA: hda: Add 2 more models to the power_save blacklist
(bnc#1012628).
- ALSA: ca0106: Disable IZD on SB0570 DAC to fix audio pops
(bnc#1012628).
- ALSA: hda - Fix incorrect clearance of thinkpad_acpi hooks
(bnc#1012628).
- x86/speculation: Enable cross-hyperthread spectre v2 STIBP
mitigation (bnc#1012628).
- x86/xen: Fix boot loader version reported for PVH guests
(bnc#1012628).
- x86/corruption-check: Fix panic in memory_corruption_check()
when boot option without value is provided (bnc#1012628).
- x86/kvm/nVMX: allow bare VMXON state migration (bnc#1012628).
- x86/mm/pat: Disable preemption around __flush_tlb_all()
(bnc#1012628).
- x86/numa_emulation: Fix uniform-split numa emulation
(bnc#1012628).
- ARM: dts: exynos: Disable pull control for MAX8997 interrupts
on Origen (bnc#1012628).
- net: socionext: Reset tx queue in ndo_stop (bnc#1012628).
- net: loopback: clear skb->tstamp before netif_rx()
(bnc#1012628).
- locking/lockdep: Fix debug_locks off performance problem
(bnc#1012628).
- netfilter: xt_nat: fix DNAT target for shifted portmap ranges
(bnc#1012628).
- ataflop: fix error handling during setup (bnc#1012628).
- swim: fix cleanup on setup error (bnc#1012628).
- arm64: cpufeature: ctr: Fix cpu capability check for late CPUs
(bnc#1012628).
- hv_netvsc: fix vf serial matching with pci slot info
(bnc#1012628).
- nfp: devlink port split support for 1x100G CXP NIC
(bnc#1012628).
- tun: Consistently configure generic netdev params via rtnetlink
(bnc#1012628).
- s390/sthyi: Fix machine name validity indication (bnc#1012628).
- hwmon: (pwm-fan) Set fan speed to 0 on suspend (bnc#1012628).
- lightnvm: pblk: fix race on sysfs line state (bnc#1012628).
- lightnvm: pblk: fix two sleep-in-atomic-context bugs
(bnc#1012628).
- lightnvm: pblk: fix race condition on metadata I/O
(bnc#1012628).
- spi: spi-ep93xx: Use dma_data_direction for
ep93xx_spi_dma_{finish,prepare} (bnc#1012628).
- perf tools: Free temporary 'sys' string in read_event_files()
(bnc#1012628).
- perf tools: Cleanup trace-event-info 'tdata' leak (bnc#1012628).
- perf tools: Free 'printk' string in parse_ftrace_printk()
(bnc#1012628).
- perf strbuf: Match va_{add,copy} with va_end (bnc#1012628).
- cpupower: Fix coredump on VMWare (bnc#1012628).
- bcache: Populate writeback_rate_minimum attribute (bnc#1012628).
- mmc: sdhci-pci-o2micro: Add quirk for O2 Micro dev 0x8620 rev
0x01 (bnc#1012628).
- sdhci: acpi: add free_slot callback (bnc#1012628).
- mtd: rawnand: denali: set SPARE_AREA_SKIP_BYTES register to
8 if unset (bnc#1012628).
- iwlwifi: pcie: avoid empty free RB queue (bnc#1012628).
- iwlwifi: mvm: clear HW_RESTART_REQUESTED when stopping the
interface (bnc#1012628).
- iwlwifi: mvm: check for n_profiles validity in EWRD ACPI
(bnc#1012628).
- x86/olpc: Indicate that legacy PC XO-1 platform should not
register RTC (bnc#1012628).
- wlcore: Fix BUG with clear completion on timeout (bnc#1012628).
- ACPI/PPTT: Handle architecturally unknown cache types
(bnc#1012628).
- ACPI / PM: LPIT: Register sysfs attributes based on FADT
(bnc#1012628).
- ACPI / processor: Fix the return value of
acpi_processor_ids_walk() (bnc#1012628).
- cpufreq: dt: Try freeing static OPPs only if we have added them
(bnc#1012628).
- x86/intel_rdt: Show missing resctrl mount options (bnc#1012628).
- mtd: rawnand: atmel: Fix potential NULL pointer dereference
(bnc#1012628).
- nvme: call nvme_complete_rq when nvmf_check_ready fails for
mpath I/O (bnc#1012628).
- ath10k: fix tx status flag setting for management frames
(bnc#1012628).
- signal: Introduce COMPAT_SIGMINSTKSZ for use in
compat_sys_sigaltstack (bnc#1012628).
- ice: fix changing of ring descriptor size (ethtool -G)
(bnc#1012628).
- ice: update fw version check logic (bnc#1012628).
- net: hns3: Fix for packet buffer setting bug (bnc#1012628).
- Bluetooth: btbcm: Add entry for BCM4335C0 UART bluetooth
(bnc#1012628).
- Bluetooth: hci_qca: Remove hdev dereference in qca_close()
(bnc#1012628).
- efi/x86: Call efi_parse_options() from efi_main() (bnc#1012628).
- x86: boot: Fix EFI stub alignment (bnc#1012628).
- net: hns3: Add nic state check before calling
netif_tx_wake_queue (bnc#1012628).
- net: hns3: Fix ets validate issue (bnc#1012628).
- pinctrl: sunxi: fix 'pctrl->functions' allocation in
sunxi_pinctrl_build_state (bnc#1012628).
- pinctrl: qcom: spmi-mpp: Fix err handling of pmic_mpp_set_mux
(bnc#1012628).
- brcmfmac: fix for proper support of 160MHz bandwidth
(bnc#1012628).
- net: hns3: Check hdev state when getting link status
(bnc#1012628).
- net: hns3: Set STATE_DOWN bit of hdev state when stopping net
(bnc#1012628).
- net: phy: phylink: ensure the carrier is off when starting
phylink (bnc#1012628).
- block, bfq: correctly charge and reset entity service in all
cases (bnc#1012628).
- arm64: entry: Allow handling of undefined instructions from EL1
(bnc#1012628).
- kprobes: Return error if we fail to reuse kprobe instead of
BUG_ON() (bnc#1012628).
- spi: gpio: No MISO does not imply no RX (bnc#1012628).
- ACPI / LPSS: Add alternative ACPI HIDs for Cherry Trail DMA
controllers (bnc#1012628).
- pinctrl: qcom: spmi-mpp: Fix drive strength setting
(bnc#1012628).
- bpf/verifier: fix verifier instability (bnc#1012628).
- failover: Add missing check to validate 'slave_dev' in
net_failover_slave_unregister (bnc#1012628).
- perf tests: Fix record+probe_libc_inet_pton.sh without ping's
debuginfo (bnc#1012628).
- pinctrl: spmi-mpp: Fix pmic_mpp_config_get() to be compliant
(bnc#1012628).
- pinctrl: ssbi-gpio: Fix pm8xxx_pin_config_get() to be compliant
(bnc#1012628).
- net: hns3: Preserve vlan 0 in hardware table (bnc#1012628).
- net: hns3: Fix ping exited problem when doing lp selftest
(bnc#1012628).
- net: hns3: Fix for vf vlan delete failed problem (bnc#1012628).
- net: dsa: mv88e6xxx: Fix writing to a PHY page (bnc#1012628).
- mt76x2u: run device cleanup routine if resume fails
(bnc#1012628).
- rsi: fix memory alignment issue in ARM32 platforms
(bnc#1012628).
- libertas_tf: prevent underflow in process_cmdrequest()
(bnc#1012628).
- iwlwifi: mvm: fix BAR seq ctrl reporting (bnc#1012628).
- gpio: brcmstb: allow 0 width GPIO banks (bnc#1012628).
- ixgbe: disallow IPsec Tx offload when in SR-IOV mode
(bnc#1012628).
- ixgbevf: VF2VF TCP RSS (bnc#1012628).
- wil6210: fix RX buffers release and unmap (bnc#1012628).
- ath10k: schedule hardware restart if WMI command times out
(bnc#1012628).
- libata: Apply NOLPM quirk for SAMSUNG MZ7TD256HAFV-000L9
(bnc#1012628).
- thermal: rcar_thermal: Prevent doing work after unbind
(bnc#1012628).
- thermal: da9062/61: Prevent hardware access during system
suspend (bnc#1012628).
- cifs: fix a credits leak for compund commands (bnc#1012628).
- cgroup, netclassid: add a preemption point to write_classid
(bnc#1012628).
- net: stmmac: dwmac-sun8i: fix OF child-node lookup
(bnc#1012628).
- f2fs: fix to account IO correctly for cgroup writeback
(bnc#1012628).
- MD: Memory leak when flush bio size is zero (bnc#1012628).
- md: fix memleak for mempool (bnc#1012628).
- of: Add missing exports of node name compare functions
(bnc#1012628).
- scsi: esp_scsi: Track residual for PIO transfers (bnc#1012628).
- scsi: ufs: Schedule clk gating work on correct queue
(bnc#1012628).
- UAPI: ndctl: Fix g++-unsupported initialisation in headers
(bnc#1012628).
- KVM: nVMX: Clear reserved bits of #DB exit qualification
(bnc#1012628).
- scsi: megaraid_sas: fix a missing-check bug (bnc#1012628).
- RDMA/core: Do not expose unsupported counters (bnc#1012628).
- RDMA/cm: Respect returned status of cm_init_av_by_path
(bnc#1012628).
- IB/ipoib: Clear IPCB before icmp_send (bnc#1012628).
- RDMA/bnxt_re: Avoid accessing nq->bar_reg_iomem in failure case
(bnc#1012628).
- RDMA/bnxt_re: Fix recursive lock warning in debug kernel
(bnc#1012628).
- usb: host: ohci-at91: fix request of irq for optional gpio
(bnc#1012628).
- PCI: mediatek: Fix mtk_pcie_find_port() endpoint/port matching
logic (bnc#1012628).
- PCI: cadence: Use AXI region 0 to signal interrupts from EP
(bnc#1012628).
- usb: typec: tcpm: Report back negotiated PPS voltage and current
(bnc#1012628).
- tpm: suppress transmit cmd error logs when TPM 1.2 is
disabled/deactivated (bnc#1012628).
- f2fs: clear PageError on the read path (bnc#1012628).
- Drivers: hv: vmbus: Use cpumask_var_t for on-stack cpu mask
(bnc#1012628).
- VMCI: Resource wildcard match fixed (bnc#1012628).
- PCI / ACPI: Enable wake automatically for power managed bridges
(bnc#1012628).
- xprtrdma: Reset credit grant properly after a disconnect
(bnc#1012628).
- irqchip/pdc: Setup all edge interrupts as rising edge at GIC
(bnc#1012628).
- usb: dwc2: fix call to vbus supply exit routine, call it
unlocked (bnc#1012628).
- usb: dwc2: fix a race with external vbus supply (bnc#1012628).
- usb: gadget: udc: atmel: handle at91sam9rl PMC (bnc#1012628).
- ext4: fix argument checking in EXT4_IOC_MOVE_EXT (bnc#1012628).
- MD: fix invalid stored role for a disk (bnc#1012628).
- PCI: cadence: Correct probe behaviour when failing to get PHY
(bnc#1012628).
- nvmem: check the return value of nvmem_add_cells()
(bnc#1012628).
- xhci: Avoid USB autosuspend when resuming USB2 ports
(bnc#1012628).
- scsi: qla2xxx: Fix recursive mailbox timeout (bnc#1012628).
- f2fs: fix to recover inode's crtime during POR (bnc#1012628).
- f2fs: fix to recover inode's i_flags during POR (bnc#1012628).
- PCI/MSI: Warn and return error if driver enables MSI/MSI-X twice
(bnc#1012628).
- coresight: etb10: Fix handling of perf mode (bnc#1012628).
- PCI: dwc: pci-dra7xx: Enable errata i870 for both EP and RC mode
(bnc#1012628).
- crypto: caam - fix implicit casts in endianness helpers
(bnc#1012628).
- usb: chipidea: Prevent unbalanced IRQ disable (bnc#1012628).
- Smack: ptrace capability use fixes (bnc#1012628).
- driver/dma/ioat: Call del_timer_sync() without holding prep_lock
(bnc#1012628).
- ASoC: AMD: Fix capture unstable in beginning for some runs
(bnc#1012628).
- firmware: coreboot: Unmap ioregion after device population
(bnc#1012628).
- IB/ipoib: Use dev_port to expose network interface port numbers
(bnc#1012628).
- IB/mlx5: Allow transition of DCI QP to reset (bnc#1012628).
- uio: ensure class is registered before devices (bnc#1012628).
- scsi: lpfc: Correct soft lockup when running mds diagnostics
(bnc#1012628).
- scsi: lpfc: Correct race with abort on completion path
(bnc#1012628).
- f2fs: avoid sleeping under spin_lock (bnc#1012628).
- f2fs: report error if quota off error during umount
(bnc#1012628).
- signal: Always deliver the kernel's SIGKILL and SIGSTOP to a
pid namespace init (bnc#1012628).
- f2fs: fix to flush all dirty inodes recovered in readonly fs
(bnc#1012628).
- mfd: menelaus: Fix possible race condition and leak
(bnc#1012628).
- dmaengine: dma-jz4780: Return error if not probed from DT
(bnc#1012628).
- IB/rxe: fix for duplicate request processing and ack psns
(bnc#1012628).
- ALSA: hda: Check the non-cached stream buffers more explicitly
(bnc#1012628).
- cpupower: Fix AMD Family 0x17 msr_pstate size (bnc#1012628).
- Revert "f2fs: fix to clear PG_checked flag in set_page_dirty()"
(bnc#1012628).
- f2fs: fix missing up_read (bnc#1012628).
- f2fs: fix to recover cold bit of inode block during POR
(bnc#1012628).
- f2fs: fix to account IO correctly (bnc#1012628).
- OPP: Free OPP table properly on performance state irregularities
(bnc#1012628).
- ARM: dts: exynos: Convert exynos5250.dtsi to opp-v2 bindings
(bnc#1012628).
- ARM: dts: exynos: Mark 1 GHz CPU OPP as suspend OPP on
Exynos5250 (bnc#1012628).
- xen-swiotlb: use actually allocated size on check physical
continuous (bnc#1012628).
- tpm: Restore functionality to xen vtpm driver (bnc#1012628).
- xen/blkfront: avoid NULL blkfront_info dereference on device
removal (bnc#1012628).
- xen/balloon: Support xend-based toolstack (bnc#1012628).
- xen: fix race in xen_qlock_wait() (bnc#1012628).
- xen: make xen_qlock_wait() nestable (bnc#1012628).
- xen/pvh: increase early stack size (bnc#1012628).
- xen/pvh: don't try to unplug emulated devices (bnc#1012628).
- libertas: don't set URB_ZERO_PACKET on IN USB transfer
(bnc#1012628).
- usbip:vudc: BUG kmalloc-2048 (Not tainted): Poison overwritten
(bnc#1012628).
- usb: typec: tcpm: Fix APDO PPS order checking to be based on
voltage (bnc#1012628).
- usb: gadget: udc: renesas_usb3: Fix b-device mode for
"workaround" (bnc#1012628).
- mt76: mt76x2: fix multi-interface beacon configuration
(bnc#1012628).
- iwlwifi: mvm: check return value of rs_rate_from_ucode_rate()
(bnc#1012628).
- net/ipv4: defensive cipso option parsing (bnc#1012628).
- dmaengine: ppc4xx: fix off-by-one build failure (bnc#1012628).
- scsi: sched/wait: Add wait_event_lock_irq_timeout for
TASK_UNINTERRUPTIBLE usage (bnc#1012628).
- scsi: target: Fix target_wait_for_sess_cmds breakage with
active signals (bnc#1012628).
- libnvdimm: Hold reference on parent while scheduling async init
(bnc#1012628).
- libnvdimm, region: Fail badblocks listing for inactive regions
(bnc#1012628).
- libnvdimm, pmem: Fix badblocks population for 'raw' namespaces
(bnc#1012628).
- ASoC: intel: skylake: Add missing break in skl_tplg_get_token()
(bnc#1012628).
- ASoC: sta32x: set ->component pointer in private struct
(bnc#1012628).
- IB/mlx5: Fix MR cache initialization (bnc#1012628).
- IB/rxe: Revise the ib_wr_opcode enum (bnc#1012628).
- jbd2: fix use after free in jbd2_log_do_checkpoint()
(bnc#1012628).
- gfs2_meta: ->mount() can get NULL dev_name (bnc#1012628).
- ext4: fix EXT4_IOC_SWAP_BOOT (bnc#1012628).
- ext4: initialize retries variable in
ext4_da_write_inline_data_begin() (bnc#1012628).
- ext4: fix setattr project check in fssetxattr ioctl
(bnc#1012628).
- ext4: propagate error from dquot_initialize() in
EXT4_IOC_FSSETXATTR (bnc#1012628).
- ext4: fix use-after-free race in ext4_remount()'s error path
(bnc#1012628).
- selinux: fix mounting of cgroup2 under older policies
(bnc#1012628).
- HID: wacom: Work around HID descriptor bug in DTK-2451 and
DTH-2452 (bnc#1012628).
- HID: hiddev: fix potential Spectre v1 (bnc#1012628).
- EDAC, amd64: Add Family 17h, models 10h-2fh support
(bnc#1012628).
- EDAC, {i7core,sb,skx}_edac: Fix uncorrected error counting
(bnc#1012628).
- EDAC, skx_edac: Fix logical channel intermediate decoding
(bnc#1012628).
- ARM: dts: dra7: Fix up unaligned access setting for PCIe EP
(bnc#1012628).
- PCI/ASPM: Fix link_state teardown on device removal
(bnc#1012628).
- PCI: Add Device IDs for Intel GPU "spurious interrupt" quirk
(bnc#1012628).
- signal/GenWQE: Fix sending of SIGKILL (bnc#1012628).
- signal: Guard against negative signal numbers in
copy_siginfo_from_user32 (bnc#1012628).
- crypto: lrw - Fix out-of bounds access on counter overflow
(bnc#1012628).
- crypto: tcrypt - fix ghash-generic speed test (bnc#1012628).
- crypto: aesni - don't use GFP_ATOMIC allocation if the request
doesn't cross a page in gcm (bnc#1012628).
- crypto: morus/generic - fix for big endian systems
(bnc#1012628).
- crypto: aegis/generic - fix for big endian systems
(bnc#1012628).
- crypto: speck - remove Speck (bnc#1012628).
- mm: /proc/pid/smaps_rollup: fix NULL pointer deref in
smaps_pte_range() (bnc#1012628).
- userfaultfd: disable irqs when taking the waitqueue lock
(bnc#1012628).
- ima: fix showing large 'violations' or
'runtime_measurements_count' (bnc#1012628).
- ima: open a new file instance if no read permissions
(bnc#1012628).
- hugetlbfs: dirty pages as they are added to pagecache
(bnc#1012628).
- mm/rmap: map_pte() was not handling private ZONE_DEVICE page
properly (bnc#1012628).
- mm/hmm: fix race between hmm_mirror_unregister() and
mmu_notifier callback (bnc#1012628).
- KVM: arm/arm64: Ensure only THP is candidate for adjustment
(bnc#1012628).
- KVM: arm64: Fix caching of host MDCR_EL2 value (bnc#1012628).
- kbuild: fix kernel/bounds.c 'W=1' warning (bnc#1012628).
- iio: ad5064: Fix regulator handling (bnc#1012628).
- iio: adc: imx25-gcq: Fix leak of device_node in
mx25_gcq_setup_cfgs() (bnc#1012628).
- iio: adc: at91: fix acking DRDY irq on simple conversions
(bnc#1012628).
- iio: adc: at91: fix wrong channel number in triggered buffer
mode (bnc#1012628).
- w1: omap-hdq: fix missing bus unregister at removal
(bnc#1012628).
- smb3: allow stats which track session and share reconnects to
be reset (bnc#1012628).
- smb3: do not attempt cifs operation in smb3 query info error
path (bnc#1012628).
- smb3: on kerberos mount if server doesn't specify auth type
use krb5 (bnc#1012628).
- printk: Fix panic caused by passing log_buf_len to command line
(bnc#1012628).
- genirq: Fix race on spurious interrupt detection (bnc#1012628).
- tpm: fix response size validation in tpm_get_random()
(bnc#1012628).
- NFC: nfcmrvl_uart: fix OF child-node lookup (bnc#1012628).
- NFSv4.1: Fix the r/wsize checking (bnc#1012628).
- nfs: Fix a missed page unlock after pg_doio() (bnc#1012628).
- nfsd: correctly decrement odstate refcount in error path
(bnc#1012628).
- nfsd: Fix an Oops in free_session() (bnc#1012628).
- lockd: fix access beyond unterminated strings in prints
(bnc#1012628).
- dm ioctl: harden copy_params()'s copy_from_user() from malicious
users (bnc#1012628).
- dm zoned: fix metadata block ref counting (bnc#1012628).
- dm zoned: fix various dmz_get_mblock() issues (bnc#1012628).
- media: ov7670: make "xclk" clock optional (bnc#1012628).
- fsnotify: Fix busy inodes during unmount (bnc#1012628).
- powerpc64/module elfv1: Set opd addresses after module
relocation (bnc#1012628).
- powerpc/msi: Fix compile error on mpc83xx (bnc#1012628).
- powerpc/tm: Fix HFSCR bit for no suspend case (bnc#1012628).
- powerpc/64s/hash: Do not use PPC_INVALIDATE_ERAT on CPUs before
POWER9 (bnc#1012628).
- MIPS: OCTEON: fix out of bounds array access on CN68XX
(bnc#1012628).
- rtc: ds1307: fix ds1339 wakealarm support (bnc#1012628).
- rtc: cmos: Fix non-ACPI undefined reference to
`hpet_rtc_interrupt' (bnc#1012628).
- rtc: cmos: Remove the `use_acpi_alarm' module parameter for
!ACPI (bnc#1012628).
- power: supply: twl4030-charger: fix OF sibling-node lookup
(bnc#1012628).
- ocxl: Fix access to the AFU Descriptor Data (bnc#1012628).
- iommu/arm-smmu: Ensure that page-table updates are visible
before TLBI (bnc#1012628).
- TC: Set DMA masks for devices (bnc#1012628).
- net: bcmgenet: fix OF child-node lookup (bnc#1012628).
- media: v4l2-tpg: fix kernel oops when enabling HFLIP and OSD
(bnc#1012628).
- Revert "media: dvbsky: use just one mutex for serializing
device R/W ops" (bnc#1012628).
- kgdboc: Passing ekgdboc to command line causes panic
(bnc#1012628).
- remoteproc: qcom: q6v5: Propagate EPROBE_DEFER (bnc#1012628).
- media: cec: make cec_get_edid_spa_location() an inline function
(bnc#1012628).
- media: cec: integrate cec_validate_phys_addr() in cec-api.c
(bnc#1012628).
- xen: fix xen_qlock_wait() (bnc#1012628).
- xen: remove size limit of privcmd-buf mapping interface
(bnc#1012628).
- xen-blkfront: fix kernel panic with negotiate_mq error path
(bnc#1012628).
- media: cec: add new tx/rx status bits to detect aborts/timeouts
(bnc#1012628).
- media: cec: fix the Signal Free Time calculation (bnc#1012628).
- media: cec: forgot to cancel delayed work (bnc#1012628).
- media: em28xx: use a default format if TRY_FMT fails
(bnc#1012628).
- media: tvp5150: avoid going past array on v4l2_querymenu()
(bnc#1012628).
- media: em28xx: fix input name for Terratec AV 350 (bnc#1012628).
- media: em28xx: make v4l2-compliance happier by starting sequence
on zero (bnc#1012628).
- media: em28xx: fix handler for vidioc_s_input() (bnc#1012628).
- media: adv7604: when the EDID is cleared, unconfigure CEC as
well (bnc#1012628).
- media: adv7842: when the EDID is cleared, unconfigure CEC as
well (bnc#1012628).
- drm/mediatek: fix OF sibling-node lookup (bnc#1012628).
- media: media colorspaces*.rst: rename AdobeRGB to opRGB
(bnc#1012628).
- media: replace ADOBERGB by OPRGB (bnc#1012628).
- media: hdmi.h: rename ADOBE_RGB to OPRGB and ADOBE_YCC to OPYCC
(bnc#1012628).
- arm64: lse: remove -fcall-used-x0 flag (bnc#1012628).
- rpmsg: smd: fix memory leak on channel create (bnc#1012628).
- Cramfs: fix abad comparison when wrap-arounds occur
(bnc#1012628).
- ARM: dts: socfpga: Fix SDRAM node address for Arria10
(bnc#1012628).
- arm64: dts: stratix10: Correct System Manager register size
(bnc#1012628).
- soc: qcom: rmtfs-mem: Validate that scm is available
(bnc#1012628).
- soc/tegra: pmc: Fix child-node lookup (bnc#1012628).
- selftests/ftrace: Fix synthetic event test to delete event
correctly (bnc#1012628).
- selftests/powerpc: Fix ptrace tm failure (bnc#1012628).
- tracing: Return -ENOENT if there is no target synthetic event
(bnc#1012628).
- btrfs: qgroup: Avoid calling qgroup functions if qgroup is
not enabled (bnc#1012628).
- btrfs: Handle owner mismatch gracefully when walking up tree
(bnc#1012628).
- btrfs: locking: Add extra check in btrfs_init_new_buffer()
to avoid deadlock (bnc#1012628).
- btrfs: fix error handling in free_log_tree (bnc#1012628).
- btrfs: fix error handling in btrfs_dev_replace_start
(bnc#1012628).
- btrfs: Enhance btrfs_trim_fs function to handle error better
(bnc#1012628).
- btrfs: Ensure btrfs_trim_fs can trim the whole filesystem
(bnc#1012628).
- btrfs: iterate all devices during trim, instead of
fs_devices::alloc_list (bnc#1012628).
- btrfs: don't attempt to trim devices that don't support it
(bnc#1012628).
- btrfs: keep trim from interfering with transaction commits
(bnc#1012628).
- btrfs: wait on caching when putting the bg cache (bnc#1012628).
- Btrfs: don't clean dirty pages during buffered writes
(bnc#1012628).
- btrfs: release metadata before running delayed refs
(bnc#1012628).
- btrfs: protect space cache inode alloc with GFP_NOFS
(bnc#1012628).
- btrfs: reset max_extent_size on clear in a bitmap (bnc#1012628).
- btrfs: make sure we create all new block groups (bnc#1012628).
- Btrfs: fix warning when replaying log after fsync of a tmpfile
(bnc#1012628).
- Btrfs: fix wrong dentries after fsync of file that got its
parent replaced (bnc#1012628).
- btrfs: qgroup: Dirty all qgroups before rescan (bnc#1012628).
- Btrfs: fix null pointer dereference on compressed write path
error (bnc#1012628).
- Btrfs: fix assertion on fsync of regular file when using
no-holes feature (bnc#1012628).
- Btrfs: fix deadlock when writing out free space caches
(bnc#1012628).
- btrfs: reset max_extent_size properly (bnc#1012628).
- btrfs: set max_extent_size properly (bnc#1012628).
- btrfs: don't use ctl->free_space for max_extent_size
(bnc#1012628).
- btrfs: only free reserved extent if we didn't insert it
(bnc#1012628).
- btrfs: fix insert_reserved error handling (bnc#1012628).
- btrfs: don't run delayed_iputs in commit (bnc#1012628).
- btrfs: move the dio_sem higher up the callchain (bnc#1012628).
- Btrfs: fix use-after-free during inode eviction (bnc#1012628).
- Btrfs: fix use-after-free when dumping free space (bnc#1012628).
- net: sched: Remove TCA_OPTIONS from policy (bnc#1012628).
- userns: also map extents in the reverse map to kernel IDs
(bnc#1012628).
- bpf: wait for running BPF programs when updating map-in-map
(bnc#1012628).
- vga_switcheroo: Fix missing gpu_bound call at audio client
registration (bnc#1012628).
- MD: fix invalid stored role for a disk - try2 (bnc#1012628).
- Refresh
patches.suse/0001-x86-speculation-Add-basic-IBRS-support-infrastructur.patch.
- commit 8adee6e
-------------------------------------------------------------------
Tue Nov 13 20:28:37 CET 2018 - msuchanek@suse.de
- Add kernel-subpackage-build.spec (FATE#326579).
- add kernel-subpackage-build.spec.in and support scripts
- hook it in mkspec
- extend the mechanism that copies dependencies inside
kernel-binary.spec.in from kernel-%build_flavor to
kernel-%build_flavor-base to also handle
kernel-subpackage-build.spec.in using BINARY DEPS marker.
- expand %name in kernel-%build_flavor so the dependencies are expanded
correctly in kernel-subpackage-build.spec.in
- commit 9b3ca32
-------------------------------------------------------------------
Mon Nov 12 07:01:19 CET 2018 - mkubecek@suse.cz
- Update to 4.20-rc2
- Eliminated 1 patch
- Config changes:
- I2C:
I2C_NVIDIA_GPU=m
- USB:
UCSI_CCG=m
- commit 1c08d7f
-------------------------------------------------------------------
Sun Nov 11 19:26:40 CET 2018 - afaerber@suse.de
- config: arm64: Update to 4.20-rc1
- commit 2d02cd8
-------------------------------------------------------------------
Sun Nov 11 10:17:28 CET 2018 - jslaby@suse.cz
- vt: fix broken display when running aptitude (bnc#1114836).
- commit 5bec309
-------------------------------------------------------------------
Thu Nov 8 08:13:47 CET 2018 - jslaby@suse.cz
- doc/README.SUSE: correct GIT url
No more gitorious, github we use.
- commit 31864f3
-------------------------------------------------------------------
Tue Nov 6 14:08:44 CET 2018 - mkubecek@suse.cz
- config: reenable BPFILTER_UMH on ppc64
- commit 46cb36e
-------------------------------------------------------------------
Tue Nov 6 13:33:13 CET 2018 - agraf@suse.de
- Remove Cell/PS3 support from ppc64 kernel (boo#1114846)
- commit c336d64
-------------------------------------------------------------------
Mon Nov 5 18:28:38 CET 2018 - mkubecek@suse.cz
- scripts/mkmakefile: honor second argument.
- commit 78325a6
-------------------------------------------------------------------
Mon Nov 5 18:22:18 CET 2018 - mkubecek@suse.cz
- rpm/kernel-binary.spec.in: add macros.s into kernel-*-devel
Starting with 4.20-rc1, file arch/*/kernel/macros.s is needed to build out
of tree modules. Add it to kernel-${flavor}-devel packages if it exists.
- commit 09d14c8
-------------------------------------------------------------------
Mon Nov 5 14:16:00 CET 2018 - mkubecek@suse.cz
- series.conf: delete an obsolete comment
- commit c3bd57d
-------------------------------------------------------------------
Mon Nov 5 08:30:26 CET 2018 - jslaby@suse.cz
- Refresh
patches.suse/0005-x86-enter-Use-IBRS-on-syscall-and-interrupts.patch.
Adapt to 4.20.
- commit dcaec93
-------------------------------------------------------------------
Mon Nov 5 08:18:35 CET 2018 - jslaby@suse.cz
- Linux 4.19.1 (bnc#1012628).
- net: bridge: remove ipv6 zero address check in mcast queries
(bnc#1012628).
- sparc64: Wire up compat getpeername and getsockname
(bnc#1012628).
- sparc64: Make corrupted user stacks more debuggable
(bnc#1012628).
- sparc64: Export __node_distance (bnc#1012628).
- sctp: check policy more carefully when getting pr status
(bnc#1012628).
- Revert "be2net: remove desc field from be_eq_obj" (bnc#1012628).
- r8169: fix broken Wake-on-LAN from S5 (poweroff) (bnc#1012628).
- net: Properly unlink GRO packets on overflow (bnc#1012628).
- net: drop skb on failure in ip_check_defrag() (bnc#1012628).
- mlxsw: core: Fix devlink unregister flow (bnc#1012628).
- mlxsw: spectrum_switchdev: Don't ignore deletions of learned
MACs (bnc#1012628).
- net/smc: fix smc_buf_unuse to use the lgr pointer (bnc#1012628).
- net/ipv6: Allow onlink routes to have a device mismatch if it
is the default route (bnc#1012628).
- openvswitch: Fix push/pop ethernet validation (bnc#1012628).
- bonding: fix length of actor system (bnc#1012628).
- vhost: Fix Spectre V1 vulnerability (bnc#1012628).
- rtnetlink: Disallow FDB configuration for non-Ethernet device
(bnc#1012628).
- Revert "net: simplify sock_poll_wait" (bnc#1012628).
- net: udp: fix handling of CHECKSUM_COMPLETE packets
(bnc#1012628).
- net: stmmac: Fix stmmac_mdio_reset() when building stmmac as
modules (bnc#1012628).
- net: sched: gred: pass the right attribute to
gred_change_table_def() (bnc#1012628).
- net/mlx5e: fix csum adjustments caused by RXFCS (bnc#1012628).
- ipv6/ndisc: Preserve IPv6 control buffer if protocol error
handlers are called (bnc#1012628).
- bridge: do not add port to router list when receives query
with source 0.0.0.0 (bnc#1012628).
- commit 57135d7
-------------------------------------------------------------------
Mon Nov 5 05:38:24 CET 2018 - mkubecek@suse.cz
- Update to 4.20-rc1
- ARM configs need updating
- disabled (needs refresh):
patches.suse/0005-x86-enter-Use-IBRS-on-syscall-and-interrupts.patch
- Config changes:
- General:
- PSI=y
- Networking:
- NFT_XFRM=m
- NET_SCH_TAPRIO=m
- BATMAN_ADV_TRACING=n
- NCSI_OEM_CMD_GET_MAC=y
- EEPROM_EE1004=m
- SCSI_UFS_BSG=y
- SCSI_MYRB=m
- SCSI_MYRS=m
- IXGBE_IPSEC=y
- IXGBEVF_IPSEC=y
- IGC=m
- NI_XGE_MANAGEMENT_ENET=m
- MT76x0E=m
- File systems:
- EROFS_FS_IO_MAX_RETRIES=5 (default)
- AFS_DEBUG_CURSOR=n
- CONFIG_UBIFS_FS_AUTHENTICATION=y
- Crypto:
- CRYPTO_OFB=m
- CRYPTO_STATS=n
- ASYMMETRIC_TPM_KEY_SUBTYPE=m
- PKCS8_PRIVATE_KEY_PARSER=m
- TPM_KEY_PARSER=m
- Graphics:
- VIDEO_VICODEC=m
- DRM_FBDEV_LEAK_PHYS_SMEM=n
- DRM_I915_DEBUG_RUNTIME_PM=n
- DRM_TOSHIBA_TC358764=n
- DRM_TI_SN65DSI86=n
- Sound:
- SND_SOC_INTEL_KBL_DA7219_MAX98927_MACH=m
- SND_SOC_INTEL_SKL_HDA_DSP_GENERIC_MACH=m
- SND_SOC_MAX98088=n
- SND_SOC_PCM3060_I2C=n
- SND_SOC_PCM3060_SPI=n
- SND_SOC_NAU8822=n
- Input devices:
- HID_BIGBEN_FF=m
- Platform:
- LG_LAPTOP=m
- INTEL_ATOMISP2_PM=m
- IIO:
- ADXL372_SPI=n
- ADXL372_I2C=n
- MCP3911=n
- QCOM_SPMI_ADC5=n
- LTC1660=n
- VL53L0X_I2C=m
- Misc drivers:
- UDMABUF=y
- MFD_AT91_USART=n
- LEDS_AN30259A=n
- LEDS_TRIGGER_PATTERN=m
- PHY_CADENCE_DP=m
- STM_PROTO_BASIC=m
- STM_PROTO_SYS_T=m
- Testing:
- TEST_XARRAY=n
- TEST_MEMCAT_P=n
- x86:
- X86_CPA_STATISTICS=n (y for -debug)
- i386:
- MSCC_OCELOT_SWITCH_OCELOT=m
- ppc64, ppc64le:
- PAPR_SCM=m
- PCI_P2PDMA=y
- STACKPROTECTOR=y
- STACKPROTECTOR_STRONG=n
- BLK_DEV_PMEM=m
- ND_BLK=m
- BTT=y
- NVDIMM_PFN=y
- NVDIMM_DAX=y
- OF_PMEM=m
- DEV_DAX_PMEM=m
- FAIL_FUNCTION=n
- ENA_ETHERNET=m
- s390:
- VMAP_STACK=y
- S390_AP_IOMMU=n
- ZCRYPT_MULTIDEVNODES=y
- KASAN=n
- */debug:
- INTEL_IOMMU_DEBUGFS=y
- BPF_KPROBE_OVERRIDE=y
- CONFIG_X86_CPA_STATISTICS=y
- CONFIG_CRYPTO_STATS=y
- commit f29310b
-------------------------------------------------------------------
Sun Nov 4 13:36:43 CET 2018 - mkubecek@suse.cz
- rpm: use syncconfig instead of silentoldconfig where available
Since mainline commit 0085b4191f3e ("kconfig: remove silentoldconfig
target"), "make silentoldconfig" can be no longer used. Use "make
syncconfig" instead if available.
- commit a239c6e
-------------------------------------------------------------------
Fri Nov 2 14:52:15 CET 2018 - mkubecek@suse.cz
- series.conf: more descriptive name for network driver section
We have two networking sections in series.conf: one for networking core and
one for network drivers. The latter is among other driver related sections
but it may not be obvious that it is not intended for core networking
patches. Change the label to "Network drivers" to make its purpose more
apparent.
- commit 7968e32
-------------------------------------------------------------------
Fri Nov 2 14:49:13 CET 2018 - mkubecek@suse.cz
- config: enable SCSI_AACRAID on ppc64le and ppc64 (bsc#1114523)
- commit 5f3762b
-------------------------------------------------------------------
Tue Oct 30 13:54:17 CET 2018 - jslaby@suse.cz
- Refresh
patches.suse/netfilter-bridge-define-INT_MIN-INT_MAX-in-userspace.patch.
Update upstream status.
- commit 37417fa
-------------------------------------------------------------------
Mon Oct 29 23:33:58 CET 2018 - jmoreira@suse.de
- Add version information to KLP_SYMBOLS file
- commit f77f8d2
-------------------------------------------------------------------
Mon Oct 29 16:24:59 CET 2018 - tiwai@suse.de
- cdrom: fix improper type cast, which can leat to information
leak (CVE-2018-18710,bsc#1113751).
- commit 6216efb
-------------------------------------------------------------------
Thu Oct 25 22:35:11 CEST 2018 - mwilck@suse.com
- rpm/kernel-binary.spec.in: allow unsupported modules for -extra
(bsc#1111183). SLE-15 and later only.
- commit 0d585a8
-------------------------------------------------------------------
Wed Oct 24 19:37:20 CEST 2018 - mkubecek@suse.cz
- series.conf: move patches.suse/netfilter-bridge-define-INT_MIN-INT_MAX-in-userspace.patch to netfilter section
- commit 7656685
-------------------------------------------------------------------
Wed Oct 24 13:55:42 CEST 2018 - jslaby@suse.cz
- netfilter: bridge: define INT_MIN & INT_MAX in userspace
(4.19 fixes).
- commit e7213f6
-------------------------------------------------------------------
Mon Oct 22 10:12:05 CEST 2018 - mkubecek@suse.cz
- Update to 4.19 final
- Refresh configs
- commit b4c35bb
-------------------------------------------------------------------
Sat Oct 20 19:52:04 CEST 2018 - jslaby@suse.cz
- Linux 4.18.16 (bnc#1012628).
- ARC: build: Don't set CROSS_COMPILE in arch's Makefile
(bnc#1012628).
- ARC: build: Get rid of toolchain check (bnc#1012628).
- mremap: properly flush TLB before releasing the page
(bnc#1012628).
- Revert "vfs: fix freeze protection in mnt_want_write_file()
for overlayfs" (bnc#1012628).
- x86/boot: Fix kexec booting failure in the SEV bit detection
code (bnc#1012628).
- iommu/amd: Return devid as alias for ACPI HID devices
(bnc#1012628).
- powerpc/numa: Use associativity if VPHN hcall is successful
(bnc#1012628).
- powerpc/tm: Avoid possible userspace r1 corruption on reclaim
(bnc#1012628).
- powerpc/tm: Fix userspace r13 corruption (bnc#1012628).
- pinctrl/amd: poll InterruptEnable bits in amd_gpio_irq_set_type
(bnc#1012628).
- iommu/rockchip: Free irqs in shutdown handler (bnc#1012628).
- RISC-V: include linux/ftrace.h in asm-prototypes.h
(bnc#1012628).
- RDMA/bnxt_re: Fix system crash during RDMA resource
initialization (bnc#1012628).
- clocksource/drivers/fttmr010: Fix set_next_event handler
(bnc#1012628).
- net/mlx4: Use cpumask_available for eq->affinity_mask
(bnc#1012628).
- bpf: test_maps, only support ESTABLISHED socks (bnc#1012628).
- bpf: sockmap, fix transition through disconnect without close
(bnc#1012628).
- bpf: sockmap only allow ESTABLISHED sock state (bnc#1012628).
- scsi: sd: don't crash the host on invalid commands
(bnc#1012628).
- scsi: ipr: System hung while dlpar adding primary ipr adapter
back (bnc#1012628).
- drm: mali-dp: Call drm_crtc_vblank_reset on device init
(bnc#1012628).
- scsi: lpfc: Synchronize access to remoteport via rport
(bnc#1012628).
- RDMA/uverbs: Fix validity check for modify QP (bnc#1012628).
- PCI: dwc: Fix scheduling while atomic issues (bnc#1012628).
- qed: Do not add VLAN 0 tag to untagged frames in multi-function
mode (bnc#1012628).
- qed: Fix populating the invalid stag value in multi function
mode (bnc#1012628).
- net/smc: fix sizeof to int comparison (bnc#1012628).
- net/smc: fix non-blocking connect problem (bnc#1012628).
- ravb: do not write 1 to reserved bits (bnc#1012628).
- net: emac: fix fixed-link setup for the RTL8363SB switch
(bnc#1012628).
- selftests: pmtu: properly redirect stderr to /dev/null
(bnc#1012628).
- Input: atakbd - fix Atari CapsLock behaviour (bnc#1012628).
- Input: atakbd - fix Atari keymap (bnc#1012628).
- intel_th: pci: Add Ice Lake PCH support (bnc#1012628).
- scsi: ibmvscsis: Ensure partition name is properly NUL
terminated (bnc#1012628).
- scsi: ibmvscsis: Fix a stringop-overflow warning (bnc#1012628).
- clocksource/drivers/ti-32k: Add CLOCK_SOURCE_SUSPEND_NONSTOP
flag for non-am43 SoCs (bnc#1012628).
- cxgb4: fix abort_req_rss6 struct (bnc#1012628).
- batman-adv: fix hardif_neigh refcount on queue_work() failure
(bnc#1012628).
- batman-adv: fix backbone_gw refcount on queue_work() failure
(bnc#1012628).
- batman-adv: Prevent duplicated tvlv handler (bnc#1012628).
- batman-adv: Prevent duplicated global TT entry (bnc#1012628).
- batman-adv: Prevent duplicated softif_vlan entry (bnc#1012628).
- batman-adv: Prevent duplicated nc_node entry (bnc#1012628).
- batman-adv: Prevent duplicated gateway_node entry (bnc#1012628).
- batman-adv: Fix segfault when writing to sysfs elp_interval
(bnc#1012628).
- batman-adv: Fix segfault when writing to throughput_override
(bnc#1012628).
- batman-adv: Avoid probe ELP information leak (bnc#1012628).
- spi: gpio: Fix copy-and-paste error (bnc#1012628).
- media: af9035: prevent buffer overflow on write (bnc#1012628).
- soundwire: Fix acquiring bus lock twice during master release
(bnc#1012628).
- soundwire: Fix incorrect exit after configuring stream
(bnc#1012628).
- soundwire: Fix duplicate stream state assignment (bnc#1012628).
- commit bd19c86
-------------------------------------------------------------------
Thu Oct 18 10:55:11 CEST 2018 - jslaby@suse.cz
- Linux 4.18.15 (bnc#1012628).
- KVM: PPC: Book3S HV: Avoid crash from THP collapse during
radix page fault (bnc#1012628).
- i2c: i2c-scmi: fix for i2c_smbus_write_block_data (bnc#1012628).
- mm: Preserve _PAGE_DEVMAP across mprotect() calls (bnc#1012628).
- filesystem-dax: Fix dax_layout_busy_page() livelock
(bnc#1012628).
- mm/thp: fix call to mmu_notifier in set_pmd_migration_entry()
v2 (bnc#1012628).
- mm/mmap.c: don't clobber partially overlapping VMA with
MAP_FIXED_NOREPLACE (bnc#1012628).
- arm64: perf: Reject stand-alone CHAIN events for PMUv3
(bnc#1012628).
- pinctrl: mcp23s08: fix irq and irqchip setup order
(bnc#1012628).
- mmc: block: avoid multiblock reads for the last sector in SPI
mode (bnc#1012628).
- drm/nouveau/drm/nouveau: Grab runtime PM ref in
nv50_mstc_detect() (bnc#1012628).
- Input: xpad - add support for Xbox1 PDP Camo series gamepad
(bnc#1012628).
- cgroup: Fix dom_cgrp propagation when enabling threaded mode
(bnc#1012628).
- dm linear: fix linear_end_io conditional definition
(bnc#1012628).
- dm linear: eliminate linear_end_io call if CONFIG_DM_ZONED
disabled (bnc#1012628).
- dm: fix report zone remapping to account for partition offset
(bnc#1012628).
- dm cache: destroy migration_cache if cache target registration
failed (bnc#1012628).
- s390/cio: Fix how vfio-ccw checks pinned pages (bnc#1012628).
- perf script python: Fix export-to-sqlite.py sample columns
(bnc#1012628).
- perf script python: Fix export-to-postgresql.py occasional
failure (bnc#1012628).
- percpu: stop leaking bitmap metadata blocks (bnc#1012628).
- vsprintf: Fix off-by-one bug in bstr_printf() processing
dereferenced pointers (bnc#1012628).
- mach64: detect the dot clock divider correctly on sparc
(bnc#1012628).
- MIPS: VDSO: Always map near top of user memory (bnc#1012628).
- MIPS: Fix CONFIG_CMDLINE handling (bnc#1012628).
- afs: Fix clearance of reply (bnc#1012628).
- afs: Fix afs_server struct leak (bnc#1012628).
- mm/vmstat.c: fix outdated vmstat_text (bnc#1012628).
- mm: slowly shrink slabs with a relatively small number of
objects (bnc#1012628).
- drm/amdkfd: Fix ATS capablity was not reported correctly on
some APUs (bnc#1012628).
- drm/amdkfd: Change the control stack MTYPE from UC to NC on GFX9
(bnc#1012628).
- drm/amdgpu: Fix SDMA HQD destroy error on gfx_v7 (bnc#1012628).
- x86/kvm/lapic: always disable MMIO interface in x2APIC mode
(bnc#1012628).
- pinctrl: cannonlake: Fix gpio base for GPP-E (bnc#1012628).
- clk: x86: Stop marking clocks as CLK_IS_CRITICAL (bnc#1012628).
- clk: x86: add "ether_clk" alias for Bay Trail / Cherry Trail
(bnc#1012628).
- PCI: hv: support reporting serial number as slot information
(bnc#1012628).
- ARM: dts: at91: add new compatibility string for macb on sama5d3
(bnc#1012628).
- net: macb: disable scatter-gather for macb on sama5d3
(bnc#1012628).
- net: ethernet: ti: add missing GENERIC_ALLOCATOR dependency
(bnc#1012628).
- hwmon: (nct6775) Use different register to get fan RPM for fan7
(bnc#1012628).
- stmmac: fix valid numbers of unicast filter entries
(bnc#1012628).
- hwmon: (nct6775) Fix RPM output for fan7 on NCT6796D
(bnc#1012628).
- hwmon: (nct6775) Fix virtual temperature sources for NCT6796D
(bnc#1012628).
- bpf: use __GFP_COMP while allocating page (bnc#1012628).
- bpf: btf: Fix end boundary calculation for type section
(bnc#1012628).
- sound: don't call skl_init_chip() to reset intel skl soc
(bnc#1012628).
- sound: enable interrupt after dma buffer initialization
(bnc#1012628).
- scsi: qla2xxx: Fix an endian bug in fcpcmd_is_corrupted()
(bnc#1012628).
- scsi: iscsi: target: Don't use stack buffer for scatterlist
(bnc#1012628).
- KVM: PPC: Book3S HV: Don't use compound_order to determine
host mapping size (bnc#1012628).
- mfd: omap-usb-host: Fix dts probe of children (bnc#1012628).
- Bluetooth: hci_ldisc: Free rw_semaphore on close (bnc#1012628).
- Bluetooth: Use correct tfm to generate OOB data (bnc#1012628).
- Bluetooth: SMP: Fix trying to use non-existent local OOB data
(bnc#1012628).
- drm/pl111: Make sure of_device_id tables are NULL terminated
(bnc#1012628).
- ASoC: AMD: Ensure reset bit is cleared before configuring
(bnc#1012628).
- Fix cg_read_strcmp() (bnc#1012628).
- hwmon: (nct6775) Fix access to fan pulse registers
(bnc#1012628).
- ASoC: rsnd: don't fallback to PIO mode when -EPROBE_DEFER
(bnc#1012628).
- ASoC: rsnd: adg: care clock-frequency size (bnc#1012628).
- selftests: memory-hotplug: add required configs (bnc#1012628).
- selftests/efivarfs: add required kernel configs (bnc#1012628).
- selftests: add headers_install to lib.mk (bnc#1012628).
- ASoC: q6routing: initialize data correctly (bnc#1012628).
- ASoC: sigmadsp: safeload should not have lower byte limit
(bnc#1012628).
- ASoC: wm8804: Add ACPI support (bnc#1012628).
- ASoC: max98373: Added 10ms sleep after amp software reset
(bnc#1012628).
- selftests: kselftest: Remove outdated comment (bnc#1012628).
- selftests: android: move config up a level (bnc#1012628).
- ASoC: rt5514: Fix the issue of the delay volume applied again
(bnc#1012628).
- ASoC: max98373: Added speaker FS gain cotnrol register to
volatile (bnc#1012628).
- ASoC: dapm: Fix NULL pointer deference on CODEC to CODEC DAIs
(bnc#1012628).
- vxlan: fill ttl inherit info (bnc#1012628).
- r8169: set RX_MULTI_EN bit in RxConfig for 8168F-family chips
(bnc#1012628).
- r8169: fix network stalls due to missing bit TXCFG_AUTO_FIFO
(bnc#1012628).
- qed: Fix shmem structure inconsistency between driver and the
mfw (bnc#1012628).
- net: mscc: fix the frame extraction into the skb (bnc#1012628).
- net/ipv6: stop leaking percpu memory in fib6 info (bnc#1012628).
- net/ipv6: Remove extra call to ip6_convert_metrics for multipath
case (bnc#1012628).
- bnxt_en: get the reduced max_irqs by the ones used by RDMA
(bnc#1012628).
- bnxt_en: Fix enables field in HWRM_QUEUE_COS2BW_CFG request
(bnc#1012628).
- net/mlx5: Check for SQ and not RQ state when modifying hairpin
SQ (bnc#1012628).
- net: ipv4: don't let PMTU updates increase route MTU
(bnc#1012628).
- bnxt_en: Fix VNIC reservations on the PF (bnc#1012628).
- rtnetlink: Fail dump if target netnsid is invalid (bnc#1012628).
- net: dsa: b53: Keep CPU port as tagged in all VLANs
(bnc#1012628).
- inet: make sure to grab rcu_read_lock before using
ireq->ireq_opt (bnc#1012628).
- tcp/dccp: fix lockdep issue when SYN is backlogged
(bnc#1012628).
- sfp: fix oops with ethtool -m (bnc#1012628).
- net: phy: phylink: fix SFP interface autodetection
(bnc#1012628).
- net-ethtool: ETHTOOL_GUFO did not and should not require
CAP_NET_ADMIN (bnc#1012628).
- bnxt_en: don't try to offload VLAN 'modify' action
(bnc#1012628).
- nfp: avoid soft lockups under control message storm
(bnc#1012628).
- net: stmmac: Rework coalesce timer and fix multi-queue races
(bnc#1012628).
- bonding: fix warning message (bnc#1012628).
- bonding: pass link-local packets to bonding master also
(bnc#1012628).
- net/mlx5: E-Switch, Fix out of bound access when setting vport
rate (bnc#1012628).
- net: aquantia: memory corruption on jumbo frames (bnc#1012628).
- net/mlx5e: Set vlan masks for all offloaded TC rules
(bnc#1012628).
- net: dsa: bcm_sf2: Fix unbind ordering (bnc#1012628).
- net/packet: fix packet drop as of virtio gso (bnc#1012628).
- net: stmmac: Fixup the tail addr setting in xmit path
(bnc#1012628).
- tun: napi flags belong to tfile (bnc#1012628).
- tun: initialize napi_mutex unconditionally (bnc#1012628).
- tun: remove unused parameters (bnc#1012628).
- net: qualcomm: rmnet: Fix incorrect allocation flag in receive
path (bnc#1012628).
- net: qualcomm: rmnet: Fix incorrect allocation flag in transmit
(bnc#1012628).
- net: qualcomm: rmnet: Skip processing loopback packets
(bnc#1012628).
- udp: Unbreak modules that rely on external __skb_recv_udp()
availability (bnc#1012628).
- tipc: fix flow control accounting for implicit connect
(bnc#1012628).
- team: Forbid enslaving team device to itself (bnc#1012628).
- sctp: update dst pmtu with the correct daddr (bnc#1012628).
- rtnl: limit IFLA_NUM_TX_QUEUES and IFLA_NUM_RX_QUEUES to 4096
(bnc#1012628).
- rtnetlink: fix rtnl_fdb_dump() for ndmsg header (bnc#1012628).
- commit 5a53676
-------------------------------------------------------------------
Thu Oct 18 10:54:33 CEST 2018 - jslaby@suse.cz
- qmi_wwan: Added support for Gemalto's Cinterion ALASxx WWAN
interface (bnc#1012628).
- qlcnic: fix Tx descriptor corruption on 82xx devices
(bnc#1012628).
- net/usb: cancel pending work when unbinding smsc75xx
(bnc#1012628).
- net: systemport: Fix wake-up interrupt race during resume
(bnc#1012628).
- net: sched: cls_u32: fix hnode refcounting (bnc#1012628).
- net: sched: Add policy validation for tc attributes
(bnc#1012628).
- net: mvpp2: fix a txq_done race condition (bnc#1012628).
- net: mvpp2: Extract the correct ethtype from the skb for tx
csum offload (bnc#1012628).
- netlabel: check for IPV4MASK in addrinfo_get (bnc#1012628).
- net/ipv6: Display all addresses in output of /proc/net/if_inet6
(bnc#1012628).
- net: ipv4: update fnhe_pmtu when first hop's MTU changes
(bnc#1012628).
- net: hns: fix for unmapping problem when SMMU is on
(bnc#1012628).
- net: dsa: bcm_sf2: Call setup during switch resume
(bnc#1012628).
- ipv6: take rcu lock in rawv6_send_hdrinc() (bnc#1012628).
- ipv4: fix use-after-free in ip_cmsg_recv_dstaddr()
(bnc#1012628).
- ip_tunnel: be careful when accessing the inner header
(bnc#1012628).
- ip6_tunnel: be careful when accessing the inner header
(bnc#1012628).
- bonding: avoid possible dead-lock (bnc#1012628).
- bnxt_en: free hwrm resources, if driver probe fails
(bnc#1012628).
- bnxt_en: Fix TX timeout during netpoll (bnc#1012628).
- commit ee9d247
-------------------------------------------------------------------
Mon Oct 15 07:53:23 CEST 2018 - mkubecek@suse.cz
- Update to 4.19-rc8
- commit 2e61ca8
-------------------------------------------------------------------
Sat Oct 13 20:49:01 CEST 2018 - jslaby@suse.cz
- Linux 4.18.14 (bnc#1012628).
- ath10k: fix scan crash due to incorrect length calculation
(bnc#1012628).
- rds: rds_ib_recv_alloc_cache() should call alloc_percpu_gfp()
instead (bnc#1012628).
- ubifs: Check for name being NULL while mounting (bnc#1012628).
- ucma: fix a use-after-free in ucma_resolve_ip() (bnc#1012628).
- tipc: call start and done ops directly in
__tipc_nl_compat_dumpit() (bnc#1012628).
- f2fs: fix invalid memory access (bnc#1012628).
- ARC: clone syscall to setp r25 as thread pointer (bnc#1012628).
- powerpc/lib: fix book3s/32 boot failure due to code patching
(bnc#1012628).
- powerpc: Avoid code patching freed init sections (bnc#1012628).
- of: unittest: Disable interrupt node tests for old world MAC
systems (bnc#1012628).
- tty: Drop tty->count on tty_reopen() failure (bnc#1012628).
- usb: cdc_acm: Do not leak URB buffers (bnc#1012628).
- USB: serial: option: add two-endpoints device-id flag
(bnc#1012628).
- USB: serial: option: improve Quectel EP06 detection
(bnc#1012628).
- USB: serial: simple: add Motorola Tetra MTP6550 id
(bnc#1012628).
- usb: xhci-mtk: resume USB3 roothub first (bnc#1012628).
- xhci: Add missing CAS workaround for Intel Sunrise Point xHCI
(bnc#1012628).
- dm cache: fix resize crash if user doesn't reload cache table
(bnc#1012628).
- dm cache metadata: ignore hints array being too small during
resize (bnc#1012628).
- dm mpath: fix attached_handler_name leak and dangling
hw_handler_name pointer (bnc#1012628).
- PM / core: Clear the direct_complete flag on errors
(bnc#1012628).
- mac80211: fix setting IEEE80211_KEY_FLAG_RX_MGMT for AP mode
keys (bnc#1012628).
- PCI: Reprogram bridge prefetch registers on resume
(bnc#1012628).
- x86/vdso: Fix vDSO syscall fallback asm constraint regression
(bnc#1012628).
- x86/vdso: Only enable vDSO retpolines when enabled and supported
(bnc#1012628).
- selftests/x86: Add clock_gettime() tests to test_vdso
(bnc#1012628).
- x86/vdso: Fix asm constraints on vDSO syscall fallbacks
(bnc#1012628).
- drm: fix use-after-free read in drm_mode_create_lease_ioctl()
(bnc#1012628).
- drm/syncobj: Don't leak fences when WAIT_FOR_SUBMIT is set
(bnc#1012628).
- drm/amdgpu: Fix vce work queue was not cancelled when suspend
(bnc#1012628).
- mac80211: allocate TXQs for active monitor interfaces
(bnc#1012628).
- mmc: slot-gpio: Fix debounce time to use miliseconds again
(bnc#1012628).
- mmc: core: Fix debounce time to use microseconds (bnc#1012628).
- xen-netback: fix input validation in xenvif_set_hash_mapping()
(bnc#1012628).
- fbdev/omapfb: fix omapfb_memory_read infoleak (bnc#1012628).
- clocksource/drivers/timer-atmel-pit: Properly handle error cases
(bnc#1012628).
- pstore/ram: Fix failure-path memory leak in ramoops_init
(bnc#1012628).
- blk-mq: I/O and timer unplugs are inverted in blktrace
(bnc#1012628).
- KVM: VMX: check for existence of secondary exec controls before
accessing (bnc#1012628).
- KVM: x86: fix L1TF's MMIO GFN calculation (bnc#1012628).
- mm/vmstat.c: skip NR_TLB_REMOTE_FLUSH* properly (bnc#1012628).
- mm, thp: fix mlocking THP page with migration enabled
(bnc#1012628).
- mm: migration: fix migration of huge PMD shared pages
(bnc#1012628).
- perf/core: Add sanity check to deal with pinned event failure
(bnc#1012628).
- commit ce1c446
-------------------------------------------------------------------
Fri Oct 12 10:10:00 CEST 2018 - tzimmermann@suse.de
- README: Clean-up trailing whitespace
- commit 06542f9
-------------------------------------------------------------------
Thu Oct 11 15:46:10 CEST 2018 - tzimmermann@suse.de
- README: Update documentation wrt. Patch-mainline
Common practice is to set Patch-mainline to a Linux release tag. More
than 95% of all patches follow this convention. The remaining 5% have
been fixed accordingly in SLE15.
The README file is inconsistent wrt. to the content of Patch-mainline.
In some places it refers to a release tag, in others it refers to a version
number. With this cleanup, it refers to release tags everywhere.
This change is a follow-up for commit 1d81d2699cd3.
- commit 57326f5
-------------------------------------------------------------------
Wed Oct 10 20:47:09 CEST 2018 - jslaby@suse.cz
- Linux 4.18.13 (bnc#1012628).
- Revert "drm/amd/pp: Send khz clock values to DC for smu7/8"
(bnc#1012628).
- dm thin metadata: fix __udivdi3 undefined on 32-bit
(bnc#1012628).
- ixgbe: check return value of napi_complete_done() (bnc#1012628).
- HID: i2c-hid: disable runtime PM operations on hantick touchpad
(bnc#1012628).
- ocfs2: fix locking for res->tracking and dlm->tracking_list
(bnc#1012628).
- proc: restrict kernel stack dumps to root (bnc#1012628).
- tools: hv: fcopy: set 'error' in case an unknown operation
was requested (bnc#1012628).
- Drivers: hv: vmbus: Use get/put_cpu() in vmbus_connect()
(bnc#1012628).
- gpiolib: Free the last requested descriptor (bnc#1012628).
- crypto: caam/jr - fix ablkcipher_edesc pointer arithmetic
(bnc#1012628).
- crypto: mxs-dcp - Fix wait logic on chan threads (bnc#1012628).
- crypto: chelsio - Fix memory corruption in DMA Mapped buffers
(bnc#1012628).
- crypto: qat - Fix KASAN stack-out-of-bounds bug in adf_probe()
(bnc#1012628).
- ALSA: hda/realtek - Cannot adjust speaker's volume on Dell
XPS 27 7760 (bnc#1012628).
- iommu/amd: Clear memory encryption mask from physical address
(bnc#1012628).
- smb2: fix missing files in root share directory listing
(bnc#1012628).
- cpufreq: qcom-kryo: Fix section annotations (bnc#1012628).
- firmware: Always initialize the fw_priv list object
(bnc#1012628).
- firmware: Fix security issue with request_firmware_into_buf()
(bnc#1012628).
- b43: fix DMA error related regression with proprietary firmware
(bnc#1012628).
- sysfs: Do not return POSIX ACL xattrs via listxattr
(bnc#1012628).
- ovl: fix format of setxattr debug (bnc#1012628).
- ovl: fix memory leak on unlink of indexed file (bnc#1012628).
- ovl: fix access beyond unterminated strings (bnc#1012628).
- ovl: set I_CREATING on inode being created (bnc#1012628).
- vfs: don't evict uninitialized inode (bnc#1012628).
- new primitive: discard_new_inode() (bnc#1012628).
- x86/APM: Fix build warning when PROC_FS is not enabled
(bnc#1012628).
- xen: fix GCC warning and remove duplicate EVTCHN_ROW/EVTCHN_COL
usage (bnc#1012628).
- xen: avoid crash in disable_hotplug_cpu (bnc#1012628).
- xen/manage: don't complain about an empty value in control/sysrq
node (bnc#1012628).
- asm-generic: io: Fix ioport_map() for !CONFIG_GENERIC_IOMAP &&
CONFIG_INDIRECT_PIO (bnc#1012628).
- cifs: read overflow in is_valid_oplock_break() (bnc#1012628).
- s390/qeth: don't dump past end of unknown HW header
(bnc#1012628).
- s390/qeth: use vzalloc for QUERY OAT buffer (bnc#1012628).
- r8169: Clear RTL_FLAG_TASK_*_PENDING when clearing
RTL_FLAG_TASK_ENABLED (bnc#1012628).
- drm/amdgpu: fix error handling in amdgpu_cs_user_fence_chunk
(bnc#1012628).
- arm64: jump_label.h: use asm_volatile_goto macro instead of
"asm goto" (bnc#1012628).
- drm/amdgpu: Fix SDMA hang in prt mode v2 (bnc#1012628).
- hexagon: modify ffs() and fls() to return int (bnc#1012628).
- commit c434d5c
-------------------------------------------------------------------
Wed Oct 10 20:44:38 CEST 2018 - jslaby@suse.cz
- arch/hexagon: fix kernel/dma.c build warning (bnc#1012628).
- commit b07518e
-------------------------------------------------------------------
Wed Oct 10 20:43:52 CEST 2018 - jslaby@suse.cz
- netfilter: xt_hashlimit: use s->file instead of s->private
(bnc#1012628).
- netfilter: nfnetlink_queue: Solve the NFQUEUE/conntrack clash
for NF_REPEAT (bnc#1012628).
- dm thin metadata: try to avoid ever aborting transactions
(bnc#1012628).
- sched/topology: Set correct NUMA topology type (bnc#1012628).
- perf/x86/intel: Add support/quirk for the MISPREDICT bit on
Knights Landing CPUs (bnc#1012628).
- net: ena: fix missing calls to READ_ONCE (bnc#1012628).
- net: ena: fix missing lock during device destruction
(bnc#1012628).
- net: ena: fix potential double ena_destroy_device()
(bnc#1012628).
- net: ena: fix device destruction to gracefully free resources
(bnc#1012628).
- net: ena: fix driver when PAGE_SIZE == 64kB (bnc#1012628).
- net: ena: fix surprise unplug NULL dereference kernel crash
(bnc#1012628).
- fs/cifs: suppress a string overflow warning (bnc#1012628).
- r8169: set TxConfig register after TX / RX is enabled, just
like RxConfig (bnc#1012628).
- dm raid: fix RAID leg rebuild errors (bnc#1012628).
- dm raid: fix rebuild of specific devices by updating superblock
(bnc#1012628).
- dm raid: fix stripe adding reshape deadlock (bnc#1012628).
- drm/nouveau/disp/gm200-: enforce identity-mapped SOR assignment
for LVDS/eDP panels (bnc#1012628).
- drm/nouveau/disp: fix DP disable race (bnc#1012628).
- drm/nouveau/TBDdevinit: don't fail when PMU/PRE_OS is missing
from VBIOS (bnc#1012628).
- drm/nouveau/mmu: don't attempt to dereference vmm without
valid instance pointer (bnc#1012628).
- drm/nouveau: fix oops in client init failure path (bnc#1012628).
- dm raid: fix reshape race on small devices (bnc#1012628).
- HID: i2c-hid: Don't reset device upon system resume
(bnc#1012628).
- net/mlx5: Consider PCI domain in search for next dev
(bnc#1012628).
- bnxt_re: Fix couple of memory leaks that could lead to IOMMU
call traces (bnc#1012628).
- nvmet-rdma: fix possible bogus dereference under heavy load
(bnc#1012628).
- USB: yurex: Check for truncation in yurex_read() (bnc#1012628).
- usb: host: xhci-plat: Iterate over parent nodes for finding
quirks (bnc#1012628).
- HID: sensor-hub: Restore fixup for Lenovo ThinkPad Helix 2
sensor hub report (bnc#1012628).
- riscv: Do not overwrite initrd_start and initrd_end
(bnc#1012628).
- RDMA/ucma: check fd type in ucma_migrate_id() (bnc#1012628).
- iio: imu: st_lsm6dsx: take into account ts samples in wm
configuration (bnc#1012628).
- Revert "iio: temperature: maxim_thermocouple: add MAX31856 part"
(bnc#1012628).
- netfilter: nf_tables: release chain in flushing set
(bnc#1012628).
- netfilter: kconfig: nat related expression depend on nftables
core (bnc#1012628).
- perf annotate: Fix parsing aarch64 branch instructions after
objdump update (bnc#1012628).
- perf probe powerpc: Ignore SyS symbols irrespective of
endianness (bnc#1012628).
- perf util: Fix bad memory access in trace info (bnc#1012628).
- perf evsel: Fix potential null pointer dereference in
perf_evsel__new_idx() (bnc#1012628).
- perf annotate: Properly interpret indirect call (bnc#1012628).
- commit 342ac1f
-------------------------------------------------------------------
Wed Oct 10 20:38:19 CEST 2018 - jslaby@suse.cz
- scsi: qedi: Add the CRC size within iSCSI NVM image
(bnc#1012628).
- scsi: iscsi: target: Fix conn_ops double free (bnc#1012628).
- scsi: iscsi: target: Set conn->sess to NULL when
iscsi_login_set_conn_values fails (bnc#1012628).
- HID: hid-saitek: Add device ID for RAT 7 Contagion
(bnc#1012628).
- usb: gadget: fotg210-udc: Fix memory leak of fotg210->ep[i]
(bnc#1012628).
- HID: add support for Apple Magic Keyboards (bnc#1012628).
- HID: intel-ish-hid: Enable Sunrise Point-H ish driver
(bnc#1012628).
- netfilter: xt_checksum: ignore gso skbs (bnc#1012628).
- netfilter: xt_cluster: add dependency on conntrack module
(bnc#1012628).
- bpf: 32-bit RSH verification must truncate input before the
ALU op (bnc#1012628).
- mm: madvise(MADV_DODUMP): allow hugetlbfs pages (bnc#1012628).
- afs: Fix cell specification to permit an empty address list
(bnc#1012628).
- firmware: arm_scmi: fix divide by zero when sustained_perf_level
is zero (bnc#1012628).
- ceph: avoid a use-after-free in ceph_destroy_options()
(bnc#1012628).
- nds32: linker script: GCOV kernel may refers data in __exit
(bnc#1012628).
- tools/vm/page-types.c: fix "defined but not used" warning
(bnc#1012628).
- tools/vm/slabinfo.c: fix sign-compare warning (bnc#1012628).
- nds32: fix build error because of wrong semicolon (bnc#1012628).
- nds32: Fix get_user/put_user macro expand pointer problem
(bnc#1012628).
- nds32: Fix empty call trace (bnc#1012628).
- nds32: add NULL entry to the end of_device_id array
(bnc#1012628).
- nds32: fix logic for module (bnc#1012628).
- net/ibm/emac: wrong emac_calc_base call was used by typo
(bnc#1012628).
- fsnotify: fix ignore mask logic in fsnotify() (bnc#1012628).
- mac80211: shorten the IBSS debug messages (bnc#1012628).
- mac80211: don't Tx a deauth frame if the AP forbade Tx
(bnc#1012628).
- mac80211: Fix station bandwidth setting after channel switch
(bnc#1012628).
- mac80211: fix a race between restart and CSA flows
(bnc#1012628).
- mac80211: fix WMM TXOP calculation (bnc#1012628).
- cfg80211: fix a type issue in
ieee80211_chandef_to_operating_class() (bnc#1012628).
- mac80211: fix an off-by-one issue in A-MSDU max_subframe
computation (bnc#1012628).
- fs/cifs: don't translate SFM_SLASH (U+F026) to backslash
(bnc#1012628).
- net: cadence: Fix a sleep-in-atomic-context bug in
macb_halt_tx() (bnc#1012628).
- i2c: uniphier-f: issue STOP only for last message or I2C_M_STOP
(bnc#1012628).
- i2c: uniphier: issue STOP only for last message or I2C_M_STOP
(bnc#1012628).
- bpf: avoid misuse of psock when TCP_ULP_BPF collides with
another ULP (bnc#1012628).
- bpf: Fix bpf_msg_pull_data() (bnc#1012628).
- ibmvnic: Include missing return code checks in reset function
(bnc#1012628).
- selftests: pmtu: detect correct binary to ping ipv6 addresses
(bnc#1012628).
- selftests: pmtu: maximum MTU for vti4 is 2^16-1-20
(bnc#1012628).
- RAID10 BUG_ON in raise_barrier when force is true and
conf->barrier is 0 (bnc#1012628).
- md/raid5-cache: disable reshape completely (bnc#1012628).
- Revert "blk-throttle: fix race between blkcg_bio_issue_check()
and cgroup_rmdir()" (bnc#1012628).
- ARC: atomics: unbork atomic_fetch_##op() (bnc#1012628).
- gpio: Fix crash due to registration race (bnc#1012628).
- tools/kvm_stat: fix updates for dead guests (bnc#1012628).
- tools/kvm_stat: fix handling of invalid paths in debugfs
provider (bnc#1012628).
- tools/kvm_stat: fix python3 issues (bnc#1012628).
- mac80211: always account for A-MSDU header changes
(bnc#1012628).
- mac80211: do not convert to A-MSDU if frag/subframe limited
(bnc#1012628).
- cfg80211: nl80211_update_ft_ies() to validate NL80211_ATTR_IE
(bnc#1012628).
- tc-testing: add test-cases for numeric and invalid control
action (bnc#1012628).
- net: mvpp2: initialize port of_node pointer (bnc#1012628).
- sh_eth: Add R7S9210 support (bnc#1012628).
- net: hns: add netif_carrier_off before change speed and duplex
(bnc#1012628).
- net: hns: add the code for cleaning pkt in chip (bnc#1012628).
- tipc: switch to rhashtable iterator (bnc#1012628).
- bpf: fix sg shift repair start offset in bpf_msg_pull_data
(bnc#1012628).
- bpf: fix shift upon scatterlist ring wrap-around in
bpf_msg_pull_data (bnc#1012628).
- bpf: fix msg->data/data_end after sg shift repair in
bpf_msg_pull_data (bnc#1012628).
- gpio: dwapb: Fix error handling in dwapb_gpio_probe()
(bnc#1012628).
- gpiolib-acpi: Register GpioInt ACPI event handlers from a
late_initcall (bnc#1012628).
- gpiolib: acpi: Switch to cansleep version of GPIO library call
(bnc#1012628).
- mac80211: avoid kernel panic when building AMSDU from non-linear
SKB (bnc#1012628).
- mac80211: mesh: fix HWMP sequence numbering to follow standard
(bnc#1012628).
- gpio: adp5588: Fix sleep-in-atomic-context bug (bnc#1012628).
- bpf: fix several offset tests in bpf_msg_pull_data
(bnc#1012628).
- nl80211: Pass center frequency in kHz instead of MHz
(bnc#1012628).
- nl80211: Fix nla_put_u8 to u16 for NL80211_WMMR_TXOP
(bnc#1012628).
- mac80211_hwsim: Fix possible Spectre-v1 for
hwsim_world_regdom_custom (bnc#1012628).
- cfg80211: make wmm_rule part of the reg_rule structure
(bnc#1012628).
- mac80211_hwsim: correct use of IEEE80211_VHT_CAP_RXSTBC_X
(bnc#1012628).
- mac80211: correct use of IEEE80211_VHT_CAP_RXSTBC_X
(bnc#1012628).
- bpf: sockmap, decrement copied count correctly in redirect
error case (bnc#1012628).
- bpf, sockmap: fix psock refcount leak in bpf_tcp_recvmsg
(bnc#1012628).
- bpf, sockmap: fix potential use after free in bpf_tcp_close
(bnc#1012628).
- scsi: aacraid: fix a signedness bug (bnc#1012628).
- scsi: libata: Add missing newline at end of file (bnc#1012628).
- scsi: csiostor: fix incorrect port capabilities (bnc#1012628).
- scsi: csiostor: add a check for NULL pointer after kmalloc()
(bnc#1012628).
- btrfs: btrfs_shrink_device should call commit transaction at
the end (bnc#1012628).
- cfg80211: remove division by size of sizeof(struct
ieee80211_wmm_rule) (bnc#1012628).
- KVM: PPC: Book3S HV: Don't truncate HPTE index in xlate function
(bnc#1012628).
- Btrfs: fix unexpected failure of nocow buffered writes after
snapshotting when low on space (bnc#1012628).
- mac80211_hwsim: require at least one channel (bnc#1012628).
- mac80211: Run TXQ teardown code before de-registering interfaces
(bnc#1012628).
- rseq/selftests: fix parametrized test with -fpie (bnc#1012628).
- commit 37173f2
-------------------------------------------------------------------
Tue Oct 9 09:59:49 CEST 2018 - msuchanek@suse.de
- Revert "Limit kernel-source build to architectures for which we build binaries"
This reverts commit d6435125446d740016904abe30a60611549ae812.
- commit 48b03c4
-------------------------------------------------------------------
Mon Oct 8 07:47:18 CEST 2018 - mkubecek@suse.cz
- Update to 4.19-rc7
- commit 63b130b
-------------------------------------------------------------------
Thu Oct 4 11:29:57 CEST 2018 - mbrugger@suse.com
- arm64: Update config files. (bsc#1110716)
Enable ST LPS25H pressure sensor.
- commit 9882f33
-------------------------------------------------------------------
Thu Oct 4 08:37:48 CEST 2018 - jslaby@suse.cz
- Linux 4.18.12 (bnc#1012628).
- crypto: skcipher - Fix -Wstringop-truncation warnings
(bnc#1012628).
- iio: adc: ina2xx: avoid kthread_stop() with stale task_struct
(bnc#1012628).
- tsl2550: fix lux1_input error in low light (bnc#1012628).
- misc: ibmvmc: Use GFP_ATOMIC under spin lock (bnc#1012628).
- vmci: type promotion bug in qp_host_get_user_memory()
(bnc#1012628).
- siox: don't create a thread without starting it (bnc#1012628).
- net: hns3: Fix for mailbox message truncated problem
(bnc#1012628).
- net: hns3: Fix for mac pause not disable in pfc mode
(bnc#1012628).
- net: hns3: Fix warning bug when doing lp selftest (bnc#1012628).
- net: hns3: Fix get_vector ops in hclgevf_main module
(bnc#1012628).
- x86/numa_emulation: Fix emulated-to-physical node mapping
(bnc#1012628).
- staging: rts5208: fix missing error check on call to
rtsx_write_register (bnc#1012628).
- power: supply: axp288_charger: Fix initial
constant_charge_current value (bnc#1012628).
- misc: sram: enable clock before registering regions
(bnc#1012628).
- serial: sh-sci: Stop RX FIFO timer during port shutdown
(bnc#1012628).
- uwb: hwa-rc: fix memory leak at probe (bnc#1012628).
- power: vexpress: fix corruption in notifier registration
(bnc#1012628).
- iommu/amd: make sure TLB to be flushed before IOVA freed
(bnc#1012628).
- Bluetooth: Add a new Realtek 8723DE ID 0bda:b009 (bnc#1012628).
- USB: serial: kobil_sct: fix modem-status error handling
(bnc#1012628).
- 6lowpan: iphc: reset mac_header after decompress to fix panic
(bnc#1012628).
- iommu/msm: Don't call iommu_device_{,un}link from atomic context
(bnc#1012628).
- s390/mm: correct allocate_pgste proc_handler callback
(bnc#1012628).
- power: remove possible deadlock when unregistering power_supply
(bnc#1012628).
- drm/amd/display/dc/dce: Fix multiple potential integer overflows
(bnc#1012628).
- drm/amd/display: fix use of uninitialized memory (bnc#1012628).
- md-cluster: clear another node's suspend_area after the copy
is finished (bnc#1012628).
- cxgb4: Fix the condition to check if the card is T5
(bnc#1012628).
- RDMA/bnxt_re: Fix a couple off by one bugs (bnc#1012628).
- RDMA/i40w: Hold read semaphore while looking after VMA
(bnc#1012628).
- RDMA/bnxt_re: Fix a bunch of off by one bugs in qplib_fp.c
(bnc#1012628).
- IB/core: type promotion bug in rdma_rw_init_one_mr()
(bnc#1012628).
- media: exynos4-is: Prevent NULL pointer dereference in
__isp_video_try_fmt() (bnc#1012628).
- IB/mlx4: Test port number before querying type (bnc#1012628).
- powerpc/kdump: Handle crashkernel memory reservation failure
(bnc#1012628).
- media: fsl-viu: fix error handling in viu_of_probe()
(bnc#1012628).
- vhost_net: Avoid tx vring kicks during busyloop (bnc#1012628).
- media: staging/imx: fill vb2_v4l2_buffer field entry
(bnc#1012628).
- IB/mlx5: Fix GRE flow specification (bnc#1012628).
- include/rdma/opa_addr.h: Fix an endianness issue (bnc#1012628).
- x86/tsc: Add missing header to tsc_msr.c (bnc#1012628).
- ARM: hwmod: RTC: Don't assume lock/unlock will be called with
irq enabled (bnc#1012628).
- x86/entry/64: Add two more instruction suffixes (bnc#1012628).
- ARM: dts: ls1021a: Add missing cooling device properties for
CPUs (bnc#1012628).
- scsi: target/iscsi: Make iscsit_ta_authentication() respect
the output buffer size (bnc#1012628).
- thermal: i.MX: Allow thermal probe to fail gracefully in case
of bad calibration (bnc#1012628).
- scsi: klist: Make it safe to use klists in atomic context
(bnc#1012628).
- scsi: ibmvscsi: Improve strings handling (bnc#1012628).
- scsi: target: Avoid that EXTENDED COPY commands trigger lock
inversion (bnc#1012628).
- usb: wusbcore: security: cast sizeof to int for comparison
(bnc#1012628).
- ath10k: sdio: use same endpoint id for all packets in a bundle
(bnc#1012628).
- ath10k: sdio: set skb len for all rx packets (bnc#1012628).
- powerpc/powernv/ioda2: Reduce upper limit for DMA window size
(bnc#1012628).
- platform/x86: asus-wireless: Fix uninitialized symbol usage
(bnc#1012628).
- ACPI / button: increment wakeup count only when notified
(bnc#1012628).
- s390/sysinfo: add missing #ifdef CONFIG_PROC_FS (bnc#1012628).
- s390/dasd: correct numa_node in dasd_alloc_queue (bnc#1012628).
- s390/scm_blk: correct numa_node in scm_blk_dev_setup
(bnc#1012628).
- s390/extmem: fix gcc 8 stringop-overflow warning (bnc#1012628).
- mtd: rawnand: atmel: add module param to avoid using dma
(bnc#1012628).
- iio: accel: adxl345: convert address field usage in
iio_chan_spec (bnc#1012628).
- posix-timers: Make forward callback return s64 (bnc#1012628).
- posix-timers: Sanitize overrun handling (bnc#1012628).
- ALSA: snd-aoa: add of_node_put() in error path (bnc#1012628).
- selftests: forwarding: Tweak tc filters for mirror-to-gretap
tests (bnc#1012628).
- ath10k: use locked skb_dequeue for rx completions (bnc#1012628).
- media: s3c-camif: ignore -ENOIOCTLCMD from v4l2_subdev_call
for s_power (bnc#1012628).
- media: soc_camera: ov772x: correct setting of banding filter
(bnc#1012628).
- media: omap3isp: zero-initialize the isp cam_xclk{a,b} initial
data (bnc#1012628).
- media: ov772x: add checks for register read errors
(bnc#1012628).
- staging: android: ashmem: Fix mmap size validation
(bnc#1012628).
- media: ov772x: allow i2c controllers without
I2C_FUNC_PROTOCOL_MANGLING (bnc#1012628).
- staging: mt7621-eth: Fix memory leak in mtk_add_mac() error path
(bnc#1012628).
- drivers/tty: add error handling for pcmcia_loop_config
(bnc#1012628).
- arm64: dts: renesas: salvator-common: Fix adv7482 decimal unit
addresses (bnc#1012628).
- serial: pxa: Fix an error handling path in 'serial_pxa_probe()'
(bnc#1012628).
- staging: mt7621-dts: Fix remaining pcie warnings (bnc#1012628).
- media: tm6000: add error handling for dvb_register_adapter
(bnc#1012628).
- ASoC: qdsp6: qdafe: fix some off by one bugs (bnc#1012628).
- ALSA: hda: Add AZX_DCAPS_PM_RUNTIME for AMD Raven Ridge
(bnc#1012628).
- net: phy: xgmiitorgmii: Check read_status results (bnc#1012628).
- ath10k: protect ath10k_htt_rx_ring_free with rx_ring.lock
(bnc#1012628).
- drm/sun4i: Enable DW HDMI PHY clock (bnc#1012628).
- net: phy: xgmiitorgmii: Check phy_driver ready before accessing
(bnc#1012628).
- drm/sun4i: Fix releasing node when enumerating enpoints
(bnc#1012628).
- ath10k: transmit queued frames after processing rx packets
(bnc#1012628).
- mt76x2: fix mrr idx/count estimation in
mt76x2_mac_fill_tx_status() (bnc#1012628).
- rndis_wlan: potential buffer overflow in
rndis_wlan_auth_indication() (bnc#1012628).
- brcmsmac: fix wrap around in conversion from constant to s16
(bnc#1012628).
- bitfield: fix *_encode_bits() (bnc#1012628).
- wlcore: Add missing PM call for
wlcore_cmd_wait_for_event_or_timeout() (bnc#1012628).
- drm/omap: gem: Fix mm_list locking (bnc#1012628).
- ARM: mvebu: declare asm symbols as character arrays in pmsu.c
(bnc#1012628).
- RDMA/uverbs: Don't overwrite NULL pointer with ZERO_SIZE_PTR
(bnc#1012628).
- Documentation/process: fix reST table border error
(bnc#1012628).
- perf/hw_breakpoint: Split attribute parse and commit
(bnc#1012628).
- arm: dts: mediatek: Add missing cooling device properties for
CPUs (bnc#1012628).
- HID: hid-ntrig: add error handling for sysfs_create_group
(bnc#1012628).
- HID: i2c-hid: Use devm to allocate i2c_hid struct (bnc#1012628).
- MIPS: boot: fix build rule of vmlinux.its.S (bnc#1012628).
- arm64: dts: renesas: Fix VSPD registers range (bnc#1012628).
- drm/v3d: Take a lock across GPU scheduler job creation and
queuing (bnc#1012628).
- perf/x86/intel/lbr: Fix incomplete LBR call stack (bnc#1012628).
- scsi: bnx2i: add error handling for ioremap_nocache
(bnc#1012628).
- iomap: complete partial direct I/O writes synchronously
(bnc#1012628).
- scsi: hisi_sas: Fix the conflict between dev gone and host reset
(bnc#1012628).
- spi: orion: fix CS GPIO handling again (bnc#1012628).
- scsi: megaraid_sas: Update controller info during resume
(bnc#1012628).
- ASoC: Intel: bytcr_rt5640: Fix Acer Iconia 8 over-current
detect threshold (bnc#1012628).
- ASoC: rt1305: Use ULL suffixes for 64-bit constants
(bnc#1012628).
- ASoC: rsnd: SSI parent cares SWSP bit (bnc#1012628).
- EDAC, i7core: Fix memleaks and use-after-free on probe and
remove (bnc#1012628).
- ASoC: dapm: Fix potential DAI widget pointer deref when linking
DAIs (bnc#1012628).
- module: exclude SHN_UNDEF symbols from kallsyms api
(bnc#1012628).
- gpio: Fix wrong rounding in gpio-menz127 (bnc#1012628).
- nfsd: fix corrupted reply to badly ordered compound
(bnc#1012628).
- EDAC: Fix memleak in module init error path (bnc#1012628).
- EDAC, altera: Fix an error handling path in
altr_s10_sdram_probe() (bnc#1012628).
- staging: pi433: fix race condition in pi433_ioctl (bnc#1012628).
- ath10k: fix incorrect size of dma_free_coherent in
ath10k_ce_alloc_src_ring_64 (bnc#1012628).
- ath10k: snoc: use correct bus-specific pointer in RX retry
(bnc#1012628).
- fs/lock: skip lock owner pid translation in case we are in
init_pid_ns (bnc#1012628).
- ath10k: fix memory leak of tpc_stats (bnc#1012628).
- Input: xen-kbdfront - fix multi-touch XenStore node's locations
(bnc#1012628).
- iio: 104-quad-8: Fix off-by-one error in register selection
(bnc#1012628).
- drm/vc4: Add missing formats to vc4_format_mod_supported()
(bnc#1012628).
- ARM: dts: dra7: fix DCAN node addresses (bnc#1012628).
- drm/vc4: plane: Expand the lower bits by repeating the higher
bits (bnc#1012628).
- perf tests: Fix indexing when invoking subtests (bnc#1012628).
- gpio: tegra: Fix tegra_gpio_irq_set_type() (bnc#1012628).
- floppy: Do not copy a kernel pointer to user memory in FDGETPRM
ioctl (bnc#1012628).
- block: fix deadline elevator drain for zoned block devices
(bnc#1012628).
- x86/mm: Expand static page table for fixmap space (bnc#1012628).
- tty: serial: lpuart: avoid leaking struct tty_struct
(bnc#1012628).
- serial: imx: restore handshaking irq for imx1 (bnc#1012628).
- serial: mvebu-uart: Fix reporting of effective CSIZE to
userspace (bnc#1012628).
- serial: cpm_uart: return immediately from console poll
(bnc#1012628).
- intel_th: Fix device removal logic (bnc#1012628).
- intel_th: Fix resource handling for ACPI glue layer
(bnc#1012628).
- spi: tegra20-slink: explicitly enable/disable clock
(bnc#1012628).
- spi: sh-msiof: Fix invalid SPI use during system suspend
(bnc#1012628).
- spi: sh-msiof: Fix handling of write value for SISTR register
(bnc#1012628).
- spi: rspi: Fix invalid SPI use during system suspend
(bnc#1012628).
- spi: rspi: Fix interrupted DMA transfers (bnc#1012628).
- regulator: fix crash caused by null driver data (bnc#1012628).
- regulator: Fix 'do-nothing' value for regulators without
suspend state (bnc#1012628).
- USB: fix error handling in usb_driver_claim_interface()
(bnc#1012628).
- USB: handle NULL config in usb_find_alt_setting() (bnc#1012628).
- usb: roles: Take care of driver module reference counting
(bnc#1012628).
- usb: core: safely deal with the dynamic quirk lists
(bnc#1012628).
- usb: musb: dsps: do not disable CPPI41 irq in driver teardown
(bnc#1012628).
- USB: usbdevfs: sanitize flags more (bnc#1012628).
- USB: usbdevfs: restore warning for nonsensical flags
(bnc#1012628).
- Revert "usb: cdc-wdm: Fix a sleep-in-atomic-context bug in
service_outstanding_interrupt()" (bnc#1012628).
- USB: remove LPM management from usb_driver_claim_interface()
(bnc#1012628).
- uaccess: Fix is_source param for check_copy_size() in
copy_to_iter_mcsafe() (bnc#1012628).
- ext2, dax: set ext2_dax_aops for dax files (bnc#1012628).
- filesystem-dax: Fix use of zero page (bnc#1012628).
- Input: elantech - enable middle button of touchpad on ThinkPad
P72 (bnc#1012628).
- IB/srp: Avoid that sg_reset -d ${srp_device} triggers an
infinite loop (bnc#1012628).
- IB/hfi1: Fix SL array bounds check (bnc#1012628).
- IB/hfi1: Invalid user input can result in crash (bnc#1012628).
- IB/hfi1: Fix context recovery when PBC has an UnsupportedVL
(bnc#1012628).
- IB/hfi1: Fix destroy_qp hang after a link down (bnc#1012628).
- ACPI / hotplug / PCI: Don't scan for non-hotplug bridges if
slot is not bridge (bnc#1012628).
- RDMA/uverbs: Atomically flush and mark closed the comp event
queue (bnc#1012628).
- arm64: KVM: Tighten guest core register access from userspace
(bnc#1012628).
- ARM: OMAP2+: Fix null hwmod for ti-sysc debug (bnc#1012628).
- ARM: OMAP2+: Fix module address for modules using mpu_rt_idx
(bnc#1012628).
- bus: ti-sysc: Fix module register ioremap for larger offsets
(bnc#1012628).
- qed: Wait for ready indication before rereading the shmem
(bnc#1012628).
- qed: Wait for MCP halt and resume commands to take place
(bnc#1012628).
- qed: Prevent a possible deadlock during driver load and unload
(bnc#1012628).
- qed: Avoid sending mailbox commands when MFW is not responsive
(bnc#1012628).
- thermal: of-thermal: disable passive polling when thermal zone
is disabled (bnc#1012628).
- isofs: reject hardware sector size > 2048 bytes (bnc#1012628).
- mmc: atmel-mci: fix bad logic of sg_copy_{from,to}_buffer
conversion (bnc#1012628).
- mmc: android-goldfish: fix bad logic of sg_copy_{from,to}_buffer
conversion (bnc#1012628).
- bus: ti-sysc: Fix no_console_suspend handling (bnc#1012628).
- ARM: dts: omap4-droid4: fix vibrations on Droid 4 (bnc#1012628).
- bpf, sockmap: fix sock_hash_alloc and reject zero-sized keys
(bnc#1012628).
- bpf, sockmap: fix sock hash count in alloc_sock_hash_elem
(bnc#1012628).
- tls: possible hang when do_tcp_sendpages hits sndbuf is full
case (bnc#1012628).
- bpf: sockmap: write_space events need to be passed to TCP
handler (bnc#1012628).
- drm/amdgpu: fix VM clearing for the root PD (bnc#1012628).
- drm/amdgpu: fix preamble handling (bnc#1012628).
- amdgpu: fix multi-process hang issue (bnc#1012628).
- net/ncsi: Fixup .dumpit message flags and ID check in Netlink
handler (bnc#1012628).
- tcp_bbr: add bbr_check_probe_rtt_done() helper (bnc#1012628).
- tcp_bbr: in restart from idle, see if we should exit PROBE_RTT
(bnc#1012628).
- net: hns: fix length and page_offset overflow when
CONFIG_ARM64_64K_PAGES (bnc#1012628).
- net: hns: fix skb->truesize underestimation (bnc#1012628).
- net: hns3: fix page_offset overflow when CONFIG_ARM64_64K_PAGES
(bnc#1012628).
- ice: Fix multiple static analyser warnings (bnc#1012628).
- ice: Report stats for allocated queues via ethtool stats
(bnc#1012628).
- ice: Clean control queues only when they are initialized
(bnc#1012628).
- ice: Fix bugs in control queue processing (bnc#1012628).
- ice: Use order_base_2 to calculate higher power of 2
(bnc#1012628).
- ice: Set VLAN flags correctly (bnc#1012628).
- tools: bpftool: return from do_event_pipe() on bad arguments
(bnc#1012628).
- ice: Fix a few null pointer dereference issues (bnc#1012628).
- ice: Fix potential return of uninitialized value (bnc#1012628).
- e1000: check on netif_running() before calling e1000_up()
(bnc#1012628).
- e1000: ensure to free old tx/rx rings in set_ringparam()
(bnc#1012628).
- ixgbe: fix driver behaviour after issuing VFLR (bnc#1012628).
- i40e: Fix for Tx timeouts when interface is brought up if DCB
is enabled (bnc#1012628).
- i40e: fix condition of WARN_ONCE for stat strings (bnc#1012628).
- crypto: chtls - fix null dereference chtls_free_uld()
(bnc#1012628).
- crypto: cavium/nitrox - fix for command corruption in queue
full case with backlog submissions (bnc#1012628).
- hwmon: (ina2xx) fix sysfs shunt resistor read access
(bnc#1012628).
- hwmon: (adt7475) Make adt7475_read_word() return errors
(bnc#1012628).
- Revert "ARM: dts: imx7d: Invert legacy PCI irq mapping"
(bnc#1012628).
- drm/amdgpu: Enable/disable gfx PG feature in rlc safe mode
(bnc#1012628).
- drm/amdgpu: Update power state at the end of smu hw_init
(bnc#1012628).
- ata: ftide010: Add a quirk for SQ201 (bnc#1012628).
- nvme-fcloop: Fix dropped LS's to removed target port
(bnc#1012628).
- ARM: dts: omap4-droid4: Fix emmc errors seen on some devices
(bnc#1012628).
- drm/amdgpu: Need to set moved to true when evict bo
(bnc#1012628).
- arm/arm64: smccc-1.1: Make return values unsigned long
(bnc#1012628).
- arm/arm64: smccc-1.1: Handle function result as parameters
(bnc#1012628).
- i2c: i801: Allow ACPI AML access I/O ports not reserved for
SMBus (bnc#1012628).
- clk: x86: Set default parent to 48Mhz (bnc#1012628).
- x86/pti: Fix section mismatch warning/error (bnc#1012628).
- KVM: PPC: Book3S HV: Fix guest r11 corruption with POWER9 TM
workarounds (bnc#1012628).
- powerpc: fix csum_ipv6_magic() on little endian platforms
(bnc#1012628).
- powerpc/pkeys: Fix reading of ibm, processor-storage-keys
property (bnc#1012628).
- powerpc/pseries: Fix unitialized timer reset on migration
(bnc#1012628).
- arm64: KVM: Sanitize PSTATE.M when being set from userspace
(bnc#1012628).
- media: v4l: event: Prevent freeing event subscriptions while
accessed (bnc#1012628).
- commit a880bd8
-------------------------------------------------------------------
Tue Oct 2 08:47:16 CEST 2018 - nborisov@suse.com
- Update config files. (boo##1109665)
- commit 76516eb
-------------------------------------------------------------------
Mon Oct 1 14:08:09 CEST 2018 - msuchanek@suse.de
- rpm/mkspec: fix ppc64 kernel-source build.
- commit 85c9272
-------------------------------------------------------------------
Sun Sep 30 21:43:14 CEST 2018 - mkubecek@suse.cz
- Update to 4.19-rc6
- Eliminated 2 patches
- commit 80aa112
-------------------------------------------------------------------
Sat Sep 29 13:28:26 CEST 2018 - jslaby@suse.cz
- Linux 4.18.11 (bnc#1012628).
- spi: Fix double IDR allocation with DT aliases (bnc#1012628).
- iw_cxgb4: only allow 1 flush on user qps (bnc#1012628).
- vmw_balloon: include asm/io.h (bnc#1012628).
- sched/fair: Fix vruntime_normalized() for remote non-migration
wakeup (bnc#1012628).
- ext4, dax: set ext4_dax_aops for dax files (bnc#1012628).
- ext4, dax: add ext4_bmap to ext4_dax_aops (bnc#1012628).
- ext4: show test_dummy_encryption mount option in /proc/mounts
(bnc#1012628).
- ext4: don't mark mmp buffer head dirty (bnc#1012628).
- ext4: fix online resizing for bigalloc file systems with a 1k
block size (bnc#1012628).
- ext4: fix online resize's handling of a too-small final block
group (bnc#1012628).
- ext4: recalucate superblock checksum after updating free
blocks/inodes (bnc#1012628).
- ext4: avoid arithemetic overflow that can trigger a BUG
(bnc#1012628).
- ext4: avoid divide by zero fault when deleting corrupted inline
directories (bnc#1012628).
- ext4: check to make sure the rename(2)'s destination is not
freed (bnc#1012628).
- tty: vt_ioctl: fix potential Spectre v1 (bnc#1012628).
- drm/amdgpu: add new polaris pci id (bnc#1012628).
- drm: udl: Destroy framebuffer only if it was initialized
(bnc#1012628).
- drm/vc4: Fix the "no scaling" case on multi-planar YUV formats
(bnc#1012628).
- drm/nouveau/drm/nouveau: Prevent handling ACPI HPD events too
early (bnc#1012628).
- drm/nouveau/drm/nouveau: Use pm_runtime_get_noresume() in
connector_detect() (bnc#1012628).
- drm/nouveau/drm/nouveau: Fix deadlock with fb_helper with
async RPM requests (bnc#1012628).
- drm/nouveau/drm/nouveau: Fix bogus drm_kms_helper_poll_enable()
placement (bnc#1012628).
- drm/nouveau/drm/nouveau: Don't forget to cancel hpd_work on
suspend/unload (bnc#1012628).
- drm/nouveau: Fix deadlocks in nouveau_connector_detect()
(bnc#1012628).
- drm/nouveau: Remove duplicate poll_enable() in
pmops_runtime_suspend() (bnc#1012628).
- drm/nouveau: Only write DP_MSTM_CTRL when needed (bnc#1012628).
- drm/nouveau: Reset MST branching unit before enabling
(bnc#1012628).
- drm/i915/bdw: Increase IPS disable timeout to 100ms
(bnc#1012628).
- ocfs2: fix ocfs2 read block panic (bnc#1012628).
- libata: mask swap internal and hardware tag (bnc#1012628).
- Revert "ubifs: xattr: Don't operate on deleted inodes"
(bnc#1012628).
- scsi: target: iscsi: Use bin2hex instead of a re-implementation
(bnc#1012628).
- scsi: target: iscsi: Use hex2bin instead of a re-implementation
(bnc#1012628).
- Revert "uapi/linux/keyctl.h: don't use C++ reserved keyword
as a struct member name" (bnc#1012628).
- bpf/verifier: disallow pointer subtraction (bnc#1012628).
- Revert "rpmsg: core: add support to power domains for devices"
(bnc#1012628).
- mm: shmem.c: Correctly annotate new inodes for lockdep
(bnc#1012628).
- mm: disable deferred struct page for 32-bit arches
(bnc#1012628).
- fork: report pid exhaustion correctly (bnc#1012628).
- crypto: x86/aegis,morus - Do not require OSXSAVE for SSE2
(bnc#1012628).
- ring-buffer: Allow for rescheduling when removing pages
(bnc#1012628).
- Revert "PCI: Add ACS quirk for Intel 300 series" (bnc#1012628).
- spi: fix IDR collision on systems with both fixed and dynamic
SPI bus numbers (bnc#1012628).
- xen/x86/vpmu: Zero struct pt_regs before calling into sample
handling code (bnc#1012628).
- xen/netfront: don't bug in case of too many frags (bnc#1012628).
- platform/x86: alienware-wmi: Correct a memory leak
(bnc#1012628).
- platform/x86: dell-smbios-wmi: Correct a memory leak
(bnc#1012628).
- mtd: rawnand: denali: fix a race condition when DMA is kicked
(bnc#1012628).
- mtd: devices: m25p80: Make sure the buffer passed in op is
DMA-able (bnc#1012628).
- ALSA: oxfw: fix memory leak of private data (bnc#1012628).
- ALSA: oxfw: fix memory leak of discovered stream formats at
error path (bnc#1012628).
- ALSA: oxfw: fix memory leak for model-dependent data at error
path (bnc#1012628).
- ALSA: fireworks: fix memory leak of response buffer at error
path (bnc#1012628).
- ALSA: firewire-tascam: fix memory leak of private data
(bnc#1012628).
- ALSA: firewire-digi00x: fix memory leak of private data
(bnc#1012628).
- ALSA: fireface: fix memory leak in ff400_switch_fetching_mode()
(bnc#1012628).
- ALSA: emu10k1: fix possible info leak to userspace on
SNDRV_EMU10K1_IOCTL_INFO (bnc#1012628).
- ALSA: bebob: use address returned by kmalloc() instead of
kernel stack for streaming DMA mapping (bnc#1012628).
- ALSA: bebob: fix memory leak for M-Audio FW1814 and ProjectMix
I/O at error path (bnc#1012628).
- ASoC: uapi: fix sound/skl-tplg-interface.h userspace compilation
errors (bnc#1012628).
- ASoC: rsnd: fixup not to call clk_get/set under non-atomic
(bnc#1012628).
- ASoC: tas6424: Save last fault register even when clear
(bnc#1012628).
- ASoC: cs4265: fix MMTLR Data switch control (bnc#1012628).
- ASoC: wm9712: fix replace codec to component (bnc#1012628).
- NFC: Fix the number of pipes (bnc#1012628).
- NFC: Fix possible memory corruption when handling SHDLC I-Frame
commands (bnc#1012628).
- net: rtnl_configure_link: fix dev flags changes arg to
__dev_notify_flags (bnc#1012628).
- net: mvpp2: let phylink manage the carrier state (bnc#1012628).
- net/ipv6: do not copy dst flags on rt init (bnc#1012628).
- ipv6: use rt6_info members when dst is set in rt6_fill_node
(bnc#1012628).
- bnxt_en: Fix VF mac address regression (bnc#1012628).
- tls: fix currently broken MSG_PEEK behavior (bnc#1012628).
- socket: fix struct ifreq size in compat ioctl (bnc#1012628).
- net: dsa: mv88e6xxx: Fix ATU Miss Violation (bnc#1012628).
- hv_netvsc: fix schedule in RCU context (bnc#1012628).
- net/sched: act_sample: fix NULL dereference in the data path
(bnc#1012628).
- udp6: add missing checks on edumux packet processing
(bnc#1012628).
- neighbour: confirm neigh entries when ARP packet is received
(bnc#1012628).
- tls: clear key material from kernel memory when
do_tls_setsockopt_conf fails (bnc#1012628).
- tls: zero the crypto information from tls_context before freeing
(bnc#1012628).
- tls: don't copy the key out of tls12_crypto_info_aes_gcm_128
(bnc#1012628).
- udp4: fix IP_CMSG_CHECKSUM for connected sockets (bnc#1012628).
- qmi_wwan: set DTR for modems in forced USB2 mode (bnc#1012628).
- pppoe: fix reception of frames with no mac header (bnc#1012628).
- net: hp100: fix always-true check for link up state
(bnc#1012628).
- net/appletalk: fix minor pointer leak to userspace in
SIOCFINDIPDDPRT (bnc#1012628).
- ipv6: fix possible use-after-free in ip6_xmit() (bnc#1012628).
- gso_segment: Reset skb->mac_len after modifying network header
(bnc#1012628).
- commit 4a5c1c1
-------------------------------------------------------------------
Sat Sep 29 00:00:19 CEST 2018 - lduncan@suse.com
- Added CVE numbers for two patches (bsc#1107829)
- commit e3ac2a8
-------------------------------------------------------------------
Fri Sep 28 23:52:51 CEST 2018 - lduncan@suse.com
- scsi: target: iscsi: Use bin2hex instead of a re-implementation
(bsc#1107829).
- scsi: target: iscsi: Use hex2bin instead of a re-implementation
(bsc#1107829).
- commit 8791706
-------------------------------------------------------------------
Thu Sep 27 19:49:19 CEST 2018 - msuchanek@suse.de
- rpm/mkspec: build dtbs for architectures marked -!needs_updating
- commit 2d47640
-------------------------------------------------------------------
Thu Sep 27 19:15:21 CEST 2018 - msuchanek@suse.de
- Limit kernel-source build to architectures for which we build binaries
(bsc#1108281).
- commit d643512
-------------------------------------------------------------------
Wed Sep 26 10:34:32 CEST 2018 - jslaby@suse.cz
- Linux 4.18.10 (bnc#1012628).
- crypto: ccp - add timeout support in the SEV command
(bnc#1012628).
- mei: bus: type promotion bug in mei_nfc_if_version()
(bnc#1012628).
- clk: tegra: bpmp: Don't crash when a clock fails to register
(bnc#1012628).
- pinctrl: qcom: spmi-gpio: Fix pmic_gpio_config_get() to be
compliant (bnc#1012628).
- pinctrl: msm: Fix msm_config_group_get() to be compliant
(bnc#1012628).
- blk-mq: avoid to synchronize rcu inside blk_cleanup_queue()
(bnc#1012628).
- blk-mq: only attempt to merge bio if there is rq in sw queue
(bnc#1012628).
- IB/mlx5: fix uaccess beyond "count" in debugfs read/write
handlers (bnc#1012628).
- block/DAC960.c: fix defined but not used build warnings
(bnc#1012628).
- staging: fsl-dpaa2/eth: Fix DMA mapping direction (bnc#1012628).
- dmaengine: sh: rcar-dmac: avoid to write CHCR.TE to 1 if TCR
is set to 0 (bnc#1012628).
- drm/amd/pp: Send khz clock values to DC for smu7/8
(bnc#1012628).
- arm64: perf: Disable PMU while processing counter overflows
(bnc#1012628).
- drm/panel: type promotion bug in s6e8aa0_read_mtp_id()
(bnc#1012628).
- ASoC: rt5651: Fix workqueue cancel vs irq free race on remove
(bnc#1012628).
- selftest: timers: Tweak raw_skew to SKIP when ADJ_OFFSET/other
clock adjustments are in progress (bnc#1012628).
- remoteproc: qcom: q6v5-pil: fix modem hang on SDM845 after
axis2 clk unvote (bnc#1012628).
- scsi: lpfc: Fix panic if driver unloaded when port is offline
(bnc#1012628).
- scsi: lpfc: Fix NVME Target crash in defer rcv logic
(bnc#1012628).
- scsi: libfc: fixup 'sleeping function called from invalid
context' (bnc#1012628).
- ALSA: pcm: Fix snd_interval_refine first/last with open min/max
(bnc#1012628).
- selftests/android: initialize heap_type to avoid compiling
warning (bnc#1012628).
- selftests: vDSO - fix to return KSFT_SKIP when test couldn't
be run (bnc#1012628).
- rtc: bq4802: add error handling for devm_ioremap (bnc#1012628).
- drm/amdkfd: Fix error codes in kfd_get_process (bnc#1012628).
- drm/amdkfd: Fix kernel queue 64 bit doorbell offset calculation
(bnc#1012628).
- input: rohm_bu21023: switch to i2c_lock_bus(...,
I2C_LOCK_SEGMENT) (bnc#1012628).
- mfd: 88pm860x-i2c: switch to i2c_lock_bus(..., I2C_LOCK_SEGMENT)
(bnc#1012628).
- rcutorture: Use monotonic timestamp for stall detection
(bnc#1012628).
- net: mvpp2: make sure we use single queue mode on PPv2.1
(bnc#1012628).
- net: gemini: Allow multiple ports to instantiate (bnc#1012628).
- gpiolib: Mark gpio_suffixes array with __maybe_unused
(bnc#1012628).
- gpio: pxa: Fix potential NULL dereference (bnc#1012628).
- staging: bcm2835-audio: Don't leak workqueue if open fails
(bnc#1012628).
- lightnvm: pblk: enable line minor version detection
(bnc#1012628).
- lightnvm: pblk: assume that chunks are closed on 1.2 devices
(bnc#1012628).
- ASoC: qdsp6: q6afe-dai: fix a range check in
of_q6afe_parse_dai_data() (bnc#1012628).
- drm/amd/display: support access ddc for mst branch
(bnc#1012628).
- tools/testing/nvdimm: Fix support for emulating controller
temperature (bnc#1012628).
- f2fs: do checkpoint in kill_sb (bnc#1012628).
- coresight: ETM: Add support for Arm Cortex-A73 and Cortex-A35
(bnc#1012628).
- coresight: tpiu: Fix disabling timeouts (bnc#1012628).
- coresight: Handle errors in finding input/output ports
(bnc#1012628).
- sched/fair: Fix util_avg of new tasks for asymmetric systems
(bnc#1012628).
- parport: sunbpp: fix error return code (bnc#1012628).
- tls: Fix zerocopy_from_iter iov handling (bnc#1012628).
- drm/nouveau: tegra: Detach from ARM DMA/IOMMU mapping
(bnc#1012628).
- drm/nouveau/debugfs: Wake up GPU before doing any reclocking
(bnc#1012628).
- drm/nouveau: Fix runtime PM leak in drm_open() (bnc#1012628).
- mmc: sdhci: do not try to use 3.3V signaling if not supported
(bnc#1012628).
- mmc: tegra: prevent HS200 on Tegra 3 (bnc#1012628).
- mmc: sdhci-of-esdhc: set proper dma mask for ls104x chips
(bnc#1012628).
- tty: fix termios input-speed encoding (bnc#1012628).
- tty: fix termios input-speed encoding when using BOTHER
(bnc#1012628).
- serial: 8250: of: Correct of_platform_serial_setup() error
handling (bnc#1012628).
- ASoC: hdmi-codec: fix routing (bnc#1012628).
- gpu: ipu-v3: csi: pass back mbus_code_to_bus_cfg error codes
(bnc#1012628).
- liquidio: fix hang when re-binding VF host drv after running
DPDK VF driver (bnc#1012628).
- ARM: hisi: check of_iomap and fix missing of_node_put
(bnc#1012628).
- net: hns3: Fix return value error in hns3_reset_notify_down_enet
(bnc#1012628).
- ARM: hisi: fix error handling and missing of_node_put
(bnc#1012628).
- ARM: hisi: handle of_iomap and fix missing of_node_put
(bnc#1012628).
- net: hns3: Fix for reset_level default assignment probelm
(bnc#1012628).
- net: hns3: Reset net device with rtnl_lock (bnc#1012628).
- efi/esrt: Only call efi_mem_reserve() for boot services memory
(bnc#1012628).
- sched/core: Use smp_mb() in wake_woken_function() (bnc#1012628).
- arm64: dts: mt7622: update a clock property for UART0
(bnc#1012628).
- pinctrl: rza1: Fix selector use for groups and functions
(bnc#1012628).
- pinctrl: mt7622: Fix probe fail by misuse the selector
(bnc#1012628).
- configfs: fix registered group removal (bnc#1012628).
- MIPS: loongson64: cs5536: Fix PCI_OHCI_INT_REG reads
(bnc#1012628).
- KVM: PPC: Book3S: Fix matching of hardware and emulated TCE
tables (bnc#1012628).
- PM / devfreq: use put_device() instead of kfree() (bnc#1012628).
- security: check for kstrdup() failure in lsm_append()
(bnc#1012628).
- KVM: PPC: Book3S HV: Add of_node_put() in success path
(bnc#1012628).
- evm: Don't deadlock if a crypto algorithm is unavailable
(bnc#1012628).
- Bluetooth: Use lock_sock_nested in bt_accept_enqueue
(bnc#1012628).
- spi: dw: fix possible race condition (bnc#1012628).
- bpf: fix rcu annotations in compute_effective_progs()
(bnc#1012628).
- vfs: fix freeze protection in mnt_want_write_file() for
overlayfs (bnc#1012628).
- mtdchar: fix overflows in adjustment of `count` (bnc#1012628).
- audit: fix use-after-free in audit_add_watch (bnc#1012628).
- arm64: dts: uniphier: Add missing cooling device properties
for CPUs (bnc#1012628).
- net/mlx5: Add missing SET_DRIVER_VERSION command translation
(bnc#1012628).
- binfmt_elf: Respect error return from `regset->active'
(bnc#1012628).
- mmc: meson-mx-sdio: fix OF child-node lookup (bnc#1012628).
- of: add helper to lookup compatible child node (bnc#1012628).
- NFSv4.1 fix infinite loop on I/O (bnc#1012628).
- NFSv4: Fix a tracepoint Oops in initiate_file_draining()
(bnc#1012628).
- x86/EISA: Don't probe EISA bus for Xen PV guests (bnc#1012628).
- of: fix phandle cache creation for DTs with no phandles
(bnc#1012628).
- perf tools: Fix maps__find_symbol_by_name() (bnc#1012628).
- perf/core: Force USER_DS when recording user stack data
(bnc#1012628).
- xtensa: ISS: don't allocate memory in platform_setup
(bnc#1012628).
- cifs: integer overflow in in SMB2_ioctl() (bnc#1012628).
- CIFS: fix wrapping bugs in num_entries() (bnc#1012628).
- cifs: prevent integer overflow in nxt_dir_entry() (bnc#1012628).
- Revert "cdc-acm: implement put_char() and flush_chars()"
(bnc#1012628).
- usb: cdc-wdm: Fix a sleep-in-atomic-context bug in
service_outstanding_interrupt() (bnc#1012628).
- USB: yurex: Fix buffer over-read in yurex_write() (bnc#1012628).
- USB: serial: ti_usb_3410_5052: fix array underflow in completion
handler (bnc#1012628).
- usb: misc: uss720: Fix two sleep-in-atomic-context bugs
(bnc#1012628).
- USB: serial: io_ti: fix array underflow in completion handler
(bnc#1012628).
- USB: net2280: Fix erroneous synchronization change
(bnc#1012628).
- usb: gadget: udc: renesas_usb3: fix maxpacket size of ep0
(bnc#1012628).
- USB: add quirk for WORLDE Controller KS49 or Prodipe MIDI 49C
USB controller (bnc#1012628).
- usb: host: u132-hcd: Fix a sleep-in-atomic-context bug in
u132_get_frame() (bnc#1012628).
- usb: Avoid use-after-free by flushing endpoints early in
usb_set_interface() (bnc#1012628).
- usb: uas: add support for more quirk flags (bnc#1012628).
- USB: Add quirk to support DJI CineSSD (bnc#1012628).
- dm verity: fix crash on bufio buffer that was allocated with
vmalloc (bnc#1012628).
- mei: bus: need to unlink client before freeing (bnc#1012628).
- mei: bus: fix hw module get/put balance (bnc#1012628).
- mei: ignore not found client in the enumeration (bnc#1012628).
- usb: mtu3: fix error of xhci port id when enable U3 dual role
(bnc#1012628).
- usb: xhci: fix interrupt transfer error happened on MTK
platforms (bnc#1012628).
- usb: Don't die twice if PCI xhci host is not responding in
resume (bnc#1012628).
- xhci: Fix use after free for URB cancellation on a reallocated
endpoint (bnc#1012628).
- misc: hmc6352: fix potential Spectre v1 (bnc#1012628).
- misc: ibmvsm: Fix wrong assignment of return code (bnc#1012628).
- Tools: hv: Fix a bug in the key delete code (bnc#1012628).
- vmbus: don't return values for uninitalized channels
(bnc#1012628).
- ovl: fix oopses in ovl_fill_super() failure paths (bnc#1012628).
- ipmi: Fix I2C client removal in the SSIF driver (bnc#1012628).
- ipmi: Move BT capabilities detection to the detect call
(bnc#1012628).
- ipmi: Rework SMI registration failure (bnc#1012628).
- mmc: omap_hsmmc: fix wakeirq handling on removal (bnc#1012628).
- s390/crypto: Fix return code checking in cbc_paes_crypt()
(bnc#1012628).
- IB/ipoib: Avoid a race condition between start_xmit and
cm_rep_handler (bnc#1012628).
- xen/netfront: fix waiting for xenbus state change (bnc#1012628).
- pstore: Fix incorrect persistent ram buffer mapping
(bnc#1012628).
- RDMA/cma: Protect cma dev list with lock (bnc#1012628).
- xen-netfront: fix warn message as irq device name has '/'
(bnc#1012628).
- PCI/AER: Honor "pcie_ports=native" even if HEST sets
FIRMWARE_FIRST (bnc#1012628).
- x86/mm/pti: Add an overflow check to pti_clone_pmds()
(bnc#1012628).
- x86/pti: Check the return value of pti_user_pagetable_walk_pmd()
(bnc#1012628).
- x86/pti: Check the return value of pti_user_pagetable_walk_p4d()
(bnc#1012628).
- crypto: sharah - Unregister correct algorithms for SAHARA 3
(bnc#1012628).
- dmaengine: mv_xor_v2: kill the tasklets upon exit (bnc#1012628).
- iommu/ipmmu-vmsa: IMUCTRn.TTSEL needs a special usage on R-Car
Gen3 (bnc#1012628).
- regulator: qcom_spmi: Fix warning Bad of_node_put()
(bnc#1012628).
- regulator: qcom_spmi: Use correct regmap when checking for error
(bnc#1012628).
- drm/amd/pp: Set Max clock level to display by default
(bnc#1012628).
- i2c: aspeed: Fix initial values of master and slave state
(bnc#1012628).
- drivers/base: stop new probing during shutdown (bnc#1012628).
- KVM: arm/arm64: Fix vgic init race (bnc#1012628).
- platform/x86: toshiba_acpi: Fix defined but not used build
warnings (bnc#1012628).
- s390/qeth: reset layer2 attribute on layer switch (bnc#1012628).
- s390/qeth: fix race in used-buffer accounting (bnc#1012628).
- soc: qcom: smem: Correct check for global partition
(bnc#1012628).
- ARM: dts: qcom: msm8974-hammerhead: increase load on l20 for
sdhci (bnc#1012628).
- arm64: dts: qcom: db410c: Fix Bluetooth LED trigger
(bnc#1012628).
- xen-netfront: fix queue name setting (bnc#1012628).
- nfp: avoid buffer leak when FW communication fails
(bnc#1012628).
- ALSA: usb-audio: Generic DSD detection for Thesycon-based
implementations (bnc#1012628).
- efi/arm: preserve early mapping of UEFI memory map longer for
BGRT (bnc#1012628).
- reset: imx7: Fix always writing bits as 0 (bnc#1012628).
- arm64: fix possible spectre-v1 write in ptrace_hbp_set_event()
(bnc#1012628).
- wan/fsl_ucc_hdlc: use IS_ERR_VALUE() to check return value of
qe_muram_alloc (bnc#1012628).
- Smack: Fix handling of IPv4 traffic received by PF_INET6 sockets
(bnc#1012628).
- mac80211: restrict delayed tailroom needed decrement
(bnc#1012628).
- MIPS: jz4740: Bump zload address (bnc#1012628).
- ASoC: rt5514: Fix the issue of the delay volume applied
(bnc#1012628).
- staging: bcm2835-camera: handle wait_for_completion_timeout
return properly (bnc#1012628).
- staging: bcm2835-camera: fix timeout handling in
wait_for_completion_timeout (bnc#1012628).
- perf script: Show correct offsets for DWARF-based unwinding
(bnc#1012628).
- powerpc/powernv: opal_put_chars partial write fix (bnc#1012628).
- KVM: arm/arm64: vgic: Fix possible spectre-v1 write in
vgic_mmio_write_apr() (bnc#1012628).
- nvme-rdma: unquiesce queues when deleting the controller
(bnc#1012628).
- nvmet: fix file discard return status (bnc#1012628).
- perf powerpc: Fix callchain ip filtering (bnc#1012628).
- ARM: exynos: Clear global variable on init error path
(bnc#1012628).
- omapfb: rename omap2 module to omap2fb.ko (bnc#1012628).
- fbdev: Distinguish between interlaced and progressive modes
(bnc#1012628).
- video: fbdev: pxafb: clear allocated memory for video modes
(bnc#1012628).
- perf powerpc: Fix callchain ip filtering when return address
is in a register (bnc#1012628).
- fbdev/via: fix defined but not used warning (bnc#1012628).
- video: goldfishfb: fix memory leak on driver remove
(bnc#1012628).
- perf tools: Fix struct comm_str removal crash (bnc#1012628).
- fbdev: omapfb: off by one in omapfb_register_client()
(bnc#1012628).
- perf tests: Fix record+probe_libc_inet_pton.sh to ensure
cleanups (bnc#1012628).
- perf tests: Fix record+probe_libc_inet_pton.sh when event exists
(bnc#1012628).
- perf tests: Fix record+probe_libc_inet_pton.sh for powerpc64
(bnc#1012628).
- perf tools: Synthesize GROUP_DESC feature in pipe mode
(bnc#1012628).
- gfs2: Don't reject a supposedly full bitmap if we have blocks
reserved (bnc#1012628).
- perf test: Fix subtest number when showing results
(bnc#1012628).
- media: ov5645: Supported external clock is 24MHz (bnc#1012628).
- mtd/maps: fix solutionengine.c printk format warnings
(bnc#1012628).
- IB/ipoib: Fix error return code in ipoib_dev_init()
(bnc#1012628).
- block: allow max_discard_segments to be stacked (bnc#1012628).
- IB/rxe: Drop QP0 silently (bnc#1012628).
- media: videobuf2-core: check for q->error in vb2_core_qbuf()
(bnc#1012628).
- MIPS: ath79: fix system restart (bnc#1012628).
- dmaengine: pl330: fix irq race with terminate_all (bnc#1012628).
- media: tw686x: Fix oops on buffer alloc failure (bnc#1012628).
- kbuild: do not update config when running install targets
(bnc#1012628).
- kbuild: add .DELETE_ON_ERROR special target (bnc#1012628).
- clk: clk-fixed-factor: Clear OF_POPULATED flag in case of
failure (bnc#1012628).
- clk: core: Potentially free connection id (bnc#1012628).
- Input: pxrc - fix freeing URB on device teardown (bnc#1012628).
- clk: mvebu: armada-37xx-periph: Fix wrong return value in
get_parent (bnc#1012628).
- clk: imx6sll: fix missing of_node_put() (bnc#1012628).
- clk: imx6ul: fix missing of_node_put() (bnc#1012628).
- gfs2: Special-case rindex for gfs2_grow (bnc#1012628).
- iwlwifi: cancel the injective function between hw pointers to
tfd entry index (bnc#1012628).
- nfp: don't fail probe on pci_sriov_set_totalvfs() errors
(bnc#1012628).
- amd-xgbe: use dma_mapping_error to check map errors
(bnc#1012628).
- xfrm: fix 'passing zero to ERR_PTR()' warning (bnc#1012628).
- ALSA: usb-audio: Fix multiple definitions in AU0828_DEVICE()
macro (bnc#1012628).
- ALSA: usb-audio: Add support for Encore mDSD USB DAC
(bnc#1012628).
- ALSA: msnd: Fix the default sample sizes (bnc#1012628).
- iommu/io-pgtable-arm: Fix pgtable allocation in selftest
(bnc#1012628).
- iommu/io-pgtable-arm-v7s: Abort allocation when table address
overflows the PTE (bnc#1012628).
- iommu/arm-smmu-v3: sync the OVACKFLG to PRIQ consumer register
(bnc#1012628).
- usb: dwc3: change stream event enable bit back to 13
(bnc#1012628).
- net/mlx5: Use u16 for Work Queue buffer fragment size
(bnc#1012628).
- net/mlx5: Fix possible deadlock from lockdep when adding fte
to fg (bnc#1012628).
- net/mlx5: Fix not releasing read lock when adding flow rules
(bnc#1012628).
- tcp: really ignore MSG_ZEROCOPY if no SO_ZEROCOPY (bnc#1012628).
- erspan: return PACKET_REJECT when the appropriate tunnel is
not found (bnc#1012628).
- erspan: fix error handling for erspan tunnel (bnc#1012628).
- net/mlx5: Fix debugfs cleanup in the device init/remove flow
(bnc#1012628).
- net/mlx5: Check for error in mlx5_attach_interface
(bnc#1012628).
- net/tls: Set count of SG entries if sk_alloc_sg returns -ENOSPC
(bnc#1012628).
- net/mlx5: E-Switch, Fix memory leak when creating switchdev
mode FDB tables (bnc#1012628).
- tipc: orphan sock in tipc_release() (bnc#1012628).
- rds: fix two RCU related problems (bnc#1012628).
- net: qca_spi: Fix race condition in spi transfers (bnc#1012628).
- net/mlx5: Fix use-after-free in self-healing flow (bnc#1012628).
- be2net: Fix memory leak in be_cmd_get_profile_config()
(bnc#1012628).
- commit 3aeb311
-------------------------------------------------------------------
Tue Sep 25 16:01:51 CEST 2018 - mbrugger@suse.com
- arm64: Update config files.
Increase NR_CPUS to 480 (fate#325592)
- commit d5464c0
-------------------------------------------------------------------
Mon Sep 24 07:22:45 CEST 2018 - mkubecek@suse.cz
- Update to 4.19-rc5
- commit b44d7bc
-------------------------------------------------------------------
Thu Sep 20 08:37:04 CEST 2018 - jslaby@suse.cz
- Linux 4.18.9 (bnc#1012628).
- i2c: xiic: Make the start and the byte count write atomic
(bnc#1012628).
- i2c: i801: fix DNV's SMBCTRL register offset (bnc#1012628).
- HID: multitouch: fix Elan panels with 2 input modes declaration
(bnc#1012628).
- HID: core: fix grouping by application (bnc#1012628).
- HID: i2c-hid: Fix flooded incomplete report after S3 on Rayd
touchscreen (bnc#1012628).
- HID: input: fix leaking custom input node name (bnc#1012628).
- mm/hugetlb: filter out hugetlb pages if HUGEPAGE migration is
not supported (bnc#1012628).
- memory_hotplug: fix kernel_panic on offline page processing
(bnc#1012628).
- mac80211: don't update the PM state of a peer upon a multicast
frame (bnc#1012628).
- scsi: lpfc: Correct MDS diag and nvmet configuration
(bnc#1012628).
- nbd: don't allow invalid blocksize settings (bnc#1012628).
- block: don't warn when doing fsync on read-only devices
(bnc#1012628).
- block: bfq: swap puts in bfqg_and_blkg_put (bnc#1012628).
- android: binder: fix the race mmap and alloc_new_buf_locked
(bnc#1012628).
- MIPS: VDSO: Match data page cache colouring when D$ aliases
(bnc#1012628).
- SMB3: Backup intent flag missing for directory opens with
backupuid mounts (bnc#1012628).
- smb3: check for and properly advertise directory lease support
(bnc#1012628).
- cifs: connect to servername instead of IP for IPC$ share
(bnc#1012628).
- btrfs: fix qgroup_free wrong num_bytes in
btrfs_subvolume_reserve_metadata (bnc#1012628).
- Btrfs: fix data corruption when deduplicating between different
files (bnc#1012628).
- KVM: arm/arm64: Clean dcache to PoC when changing PTE due to
CoW (bnc#1012628).
- KVM: PPC: Book3S HV: Use correct pagesize in kvm_unmap_radix()
(bnc#1012628).
- KVM: s390: vsie: copy wrapping keys to right place
(bnc#1012628).
- KVM: x86: SVM: Set EMULTYPE_NO_REEXECUTE for RSM emulation
(bnc#1012628).
- KVM: VMX: Do not allow reexecute_instruction() when skipping
MMIO instr (bnc#1012628).
- KVM: x86: Invert emulation re-execute behavior to make it opt-in
(bnc#1012628).
- KVM: x86: Merge EMULTYPE_RETRY and EMULTYPE_ALLOW_REEXECUTE
(bnc#1012628).
- KVM: x86: Default to not allowing emulation retry in
kvm_mmu_page_fault (bnc#1012628).
- KVM: x86: Do not re-{try,execute} after failed emulation in L2
(bnc#1012628).
- ARC: [plat-axs*/plat-hsdk]: Allow U-Boot to pass MAC-address
to the kernel (bnc#1012628).
- ACPI / LPSS: Force LPSS quirks on boot (bnc#1012628).
- memory: ti-aemif: fix a potential NULL-pointer dereference
(bnc#1012628).
- ALSA: hda - Fix cancel_work_sync() stall from jackpoll work
(bnc#1012628).
- cpu/hotplug: Adjust misplaced smb() in cpuhp_thread_fun()
(bnc#1012628).
- cpu/hotplug: Prevent state corruption on error rollback
(bnc#1012628).
- x86/microcode: Make sure boot_cpu_data.microcode is up-to-date
(bnc#1012628).
- x86/microcode: Update the new microcode revision unconditionally
(bnc#1012628).
- x86/process: Don't mix user/kernel regs in 64bit __show_regs()
(bnc#1012628).
- x86/apic/vector: Make error return value negative (bnc#1012628).
- switchtec: Fix Spectre v1 vulnerability (bnc#1012628).
- ARC: [plat-axs*]: Enable SWAP (bnc#1012628).
- tc-testing: flush gact actions on test teardown (bnc#1012628).
- tc-testing: remove duplicate spaces in connmark match patterns
(bnc#1012628).
- misc: mic: SCIF Fix scif_get_new_port() error handling
(bnc#1012628).
- ALSA: hda/realtek - Add mute LED quirk for HP Spectre x360
(bnc#1012628).
- ethtool: Remove trailing semicolon for static inline
(bnc#1012628).
- i2c: aspeed: Add an explicit type casting for *get_clk_reg_val
(bnc#1012628).
- Bluetooth: h5: Fix missing dependency on BT_HCIUART_SERDEV
(bnc#1012628).
- pinctrl: berlin: fix 'pctrl->functions' allocation in
berlin_pinctrl_build_state (bnc#1012628).
- gpio: tegra: Move driver registration to subsys_init level
(bnc#1012628).
- powerpc/powernv: Fix concurrency issue with npu->mmio_atsd_usage
(bnc#1012628).
- powerpc/4xx: Fix error return path in ppc4xx_msi_probe()
(bnc#1012628).
- selftests/bpf: fix a typo in map in map test (bnc#1012628).
- media: davinci: vpif_display: Mix memory leak on probe error
path (bnc#1012628).
- media: dw2102: Fix memleak on sequence of probes (bnc#1012628).
- net: phy: Fix the register offsets in Broadcom iProc mdio mux
driver (bnc#1012628).
- scsi: qla2xxx: Fix unintended Logout (bnc#1012628).
- scsi: qla2xxx: Fix session state stuck in Get Port DB
(bnc#1012628).
- scsi: qla2xxx: Silent erroneous message (bnc#1012628).
- clk: scmi: Fix the rounding of clock rate (bnc#1012628).
- blk-mq: fix updating tags depth (bnc#1012628).
- scsi: lpfc: Fix driver crash when re-registering NVME rports
(bnc#1012628).
- scsi: target: fix __transport_register_session locking
(bnc#1012628).
- md/raid5: fix data corruption of replacements after originals
dropped (bnc#1012628).
- timers: Clear timer_base::must_forward_clk with timer_base::lock
held (bnc#1012628).
- media: camss: csid: Configure data type and decode format
properly (bnc#1012628).
- gpu: ipu-v3: default to id 0 on missing OF alias (bnc#1012628).
- misc: ti-st: Fix memory leak in the error path of probe()
(bnc#1012628).
- uio: potential double frees if __uio_register_device() fails
(bnc#1012628).
- firmware: vpd: Fix section enabled flag on vpd_section_destroy
(bnc#1012628).
- Drivers: hv: vmbus: Cleanup synic memory free path
(bnc#1012628).
- tty: rocket: Fix possible buffer overwrite on register_PCI
(bnc#1012628).
- uio: fix possible circular locking dependency (bnc#1012628).
- iwlwifi: pcie: don't access periphery registers when not
available (bnc#1012628).
- IB/IPoIB: Set ah valid flag in multicast send flow
(bnc#1012628).
- f2fs: fix to active page in lru list for read path
(bnc#1012628).
- f2fs: do not set free of current section (bnc#1012628).
- f2fs: Keep alloc_valid_block_count in sync (bnc#1012628).
- f2fs: issue discard align to section in LFS mode (bnc#1012628).
- f2fs: fix defined but not used build warnings (bnc#1012628).
- f2fs: fix to detect looped node chain correctly (bnc#1012628).
- ASoC: soc-pcm: Use delay set in component pointer function
(bnc#1012628).
- perf tools: Allow overriding MAX_NR_CPUS at compile time
(bnc#1012628).
- device-dax: avoid hang on error before devm_memremap_pages()
(bnc#1012628).
- NFSv4.0 fix client reference leak in callback (bnc#1012628).
- perf c2c report: Fix crash for empty browser (bnc#1012628).
- perf evlist: Fix error out while applying initial delay and LBR
(bnc#1012628).
- powerpc/pseries: fix EEH recovery of some IOV devices
(bnc#1012628).
- macintosh/via-pmu: Add missing mmio accessors (bnc#1012628).
- perf build: Fix installation directory for eBPF (bnc#1012628).
- ath9k: report tx status on EOSP (bnc#1012628).
- ath9k_hw: fix channel maximum power level test (bnc#1012628).
- ath10k: prevent active scans on potential unusable channels
(bnc#1012628).
- wlcore: Set rx_status boottime_ns field on rx (bnc#1012628).
- rpmsg: core: add support to power domains for devices
(bnc#1012628).
- mtd: rawnand: make subop helpers return unsigned values
(bnc#1012628).
- scsi: tcmu: do not set max_blocks if data_bitmap has been setup
(bnc#1012628).
- MIPS: Fix ISA virt/bus conversion for non-zero PHYS_OFFSET
(bnc#1012628).
- ata: libahci: Allow reconfigure of DEVSLP register
(bnc#1012628).
- ata: libahci: Correct setting of DEVSLP register (bnc#1012628).
- nfs: Referrals not inheriting proto setting from parent
(bnc#1012628).
- scsi: 3ware: fix return 0 on the error path of probe
(bnc#1012628).
- tools/testing/nvdimm: kaddr and pfn can be NULL to
->direct_access() (bnc#1012628).
- ath10k: disable bundle mgmt tx completion event support
(bnc#1012628).
- media: em28xx: explicitly disable TS packet filter
(bnc#1012628).
- PCI: mobiveil: Add missing ../pci.h include (bnc#1012628).
- PCI: mobiveil: Fix struct mobiveil_pcie.pcie_reg_base address
type (bnc#1012628).
- powerpc/mm: Don't report PUDs as memory leaks when using
kmemleak (bnc#1012628).
- Bluetooth: hidp: Fix handling of strncpy for hid->name
information (bnc#1012628).
- x86/mm: Remove in_nmi() warning from vmalloc_fault()
(bnc#1012628).
- regulator: tps65217: Fix NULL pointer dereference on probe
(bnc#1012628).
- pinctrl: imx: off by one in imx_pinconf_group_dbg_show()
(bnc#1012628).
- gpio: pxa: disable pinctrl calls for PXA3xx (bnc#1012628).
- gpio: ml-ioh: Fix buffer underwrite on probe error path
(bnc#1012628).
- pinctrl/amd: only handle irq if it is pending and unmasked
(bnc#1012628).
- net: mvneta: fix mtu change on port without link (bnc#1012628).
- f2fs: try grabbing node page lock aggressively in sync scenario
(bnc#1012628).
- pktcdvd: Fix possible Spectre-v1 for pkt_devs (bnc#1012628).
- f2fs: fix to skip GC if type in SSA and SIT is inconsistent
(bnc#1012628).
- tpm_tis_spi: Pass the SPI IRQ down to the driver (bnc#1012628).
- tpm/tpm_i2c_infineon: switch to i2c_lock_bus(...,
I2C_LOCK_SEGMENT) (bnc#1012628).
- f2fs: fix to do sanity check with reserved blkaddr of inline
inode (bnc#1012628).
- MIPS: Octeon: add missing of_node_put() (bnc#1012628).
- MIPS: generic: fix missing of_node_put() (bnc#1012628).
- thermal: rcar_thermal: avoid NULL dereference in absence of
IRQ resources (bnc#1012628).
- thermal_hwmon: Sanitize attribute name passed to hwmon
(bnc#1012628).
- net: dcb: For wild-card lookups, use priority -1, not 0
(bnc#1012628).
- dm cache: only allow a single io_mode cache feature to be
requested (bnc#1012628).
- Input: atmel_mxt_ts - only use first T9 instance (bnc#1012628).
- media: s5p-mfc: Fix buffer look up in s5p_mfc_handle_frame_{new,
copy_time} functions (bnc#1012628).
- partitions/aix: append null character to print data from disk
(bnc#1012628).
- partitions/aix: fix usage of uninitialized lv_info and lvname
structures (bnc#1012628).
- media: rcar-csi2: update stream start for V3M (bnc#1012628).
- media: helene: fix xtal frequency setting at power on
(bnc#1012628).
- drm/amd/display: Prevent PSR from being enabled if
initialization fails (bnc#1012628).
- media: em28xx: Fix dual transport stream operation
(bnc#1012628).
- iommu/arm-smmu-v3: Abort all transactions if SMMU is enabled
in kdump kernel (bnc#1012628).
- f2fs: fix to wait on page writeback before updating page
(bnc#1012628).
- f2fs: Fix uninitialized return in f2fs_ioc_shutdown()
(bnc#1012628).
- media: em28xx: Fix DualHD disconnect oops (bnc#1012628).
- f2fs: avoid potential deadlock in f2fs_sbi_store (bnc#1012628).
- f2fs: fix to do sanity check with secs_per_zone (bnc#1012628).
- mfd: rave-sp: Initialize flow control and parity of the port
(bnc#1012628).
- iommu/ipmmu-vmsa: Fix allocation in atomic context
(bnc#1012628).
- mfd: ti_am335x_tscadc: Fix struct clk memory leak (bnc#1012628).
- f2fs: fix to do sanity check with {sit,nat}_ver_bitmap_bytesize
(bnc#1012628).
- f2fs: fix to propagate return value of scan_nat_page()
(bnc#1012628).
- f2fs: fix to do sanity check with extra_attr feature
(bnc#1012628).
- RDMA/hns: Add illegal hop_num judgement (bnc#1012628).
- NFSv4.1: Fix a potential layoutget/layoutrecall deadlock
(bnc#1012628).
- RDMA/hns: Update the data type of immediate data (bnc#1012628).
- MIPS: WARN_ON invalid DMA cache maintenance, not BUG_ON
(bnc#1012628).
- MIPS: mscc: ocelot: fix length of memory address space for MIIM
(bnc#1012628).
- RDMA/cma: Do not ignore net namespace for unbound cm_id
(bnc#1012628).
- clocksource: Revert "Remove kthread" (bnc#1012628).
- autofs: fix autofs_sbi() does not check super block type
(bnc#1012628).
- mm: get rid of vmacache_flush_all() entirely (bnc#1012628).
- commit 67901ec
-------------------------------------------------------------------
Mon Sep 17 01:27:54 CEST 2018 - mkubecek@suse.cz
- Update to 4.19-rc4
- Eliminated 1 patch
- Config changes:
- Filesystems:
- EROFS_FS=m
- EROFS_FS_DEBUG=n
- EROFS_FS_XATTR=y
- EROFS_FS_POSIX_ACL=y
- EROFS_FS_SECURITY=y
- EROFS_FS_USE_VM_MAP_RAM=n
- EROFS_FAULT_INJECTION=n
- EROFS_FS_ZIP=n
- commit 625b101
-------------------------------------------------------------------
Sat Sep 15 16:09:24 CEST 2018 - jslaby@suse.cz
- Linux 4.18.8 (bnc#1012628).
- ASoC: wm8994: Fix missing break in switch (bnc#1012628).
- HID: redragon: fix num lock and caps lock LEDs (bnc#1012628).
- x86: kvm: avoid unused variable warning (bnc#1012628).
- kvm: x86: Set highest physical address bits in
non-present/reserved SPTEs (bnc#1012628).
- kbuild: make missing $DEPMOD a Warning instead of an Error
(bnc#1012628).
- x86/xen: don't write ptes directly in 32-bit PV guests
(bnc#1012628).
- x86/pae: use 64 bit atomic xchg function in
native_ptep_get_and_clear (bnc#1012628).
- debugobjects: Make stack check warning more informative
(bnc#1012628).
- drm/amdgpu: Don't warn on destroying a pinned BO (bnc#1012628).
- drm/amdgpu: Warn and update pin_size values when destroying
a pinned BO (bnc#1012628).
- drm/amdgpu: Make pin_size values atomic (bnc#1012628).
- drm/amdgpu: Keep track of amount of pinned CPU visible VRAM
(bnc#1012628).
- x86/tsc: Prevent result truncation on 32bit (bnc#1012628).
- drm/i915: set DP Main Stream Attribute for color range on DDI
platforms (bnc#1012628).
- mm: respect arch_dup_mmap() return value (bnc#1012628).
- uapi/linux/keyctl.h: don't use C++ reserved keyword as a struct
member name (bnc#1012628).
- drm/i915: Re-apply "Perform link quality check, unconditionally
during long pulse" (bnc#1012628).
- drm/amdgpu: fix incorrect use of drm_file->pid (bnc#1012628).
- drm/amdgpu: fix incorrect use of fcheck (bnc#1012628).
- drm/amdgpu:add VCN booting with firmware loaded by PSP
(bnc#1012628).
- drm/amdgpu:add VCN support in PSP driver (bnc#1012628).
- drm/amdgpu:add new firmware id for VCN (bnc#1012628).
- drm/amdgpu:add tmr mc address into amdgpu_firmware_info
(bnc#1012628).
- drm/amdgpu: update tmr mc address (bnc#1012628).
- drm/amd/display: Check if clock source in use before disabling
(bnc#1012628).
- drm/amd/display: Pass connector id when executing VBIOS CT
(bnc#1012628).
- drm/rockchip: vop: fix irq disabled after vop driver probed
(bnc#1012628).
- drm/rockchip: vop: split out core clock enablement into separate
functions (bnc#1012628).
- drm/rockchip: lvds: add missing of_node_put (bnc#1012628).
- drm/amd/display: Report non-DP display as disconnected without
EDID (bnc#1012628).
- drm/amd/display: Use requested HDMI aspect ratio (bnc#1012628).
- drm/amd/display: update clk for various HDMI color depths
(bnc#1012628).
- drm/amd/display: Don't share clk source between DP and HDMI
(bnc#1012628).
- drm/amd/display: fix type of variable (bnc#1012628).
- drm/edid: Add 6 bpc quirk for SDC panel in Lenovo B50-80
(bnc#1012628).
- drm/edid: Quirk Vive Pro VR headset non-desktop (bnc#1012628).
- drm/amd/pp/Polaris12: Fix a chunk of registers missed to program
(bnc#1012628).
- drm/amd/powerplay: fixed uninitialized value (bnc#1012628).
- drm/amd/pp: Convert voltage unit in mV*4 to mV on CZ/ST
(bnc#1012628).
- drm/amdgpu: Fix RLC safe mode test in
gfx_v9_0_enter_rlc_safe_mode (bnc#1012628).
- drm/amdgpu: fix a reversed condition (bnc#1012628).
- drm/amdgpu: update uvd_v6_0_ring_vm_funcs to use new nop packet
(bnc#1012628).
- drm/i915: Free write_buf that we allocated with kzalloc
(bnc#1012628).
- drm/i915: Increase LSPCON timeout (bnc#1012628).
- drm/i915: Nuke the LVDS lid notifier (bnc#1012628).
- drm/i915/lpe: Mark LPE audio runtime pm as "no callbacks"
(bnc#1012628).
- btrfs: fix mount and ioctl device scan ioctl race (bnc#1012628).
- btrfs: reorder initialization before the mount locks uuid_mutex
(bnc#1012628).
- btrfs: lift uuid_mutex to callers of btrfs_parse_early_options
(bnc#1012628).
- btrfs: lift uuid_mutex to callers of btrfs_scan_one_device
(bnc#1012628).
- btrfs: use device_list_mutex when removing stale devices
(bnc#1012628).
- btrfs: rename local devices for fs_devices in
btrfs_free_stale_devices( (bnc#1012628).
- btrfs: extend locked section when adding a new device in
device_list_add (bnc#1012628).
- btrfs: do btrfs_free_stale_devices outside of device_list_add
(bnc#1012628).
- ARM: rockchip: Force CONFIG_PM on Rockchip systems
(bnc#1012628).
- arm64: rockchip: Force CONFIG_PM on Rockchip systems
(bnc#1012628).
- btrfs: Fix a C compliance issue (bnc#1012628).
- btrfs: Don't remove block group that still has pinned down bytes
(bnc#1012628).
- btrfs: lift uuid_mutex to callers of btrfs_open_devices
(bnc#1012628).
- btrfs: check-integrity: Fix NULL pointer dereference for
degraded mount (bnc#1012628).
- btrfs: tree-checker: Detect invalid and empty essential trees
(bnc#1012628).
- btrfs: relocation: Only remove reloc rb_trees if reloc control
has been initialized (bnc#1012628).
- btrfs: fix in-memory value of total_devices after seed device
deletion (bnc#1012628).
- btrfs: replace: Reset on-disk dev stats value after replace
(bnc#1012628).
- btrfs: Exit gracefully when chunk map cannot be inserted to
the tree (bnc#1012628).
- drm/etnaviv: fix crash in GPU suspend when init failed due to
buffer placement (bnc#1012628).
- kvm: nVMX: Fix fault vector for VMX operation at CPL > 0
(bnc#1012628).
- KVM: vmx: track host_state.loaded using a loaded_vmcs pointer
(bnc#1012628).
- drm/amd/display: Read back max backlight value at boot
(bnc#1012628).
- clk: rockchip: Add pclk_rkpwm_pmu to PMU critical clocks in
rk3399 (bnc#1012628).
- powerpc/pseries: Avoid using the size greater than
RTAS_ERROR_LOG_MAX (bnc#1012628).
- um: fix parallel building with O= option (bnc#1012628).
- powerpc/64s: Make rfi_flush_fallback a little more robust
(bnc#1012628).
- powerpc/platforms/85xx: fix t1042rdb_diu.c build errors &
warning (bnc#1012628).
- smb3: if server does not support posix do not allow posix
mount option (bnc#1012628).
- SMB3: Number of requests sent should be displayed for SMB3
not just CIFS (bnc#1012628).
- CIFS: fix memory leak and remove dead code (bnc#1012628).
- smb3: fix reset of bytes read and written stats (bnc#1012628).
- cfq: Suppress compiler warnings about comparisons (bnc#1012628).
- RDS: IB: fix 'passing zero to ERR_PTR()' warning (bnc#1012628).
- selinux: cleanup dentry and inodes on error in selinuxfs
(bnc#1012628).
- selftests/powerpc: Kill child processes on SIGINT (bnc#1012628).
- iommu/omap: Fix cache flushes on L2 table entries (bnc#1012628).
- ASoC: rt5677: Fix initialization of rt5677_of_match.data
(bnc#1012628).
- staging: comedi: ni_mio_common: fix subdevice flags for PFI
subdevice (bnc#1012628).
- dm kcopyd: avoid softlockup in run_complete_job (bnc#1012628).
- PCI: mvebu: Fix I/O space end address calculation (bnc#1012628).
- xen/balloon: fix balloon initialization for PVH Dom0
(bnc#1012628).
- Input: do not use WARN() in input_alloc_absinfo() (bnc#1012628).
- NFSv4: Fix error handling in nfs4_sp4_select_mode()
(bnc#1012628).
- scsi: aic94xx: fix an error code in aic94xx_init()
(bnc#1012628).
- blk-mq: count the hctx as active before allocating tag
(bnc#1012628).
- ACPI / scan: Initialize status to ACPI_STA_DEFAULT
(bnc#1012628).
- s390/dasd: fix panic for failed online processing (bnc#1012628).
- s390/dasd: fix hanging offline processing due to canceled worker
(bnc#1012628).
- brcmfmac: fix brcmf_wiphy_wowl_params() NULL pointer dereference
(bnc#1012628).
- block: bvec_nr_vecs() returns value for wrong slab
(bnc#1012628).
- perf probe powerpc: Fix trace event post-processing
(bnc#1012628).
- powerpc: Fix size calculation using resource_size()
(bnc#1012628).
- powerpc/uaccess: Enable get_user(u64, *p) on 32-bit
(bnc#1012628).
- bpf: fix bpffs non-array map seq_show issue (bnc#1012628).
- pinctrl: axp209: Fix NULL pointer dereference after allocation
(bnc#1012628).
- f2fs: fix to clear PG_checked flag in set_page_dirty()
(bnc#1012628).
- net/9p: fix error path of p9_virtio_probe (bnc#1012628).
- net/9p/trans_fd.c: fix race by holding the lock (bnc#1012628).
- irqchip/bcm7038-l1: Hide cpu offline callback when building
for !SMP (bnc#1012628).
- irqchip/stm32: Fix init error handling (bnc#1012628).
- RISC-V: Use KBUILD_CFLAGS instead of KCFLAGS when building
the vDSO (bnc#1012628).
- f2fs: fix avoid race between truncate and background GC
(bnc#1012628).
- f2fs: avoid race between zero_range and background GC
(bnc#1012628).
- perf tools: Check for null when copying nsinfo (bnc#1012628).
- coccicheck: return proper error code on fail (bnc#1012628).
- drm/amd/display: Guard against null crtc in CRC IRQ
(bnc#1012628).
- PCI: Match Root Port's MPS to endpoint's MPSS as necessary
(bnc#1012628).
- net: hns3: Fix for phy link issue when using marvell phy driver
(bnc#1012628).
- block: don't warn for flush on read-only device (bnc#1012628).
- net: hns3: Fix for command format parsing error in
hclge_is_all_function_id_zero (bnc#1012628).
- perf arm spe: Fix uninitialized record error variable
(bnc#1012628).
- ACPICA: ACPICA: add status check for acpi_hw_read before
assigning return value (bnc#1012628).
- RDMA/hns: Fix usage of bitmap allocation functions return values
(bnc#1012628).
- ubi: Initialize Fastmap checkmapping correctly (bnc#1012628).
- tcp, ulp: add alias for all ulp modules (bnc#1012628).
- netfilter: fix memory leaks on netlink_dump_start error
(bnc#1012628).
- platform/x86: asus-nb-wmi: Add keymap entry for lid flip action
on UX360 (bnc#1012628).
- netfilter: x_tables: do not fail xt_alloc_table_info too easilly
(bnc#1012628).
- mfd: sm501: Set coherent_dma_mask when creating subdevices
(bnc#1012628).
- ipvs: fix race between ip_vs_conn_new() and ip_vs_del_dest()
(bnc#1012628).
- s390/kdump: Fix memleak in nt_vmcoreinfo (bnc#1012628).
- netfilter: ip6t_rpfilter: set F_IFACE for linklocal addresses
(bnc#1012628).
- samples/bpf: all XDP samples should unload xdp/bpf prog on
SIGTERM (bnc#1012628).
- bpf, sockmap: fix leakage of smap_psock_map_entry (bnc#1012628).
- net/xdp: Fix suspicious RCU usage warning (bnc#1012628).
- bpf, sockmap: fix sock_map_ctx_update_elem race with
exist/noexist (bnc#1012628).
- tcp, ulp: fix leftover icsk_ulp_ops preventing sock from
reattach (bnc#1012628).
- bpf, sockmap: fix map elem deletion race with smap_stop_sock
(bnc#1012628).
- platform/x86: intel_punit_ipc: fix build errors (bnc#1012628).
- fs/dcache.c: fix kmemcheck splat at take_dentry_name_snapshot()
(bnc#1012628).
- mm: make DEFERRED_STRUCT_PAGE_INIT explicitly depend on
SPARSEMEM (bnc#1012628).
- mm/fadvise.c: fix signed overflow UBSAN complaint (bnc#1012628).
- powerpc/topology: Get topology for shared processors at boot
(bnc#1012628).
- pwm: meson: Fix mux clock names (bnc#1012628).
- IB/hfi1: Invalid NUMA node information can cause a divide by
zero (bnc#1012628).
- i2c: core: ACPI: Make acpi_gsb_i2c_read_bytes() check
i2c_transfer return value (bnc#1012628).
- x86/mce: Add notifier_block forward declaration (bnc#1012628).
- virtio: pci-legacy: Validate queue pfn (bnc#1012628).
- apparmor: fix an error code in __aa_create_ns() (bnc#1012628).
- scripts: modpost: check memory allocation results (bnc#1012628).
- workqueue: re-add lockdep dependencies for flushing
(bnc#1012628).
- workqueue: skip lockdep wq dependency in cancel_work_sync()
(bnc#1012628).
- fat: validate ->i_start before using (bnc#1012628).
- fs/proc/kcore.c: use __pa_symbol() for KCORE_TEXT list entries
(bnc#1012628).
- iommu/rockchip: Move irq request past pm_runtime_enable
(bnc#1012628).
- hfsplus: fix NULL dereference in hfsplus_lookup() (bnc#1012628).
- iommu/rockchip: Handle errors returned from PM framework
(bnc#1012628).
- reiserfs: change j_timestamp type to time64_t (bnc#1012628).
- fs/proc/vmcore.c: hide vmcoredd_mmap_dumps() for nommu builds
(bnc#1012628).
- fork: don't copy inconsistent signal handler state to child
(bnc#1012628).
- sunrpc: Don't use stack buffer with scatterlist (bnc#1012628).
- hfs: prevent crash on exit from failed search (bnc#1012628).
- hfsplus: don't return 0 when fill_super() failed (bnc#1012628).
- cifs: check if SMB2 PDU size has been padded and suppress the
warning (bnc#1012628).
- hv_netvsc: ignore devices that are not PCI (bnc#1012628).
- vhost: correctly check the iova range when waking virtqueue
(bnc#1012628).
- mlxsw: spectrum_switchdev: Do not leak RIFs when removing bridge
(bnc#1012628).
- sctp: hold transport before accessing its asoc in
sctp_transport_get_next (bnc#1012628).
- nfp: wait for posted reconfigs when disabling the device
(bnc#1012628).
- ip6_vti: fix a null pointer deference when destroy vti6 tunnel
(bnc#1012628).
- ip6_vti: fix creating fallback tunnel device for vti6
(bnc#1012628).
- Revert "net: stmmac: Do not keep rearming the coalesce timer
in stmmac_xmit" (bnc#1012628).
- r8169: set RxConfig after tx/rx is enabled for RTL8169sb/8110sb
devices (bnc#1012628).
- net/mlx5: Fix SQ offset in QPs with small RQ (bnc#1012628).
- net/ipv6: Put lwtstate when destroying fib6_info (bnc#1012628).
- net/ipv6: Only update MTU metric if it set (bnc#1012628).
- net/ipv6: init ip6 anycast rt->dst.input as ip6_input
(bnc#1012628).
- ipv6: don't get lwtstate twice in ip6_rt_copy_init()
(bnc#1012628).
- net: macb: Fix regression breaking non-MDIO fixed-link PHYs
(bnc#1012628).
- erspan: set erspan_ver to 1 by default when adding an erspan
dev (bnc#1012628).
- sctp: remove useless start_fail from sctp_ht_iter in proc
(bnc#1012628).
- tipc: fix the big/little endian issue in tipc_dest
(bnc#1012628).
- hv_netvsc: Fix a deadlock by getting rtnl lock earlier in
netvsc_probe() (bnc#1012628).
- tipc: fix a missing rhashtable_walk_exit() (bnc#1012628).
- net/sched: act_pedit: fix dump of extended layered op
(bnc#1012628).
- bnxt_en: Do not adjust max_cp_rings by the ones used by RDMA
(bnc#1012628).
- bnxt_en: Clean up unused functions (bnc#1012628).
- net: sched: action_ife: take reference to meta module
(bnc#1012628).
- act_ife: fix a potential deadlock (bnc#1012628).
- act_ife: move tcfa_lock down to where necessary (bnc#1012628).
- vti6: remove !skb->ignore_df check from vti6_xmit()
(bnc#1012628).
- tcp: do not restart timewait timer on rst reception
(bnc#1012628).
- r8169: add support for NCube 8168 network card (bnc#1012628).
- r8152: disable RX aggregation on new Dell TB16 dock
(bnc#1012628).
- qlge: Fix netdev features configuration (bnc#1012628).
- net: sched: Fix memory exposure from short TCA_U32_SEL
(bnc#1012628).
- net: macb: do not disable MDIO bus at open/close time
(bnc#1012628).
- net: bcmgenet: use MAC link status for fixed phy (bnc#1012628).
- ipv4: tcp: send zero IPID for RST and ACK sent in SYN-RECV
and TIME-WAIT state (bnc#1012628).
- act_ife: fix a potential use-after-free (bnc#1012628).
- commit f486469
-------------------------------------------------------------------
Fri Sep 14 21:00:16 CEST 2018 - mkubecek@suse.cz
- ip: frags: fix crash in ip_do_fragment() (bsc#1108533).
- commit ba41502
-------------------------------------------------------------------
Fri Sep 14 15:20:23 CEST 2018 - msuchanek@suse.de
- doc/README.SUSE: Remove mentions of cloneconfig (bsc#1103636).
- commit 3371adc
-------------------------------------------------------------------
Thu Sep 13 09:29:45 CEST 2018 - jbohac@suse.cz
- Delete patches.suse/x86_64-hpet-64bit-timer.patch.
We removed the patch from SLE15 in commit
20efbd0c034fea7c97243120a025587d0dbac1c2
and we don't need it in future versions of SLE.
- commit aa4eb67
-------------------------------------------------------------------
Mon Sep 10 23:20:30 CEST 2018 - msuchanek@suse.de
- macros.kernel-source: pass -b properly in kernel module package
(bsc#1107870).
- commit 66709cd
-------------------------------------------------------------------
Mon Sep 10 07:16:33 CEST 2018 - mkubecek@suse.cz
- Update to 4.19-rc3
- refresh configs
- commit d3995d7
-------------------------------------------------------------------
Sun Sep 9 12:26:20 CEST 2018 - jslaby@suse.cz
- Linux 4.18.7 (bnc#1012628).
- rcu: Make expedited GPs handle CPU 0 being offline
(bnc#1012628).
- net: 6lowpan: fix reserved space for single frames
(bnc#1012628).
- net: mac802154: tx: expand tailroom if necessary (bnc#1012628).
- 9p/net: Fix zero-copy path in the 9p virtio transport
(bnc#1012628).
- spi: davinci: fix a NULL pointer dereference (bnc#1012628).
- spi: pxa2xx: Add support for Intel Ice Lake (bnc#1012628).
- spi: spi-fsl-dspi: Fix imprecise abort on VF500 during probe
(bnc#1012628).
- spi: cadence: Change usleep_range() to udelay(), for atomic
context (bnc#1012628).
- mmc: block: Fix unsupported parallel dispatch of requests
(bnc#1012628).
- mmc: renesas_sdhi_internal_dmac: mask DMAC interrupts
(bnc#1012628).
- mmc: renesas_sdhi_internal_dmac: fix #define RST_RESERVED_BITS
(bnc#1012628).
- readahead: stricter check for bdi io_pages (bnc#1012628).
- block: fix infinite loop if the device loses discard capability
(bnc#1012628).
- block: blk_init_allocated_queue() set q->fq as NULL in the
fail case (bnc#1012628).
- block: really disable runtime-pm for blk-mq (bnc#1012628).
- blkcg: Introduce blkg_root_lookup() (bnc#1012628).
- block: Introduce blk_exit_queue() (bnc#1012628).
- block: Ensure that a request queue is dissociated from the
cgroup controller (bnc#1012628).
- apparmor: fix bad debug check in apparmor_secid_to_secctx()
(bnc#1012628).
- dma-buf: Move BUG_ON from _add_shared_fence to
_add_shared_inplace (bnc#1012628).
- libertas: fix suspend and resume for SDIO connected cards
(bnc#1012628).
- media: Revert "[media] tvp5150: fix pad format frame height"
(bnc#1012628).
- mailbox: xgene-slimpro: Fix potential NULL pointer dereference
(bnc#1012628).
- Replace magic for trusting the secondary keyring with #define
(bnc#1012628).
- Fix kexec forbidding kernels signed with keys in the secondary
keyring to boot (bnc#1012628).
- powerpc/fadump: handle crash memory ranges array index overflow
(bnc#1012628).
- powerpc/64s: Fix page table fragment refcount race vs
speculative references (bnc#1012628).
- powerpc/pseries: Fix endianness while restoring of r3 in MCE
handler (bnc#1012628).
- powerpc/pkeys: Give all threads control of their key permissions
(bnc#1012628).
- powerpc/pkeys: Deny read/write/execute by default (bnc#1012628).
- powerpc/pkeys: key allocation/deallocation must not change
pkey registers (bnc#1012628).
- powerpc/pkeys: Save the pkey registers before fork
(bnc#1012628).
- powerpc/pkeys: Fix calculation of total pkeys (bnc#1012628).
- powerpc/pkeys: Preallocate execute-only key (bnc#1012628).
- powerpc/nohash: fix pte_access_permitted() (bnc#1012628).
- powerpc64/ftrace: Include ftrace.h needed for enable/disable
calls (bnc#1012628).
- powerpc/powernv/pci: Work around races in PCI bridge enabling
(bnc#1012628).
- cxl: Fix wrong comparison in cxl_adapter_context_get()
(bnc#1012628).
- ocxl: Fix page fault handler in case of fault on dying process
(bnc#1012628).
- IB/mlx5: Honor cnt_set_id_valid flag instead of set_id
(bnc#1012628).
- IB/mlx5: Fix leaking stack memory to userspace (bnc#1012628).
- IB/srpt: Fix srpt_cm_req_recv() error path (1/2) (bnc#1012628).
- IB/srpt: Fix srpt_cm_req_recv() error path (2/2) (bnc#1012628).
- IB/srpt: Support HCAs with more than two ports (bnc#1012628).
- overflow.h: Add arithmetic shift helper (bnc#1012628).
- RDMA/mlx5: Fix shift overflow in mlx5_ib_create_wq
(bnc#1012628).
- ib_srpt: Fix a use-after-free in srpt_close_ch() (bnc#1012628).
- ib_srpt: Fix a use-after-free in __srpt_close_all_ch()
(bnc#1012628).
- RDMA/rxe: Set wqe->status correctly if an unexpected response
is received (bnc#1012628).
- 9p: fix multiple NULL-pointer-dereferences (bnc#1012628).
- fs/9p/xattr.c: catch the error of p9_client_clunk when setting
xattr failed (bnc#1012628).
- 9p/virtio: fix off-by-one error in sg list bounds check
(bnc#1012628).
- net/9p/client.c: version pointer uninitialized (bnc#1012628).
- net/9p/trans_fd.c: fix race-condition by flushing workqueue
before the kfree() (bnc#1012628).
- dm integrity: change 'suspending' variable from bool to int
(bnc#1012628).
- dm thin: stop no_space_timeout worker when switching to
write-mode (bnc#1012628).
- dm cache metadata: save in-core policy_hint_size to on-disk
superblock (bnc#1012628).
- dm cache metadata: set dirty on all cache blocks after a crash
(bnc#1012628).
- dm crypt: don't decrease device limits (bnc#1012628).
- dm writecache: fix a crash due to reading past end of
dirty_bitmap (bnc#1012628).
- uart: fix race between uart_put_char() and uart_shutdown()
(bnc#1012628).
- Drivers: hv: vmbus: Fix the offer_in_progress in
vmbus_process_offer() (bnc#1012628).
- Drivers: hv: vmbus: Reset the channel callback in
vmbus_onoffer_rescind() (bnc#1012628).
- iio: sca3000: Fix missing return in switch (bnc#1012628).
- iio: ad9523: Fix displayed phase (bnc#1012628).
- iio: ad9523: Fix return value for ad952x_store() (bnc#1012628).
- extcon: Release locking when sending the notification of
connector state (bnc#1012628).
- eventpoll.h: wrap casts in () properly (bnc#1012628).
- vmw_balloon: fix inflation of 64-bit GFNs (bnc#1012628).
- vmw_balloon: do not use 2MB without batching (bnc#1012628).
- vmw_balloon: VMCI_DOORBELL_SET does not check status
(bnc#1012628).
- vmw_balloon: fix VMCI use when balloon built into kernel
(bnc#1012628).
- rtc: omap: fix resource leak in registration error path
(bnc#1012628).
- rtc: omap: fix potential crash on power off (bnc#1012628).
- tracing: Do not call start/stop() functions when tracing_on
does not change (bnc#1012628).
- tracing/blktrace: Fix to allow setting same value (bnc#1012628).
- printk/tracing: Do not trace printk_nmi_enter() (bnc#1012628).
- livepatch: Validate module/old func name length (bnc#1012628).
- uprobes: Use synchronize_rcu() not synchronize_sched()
(bnc#1012628).
- mfd: hi655x: Fix regmap area declared size for hi655x
(bnc#1012628).
- ovl: fix wrong use of impure dir cache in ovl_iterate()
(bnc#1012628).
- ACPICA: AML Parser: skip opcodes that open a scope upon parse
failure (bnc#1012628).
- drivers/block/zram/zram_drv.c: fix bug storing backing_dev
(bnc#1012628).
- sched: idle: Avoid retaining the tick when it has been stopped
(bnc#1012628).
- cpuidle: menu: Handle stopped tick more aggressively
(bnc#1012628).
- cpufreq: governor: Avoid accessing invalid governor_data
(bnc#1012628).
- PM / sleep: wakeup: Fix build error caused by missing SRCU
support (bnc#1012628).
- ALSA: ac97: fix device initialization in the compat layer
(bnc#1012628).
- ALSA: ac97: fix check of pm_runtime_get_sync failure
(bnc#1012628).
- ALSA: ac97: fix unbalanced pm_runtime_enable (bnc#1012628).
- i2c: designware: Re-init controllers with pm_disabled set on
resume (bnc#1012628).
- KVM: VMX: fixes for vmentry_l1d_flush module parameter
(bnc#1012628).
- KVM: PPC: Book3S: Fix guest DMA when guest partially backed
by THP pages (bnc#1012628).
- xtensa: limit offsets in __loop_cache_{all,page} (bnc#1012628).
- xtensa: increase ranges in ___invalidate_{i,d}cache_all
(bnc#1012628).
- block, bfq: return nbytes and not zero from struct cftype
.write() method (bnc#1012628).
- pnfs/blocklayout: off by one in bl_map_stripe() (bnc#1012628).
- nfsd: fix leaked file lock with nfs exported overlayfs
(bnc#1012628).
- NFSv4 client live hangs after live data migration recovery
(bnc#1012628).
- NFSv4: Fix locking in pnfs_generic_recover_commit_reqs
(bnc#1012628).
- NFSv4: Fix a sleep in atomic context in nfs4_callback_sequence()
(bnc#1012628).
- ARM: tegra: Fix Tegra30 Cardhu PCA954x reset (bnc#1012628).
- ARM: dts: am57xx-idk: Enable dual role for USB2 port
(bnc#1012628).
- pwm: omap-dmtimer: Return -EPROBE_DEFER if no dmtimer platform
data (bnc#1012628).
- mm/tlb: Remove tlb_remove_table() non-concurrent condition
(bnc#1012628).
- iommu/ipmmu-vmsa: Don't register as BUS IOMMU if machine
doesn't have IPMMU-VMSA (bnc#1012628).
- iommu/vt-d: Add definitions for PFSID (bnc#1012628).
- iommu/vt-d: Fix dev iotlb pfsid use (bnc#1012628).
- sys: don't hold uts_sem while accessing userspace memory
(bnc#1012628).
- userns: move user access out of the mutex (bnc#1012628).
- ubifs: Fix memory leak in lprobs self-check (bnc#1012628).
- Revert "UBIFS: Fix potential integer overflow in allocation"
(bnc#1012628).
- ubifs: Check data node size before truncate (bnc#1012628).
- ubifs: xattr: Don't operate on deleted inodes (bnc#1012628).
- ubifs: Fix directory size calculation for symlinks
(bnc#1012628).
- ubifs: Fix synced_i_size calculation for xattr inodes
(bnc#1012628).
- pwm: tiehrpwm: Don't use emulation mode bits to control PWM
output (bnc#1012628).
- pwm: tiehrpwm: Fix disabling of output of PWMs (bnc#1012628).
- fb: fix lost console when the user unplugs a USB adapter
(bnc#1012628).
- udlfb: fix semaphore value leak (bnc#1012628).
- udlfb: fix display corruption of the last line (bnc#1012628).
- udlfb: don't switch if we are switching to the same videomode
(bnc#1012628).
- udlfb: set optimal write delay (bnc#1012628).
- udlfb: make a local copy of fb_ops (bnc#1012628).
- udlfb: handle allocation failure (bnc#1012628).
- udlfb: set line_length in dlfb_ops_set_par (bnc#1012628).
- getxattr: use correct xattr length (bnc#1012628).
- libnvdimm: Use max contiguous area for namespace size
(bnc#1012628).
- libnvdimm: fix ars_status output length calculation
(bnc#1012628).
- bcache: release dc->writeback_lock properly in
bch_writeback_thread() (bnc#1012628).
- kconfig: fix "Can't open ..." in parallel build (bnc#1012628).
- cap_inode_getsecurity: use d_find_any_alias() instead of
d_find_alias() (bnc#1012628).
- mm, dev_pagemap: Do not clear ->mapping on final put
(bnc#1012628).
- perf auxtrace: Fix queue resize (bnc#1012628).
- crypto: vmx - Fix sleep-in-atomic bugs (bnc#1012628).
- crypto: aesni - Use unaligned loads from gcm_context_data
(bnc#1012628).
- crypto: arm64/sm4-ce - check for the right CPU feature bit
(bnc#1012628).
- crypto: caam - fix DMA mapping direction for RSA forms 2 & 3
(bnc#1012628).
- crypto: caam/jr - fix descriptor DMA unmapping (bnc#1012628).
- crypto: caam/qi - fix error path in xts setkey (bnc#1012628).
- fs/quota: Fix spectre gadget in do_quotactl (bnc#1012628).
- udf: Fix mounting of Win7 created UDF filesystems (bnc#1012628).
- cpuidle: menu: Retain tick when shallow state is selected
(bnc#1012628).
- arm64: mm: always enable CONFIG_HOLES_IN_ZONE (bnc#1012628).
- commit 952d850
-------------------------------------------------------------------
Fri Sep 7 22:15:23 CEST 2018 - jeffm@suse.com
- config: disable HFS_FS
It has no maintainer and has been a source of fuzzer bugs. hfsplus handles
the HFS+ file system that became the default on MacOS in 1998.
- commit 07fd1d6
-------------------------------------------------------------------
Fri Sep 7 11:17:10 CEST 2018 - tiwai@suse.de
- supported.conf: Add bpfilter to kernel-default-base (bsc#1106751)
- commit 8f1f1b6
-------------------------------------------------------------------
Wed Sep 5 20:28:02 CEST 2018 - jslaby@suse.cz
- Linux 4.18.6 (bnc#1012628).
- PATCH scripts/kernel-doc (bnc#1012628).
- scripts/kernel-doc: Escape all literal braces in regexes
(bnc#1012628).
- scsi: libsas: dynamically allocate and free ata host
(bnc#1012628).
- xprtrdma: Fix disconnect regression (bnc#1012628).
- mei: don't update offset in write (bnc#1012628).
- cifs: add missing support for ACLs in SMB 3.11 (bnc#1012628).
- CIFS: fix uninitialized ptr deref in smb2 signing (bnc#1012628).
- cifs: add missing debug entries for kconfig options
(bnc#1012628).
- cifs: use a refcount to protect open/closing the cached file
handle (bnc#1012628).
- cifs: check kmalloc before use (bnc#1012628).
- smb3: enumerating snapshots was leaving part of the data off
end (bnc#1012628).
- smb3: Do not send SMB3 SET_INFO if nothing changed
(bnc#1012628).
- smb3: don't request leases in symlink creation and query
(bnc#1012628).
- smb3: fill in statfs fsid and correct namelen (bnc#1012628).
- btrfs: use correct compare function of dirty_metadata_bytes
(bnc#1012628).
- btrfs: don't leak ret from do_chunk_alloc (bnc#1012628).
- Btrfs: fix mount failure after fsync due to hard link recreation
(bnc#1012628).
- Btrfs: fix btrfs_write_inode vs delayed iput deadlock
(bnc#1012628).
- Btrfs: fix send failure when root has deleted files still open
(bnc#1012628).
- Btrfs: send, fix incorrect file layout after hole punching
beyond eof (bnc#1012628).
- hwmon: (k10temp) 27C Offset needed for Threadripper2
(bnc#1012628).
- bpf, arm32: fix stack var offset in jit (bnc#1012628).
- regulator: arizona-ldo1: Use correct device to get enable GPIO
(bnc#1012628).
- iommu/arm-smmu: Error out only if not enough context interrupts
(bnc#1012628).
- printk: Split the code for storing a message into the log buffer
(bnc#1012628).
- printk: Create helper function to queue deferred console
handling (bnc#1012628).
- printk/nmi: Prevent deadlock when accessing the main log buffer
in NMI (bnc#1012628).
- kprobes/arm64: Fix %p uses in error messages (bnc#1012628).
- arm64: Fix mismatched cache line size detection (bnc#1012628).
- arm64: Handle mismatched cache type (bnc#1012628).
- arm64: mm: check for upper PAGE_SHIFT bits in pfn_valid()
(bnc#1012628).
- arm64: dts: rockchip: corrected uart1 clock-names for rk3328
(bnc#1012628).
- KVM: arm/arm64: Fix potential loss of ptimer interrupts
(bnc#1012628).
- KVM: arm/arm64: Fix lost IRQs from emulated physcial timer
when blocked (bnc#1012628).
- KVM: arm/arm64: Skip updating PMD entry if no change
(bnc#1012628).
- KVM: arm/arm64: Skip updating PTE entry if no change
(bnc#1012628).
- s390/kvm: fix deadlock when killed by oom (bnc#1012628).
- perf kvm: Fix subcommands on s390 (bnc#1012628).
- stop_machine: Reflow cpu_stop_queue_two_works() (bnc#1012628).
- stop_machine: Atomically queue and wake stopper threads
(bnc#1012628).
- ext4: check for NUL characters in extended attribute's name
(bnc#1012628).
- ext4: use ext4_warning() for sb_getblk failure (bnc#1012628).
- ext4: sysfs: print ext4_super_block fields as little-endian
(bnc#1012628).
- ext4: reset error code in ext4_find_entry in fallback
(bnc#1012628).
- ext4: fix race when setting the bitmap corrupted flag
(bnc#1012628).
- nvme-pci: add a memory barrier to
nvme_dbbuf_update_and_check_event (bnc#1012628).
- x86/gpu: reserve ICL's graphics stolen memory (bnc#1012628).
- platform/x86: wmi: Do not mix pages and kmalloc (bnc#1012628).
- mm: move tlb_table_flush to tlb_flush_mmu_free (bnc#1012628).
- mm/tlb, x86/mm: Support invalidating TLB caches for
RCU_TABLE_FREE (bnc#1012628).
- x86/vdso: Fix vDSO build if a retpoline is emitted
(bnc#1012628).
- x86/process: Re-export start_thread() (bnc#1012628).
- KVM: x86: ensure all MSRs can always be KVM_GET/SET_MSR'd
(bnc#1012628).
- KVM: x86: SVM: Call x86_spec_ctrl_set_guest/host() with
interrupts disabled (bnc#1012628).
- fuse: Don't access pipe->buffers without pipe_lock()
(bnc#1012628).
- fuse: fix initial parallel dirops (bnc#1012628).
- fuse: fix double request_end() (bnc#1012628).
- fuse: fix unlocked access to processing queue (bnc#1012628).
- fuse: umount should wait for all requests (bnc#1012628).
- fuse: Fix oops at process_init_reply() (bnc#1012628).
- fuse: Add missed unlock_page() to fuse_readpages_fill()
(bnc#1012628).
- lib/vsprintf: Do not handle %pO[^F] as %px (bnc#1012628).
- udl-kms: change down_interruptible to down (bnc#1012628).
- udl-kms: handle allocation failure (bnc#1012628).
- udl-kms: fix crash due to uninitialized memory (bnc#1012628).
- udl-kms: avoid division (bnc#1012628).
- b43legacy/leds: Ensure NUL-termination of LED name string
(bnc#1012628).
- b43/leds: Ensure NUL-termination of LED name string
(bnc#1012628).
- ASoC: dpcm: don't merge format from invalid codec dai
(bnc#1012628).
- ASoC: zte: Fix incorrect PCM format bit usages (bnc#1012628).
- ASoC: sirf: Fix potential NULL pointer dereference
(bnc#1012628).
- ASoC: wm_adsp: Correct DSP pointer for preloader control
(bnc#1012628).
- soc: qcom: rmtfs-mem: fix memleak in probe error paths
(bnc#1012628).
- pinctrl: freescale: off by one in imx1_pinconf_group_dbg_show()
(bnc#1012628).
- scsi: qla2xxx: Fix stalled relogin (bnc#1012628).
- x86/vdso: Fix lsl operand order (bnc#1012628).
- x86/nmi: Fix NMI uaccess race against CR3 switching
(bnc#1012628).
- x86/irqflags: Mark native_restore_fl extern inline
(bnc#1012628).
- x86/spectre: Add missing family 6 check to microcode check
(bnc#1012628).
- x86/speculation/l1tf: Increase l1tf memory limit for Nehalem+
(bnc#1012628).
- hwmon: (nct6775) Fix potential Spectre v1 (bnc#1012628).
- x86/entry/64: Wipe KASAN stack shadow before
rewind_stack_do_exit() (bnc#1012628).
- x86: Allow generating user-space headers without a compiler
(bnc#1012628).
- s390/mm: fix addressing exception after suspend/resume
(bnc#1012628).
- s390/lib: use expoline for all bcr instructions (bnc#1012628).
- s390: fix br_r1_trampoline for machines without exrl
(bnc#1012628).
- s390/qdio: reset old sbal_state flags (bnc#1012628).
- s390/numa: move initial setup of node_to_cpumask_map
(bnc#1012628).
- s390/pci: fix out of bounds access during irq setup
(bnc#1012628).
- s390/purgatory: Fix crash with expoline enabled (bnc#1012628).
- s390/purgatory: Add missing FORCE to Makefile targets
(bnc#1012628).
- kprobes: Show blacklist addresses as same as kallsyms does
(bnc#1012628).
- kprobes: Replace %p with other pointer types (bnc#1012628).
- kprobes/arm: Fix %p uses in error messages (bnc#1012628).
- kprobes: Make list and blacklist root user read only
(bnc#1012628).
- MIPS: Correct the 64-bit DSP accumulator register size
(bnc#1012628).
- MIPS: memset.S: Fix byte_fixup for MIPSr6 (bnc#1012628).
- MIPS: Always use -march=<arch>, not -<arch> shortcuts
(bnc#1012628).
- MIPS: Change definition of cpu_relax() for Loongson-3
(bnc#1012628).
- MIPS: lib: Provide MIPS64r6 __multi3() for GCC < 7
(bnc#1012628).
- tpm: Return the actual size when receiving an unsupported
command (bnc#1012628).
- tpm: separate cmd_ready/go_idle from runtime_pm (bnc#1012628).
- scsi: mpt3sas: Fix calltrace observed while running IO & reset
(bnc#1012628).
- scsi: mpt3sas: Fix _transport_smp_handler() error path
(bnc#1012628).
- scsi: sysfs: Introduce sysfs_{un,}break_active_protection()
(bnc#1012628).
- scsi: core: Avoid that SCSI device removal through sysfs
triggers a deadlock (bnc#1012628).
- iscsi target: fix session creation failure handling
(bnc#1012628).
- mtd: rawnand: hynix: Use ->exec_op() in
hynix_nand_reg_write_op() (bnc#1012628).
- mtd: rawnand: fsmc: Stop using chip->read_buf() (bnc#1012628).
- mtd: rawnand: marvell: add suspend and resume hooks
(bnc#1012628).
- mtd: rawnand: qcom: wait for desc completion in all BAM channels
(bnc#1012628).
- clk: rockchip: fix clk_i2sout parent selection bits on rk3399
(bnc#1012628).
- clk: npcm7xx: fix memory allocation (bnc#1012628).
- PM / clk: signedness bug in of_pm_clk_add_clks() (bnc#1012628).
- power: generic-adc-battery: fix out-of-bounds write when
copying channel properties (bnc#1012628).
- power: generic-adc-battery: check for duplicate properties
copied from iio channels (bnc#1012628).
- watchdog: Mark watchdog touch functions as notrace
(bnc#1012628).
- cdrom: Fix info leak/OOB read in cdrom_ioctl_drive_status
(bnc#1012628).
- x86/dumpstack: Don't dump kernel memory based on usermode RIP
(bnc#1012628).
- Refresh
patches.suse/0006-x86-stacktrace-Enable-HAVE_RELIABLE_STACKTRACE-for-t.patch.
- Update config files.
- commit 70ab8ae
-------------------------------------------------------------------
Mon Sep 3 08:44:37 CEST 2018 - jslaby@suse.cz
- rpm/kernel-binary.spec.in: fix call of split-modules
split-modules is called with some parameters depending on config
options. But since we do not use backslash consistelny, the call to
split-modules might be evaluated so that also the following cat
command is appended. Avoid this behaviour by using backslashes
everywhere and add %nil to the end.
This perhaps never happens, but stay on the safe side.
- commit 32df888
-------------------------------------------------------------------
Mon Sep 3 07:31:05 CEST 2018 - mkubecek@suse.cz
- Update to 4.19-rc2
- refresh configs
- commit a9462db
-------------------------------------------------------------------
Sun Sep 2 09:18:16 CEST 2018 - agraf@suse.de
- arm64: KVM: Only force FPEXC32_EL2.EN if trapping FPSIMD
(bsc#1106841).
- commit dd0155c
-------------------------------------------------------------------
Sat Sep 1 20:52:02 CEST 2018 - afaerber@suse.de
- config: arm64: Increase SERIAL_8250_RUNTIME_UARTS to 32 (boo#1073193)
(cherry picked from commit 0dbc49ba128ef0931ca04cf22ec5c638534f5b23)
- config: arm64: Increase SERIAL_8250_RUNTIME_UARTS to 32 (boo#1073193)
- commit 6aae50e
-------------------------------------------------------------------
Sat Sep 1 19:44:18 CEST 2018 - afaerber@suse.de
- config: Enable SERIAL_SC16IS7XX_SPI on arm and x86 (bsc#1105672, fate#326668)
(cherry picked from commit cdc9eced6d892ff77abbeef5f0d5eb38c114602c)
- config: Enable SERIAL_SC16IS7XX_SPI on arm and x86 (bsc#1105672, fate#326668)
- commit 22a4d36
-------------------------------------------------------------------
Sat Sep 1 19:25:40 CEST 2018 - afaerber@suse.de
- config: Consistently increase SERIAL_8250_NR_UARTS to 32 (boo#1073193)
(cherry picked from commit acb36abf212a3a7428d958798d678d82351f4658)
- config: Consistently increase SERIAL_8250_NR_UARTS to 32 (boo#1073193)
- commit 090b553
-------------------------------------------------------------------
Sat Sep 1 17:27:29 CEST 2018 - afaerber@suse.de
- config: armv7hl: Update to 4.19-rc1
- commit d1f42dc
-------------------------------------------------------------------
Sat Sep 1 17:01:26 CEST 2018 - afaerber@suse.de
- config: armv7hl: Update to 4.18.5 (bsc#1012628)
(cherry picked from commit fa0ebc5508eedec2c9108cafdf854a8c53a28a83)
[AF: Don't re-enable the configs yet]
- config: armv7hl: Update to 4.18.5 (bsc#1012628)
- commit f2722e4
-------------------------------------------------------------------
Sat Sep 1 14:40:57 CEST 2018 - afaerber@suse.de
- config: armv6hl: Update to 4.19-rc1
- commit 2f56919
-------------------------------------------------------------------
Fri Aug 31 23:38:59 CEST 2018 - afaerber@suse.de
- config: armv6hl: Update to 4.18.5 (bsc#1012628)
(cherry picked from commit e9071067714392290f6b0d525c77c8abfa8cf075)
[AF: Don't re-enable configs yet]
- config: armv6hl: Update to 4.18.5 (bsc#1012628)
- commit d01db43
-------------------------------------------------------------------
Fri Aug 31 21:42:45 CEST 2018 - afaerber@suse.de
- config: arm64: Update to 4.19-rc1
- commit a6a88d1
-------------------------------------------------------------------
Wed Aug 29 21:06:19 CEST 2018 - mkubecek@suse.cz
- powerpc/boot: Fix missing crc32poly.h when building with
KERNEL_XZ.
- Delete
patches.rpmify/Revert-lib-Use-existing-define-with-polynomial.patch.
- commit cba84f7
-------------------------------------------------------------------
Wed Aug 29 20:56:44 CEST 2018 - mkubecek@suse.cz
- kernel-binary: check also bzImage on s390/s390x
Starting with 4.19-rc1, uncompressed image is no longer built on s390x.
If file "image" is not found in arch/s390/boot after the build, try bzImage
instead.
For now, install bzImage under the name image-* until we know grub2 and our
grub2 scripts can handle correct name.
- commit 92b52c6
-------------------------------------------------------------------
Tue Aug 28 16:19:05 CEST 2018 - jbeulich@suse.com
- Refresh patches.suse/supported-flag after upstream commit b2c5cdcfd4.
- Refresh
patches.suse/kernel-add-product-identifying-information-to-kernel-build.patch.
- commit 8b0e2e5
-------------------------------------------------------------------
Mon Aug 27 13:07:01 CEST 2018 - mkubecek@suse.cz
- Revert "lib: Use existing define with polynomial".
- commit 1dc6366
-------------------------------------------------------------------
Mon Aug 27 10:44:52 CEST 2018 - mkubecek@suse.cz
- Update to 4.19-rc1
- Eliminated 179 patches (167 stable, 12 other)
- ARM configs need updating
- Config changes:
- Block:
- BLK_CGROUP_IOLATENCY=y
- Networking:
- XFRM_INTERFACE=m
- NETFILTER_NETLINK_OSF=m
- NFT_TUNNEL=m
- NFT_OSF=m
- NFT_TPROXY=m
- NET_SCH_ETF=m
- NET_SCH_SKBPRIO=m
- NET_SCH_CAKE=m
- CAN_UCAN=m
- BT_HCIUART_RTL=y
- BT_MTKUART=m
- BCMGENET=m
- SYSTEMPORT=m
- BNXT_HWMON=y
- NET_VENDOR_CADENCE=y (rename)
- BE2NET_BE2=y
- BE2NET_BE3=y
- BE2NET_LANCER=y
- BE2NET_SKYHAWK=y
- MLX5_EN_ARFS=y
- MLX5_EN_RXNFC=y
- NET_VENDOR_NETERION=y
- NET_VENDOR_PACKET_ENGINES=y
- MT76x0U=m
- MT76x2U=m
- IEEE802154_HWSIM=m
- File systems:
- OVERLAY_FS_METACOPY=n
- UBIFS_FS_XATTR=y
- PSTORE_ZSTD_COMPRESS=y
- CIFS_ALLOW_INSECURE_LEGACY=y
- Security:
- RANDOM_TRUST_CPU=n
- IMA_APPRAISE_BUILD_POLICY=n
- Hacking:
- CONSOLE_LOGLEVEL_QUIET=4
- KPROBE_EVENTS_ON_NOTRACE=n
- TEST_BITFIELD=n
- TEST_IDA=n
- Library:
- CRC64=m
- Graphics:
- VIDEO_CROS_EC_CEC=m
- DRM_DP_CEC=y
- DRM_VKMS=m
- TINYDRM_ILI9341=n
- FRAMEBUFFER_CONSOLE_DEFERRED_TAKEOVER=y
- Sound:
- SND_SOC_INTEL_GLK_RT5682_MAX98357A_MACH=m
- SND_SOC_ES7241=n
- SND_SOC_SIMPLE_AMPLIFIER=n
- Input:
- TOUCHSCREEN_ADC=m
- TOUCHSCREEN_BU21029=m
- HID_COUGAR=m
- USB:
- TYPEC_DP_ALTMODE=m
- Multifunction:
- MFD_MADERA=m
- MFD_MADERA_I2C=m
- MFD_MADERA_SPI=m
- GPIO_MADERA=m
- MFD_CS47L35=y
- MFD_CS47L85=y
- MFD_CS47L90=y
- IIO:
- BME680=m
- AD5758=n
- SI1133=n
- ISL29501=m
- FPGA:
- FPGA_DFL=m
- FPGA_DFL_FME=m
- FPGA_DFL_FME_MGR=m
- FPGA_DFL_FME_BRIDGE=m
- FPGA_DFL_FME_REGION=m
- FPGA_DFL_AFU=m
- FPGA_DFL_PCI=m
- XILINX_PR_DECOUPLER=m
- Power management:
- IDLE_INJECT=y
- CHARGER_ADP5061=m
- CHARGER_CROS_USBPD=m
- SENSORS_MLXREG_FAN=m
- SENSORS_NPCM7XX=m
- Misc drivers:
- GNSS=m
- GNSS_SERIAL=m
- GNSS_SIRF_SERIAL=m
- GNSS_UBX_SERIAL=m
- MTD_SPI_NAND=m
- NVM_PBLK_DEBUG=n
- PINCTRL_ICELAKE=m
- COMMON_CLK_MAX9485=n
- IOMMU_DEFAULT_PASSTHROUGH=n
- XEN:
- XEN_GRANT_DMA_ALLOC=y
- XEN_GNTDEV_DMABUF=y
- x86:
- STAGING_GASKET_FRAMEWORK=m
- STAGING_APEX_DRIVER=m
- XIL_AXIS_FIFO=m
- TOUCHSCREEN_DMI=y
- I2C_MULTI_INSTANTIATE=m
- CROS_EC_I2C=m
- CROS_EC_SPI=m
- MLXREG_IO=m
- i386:
- PCIE_XILINX=y
- MFD_ROHM_BD718XX=n
- DRM_PANEL_ILITEK_ILI9881C=n
- MMC_SDHCI_OF_DWCMSHC=n
- PAGE_TABLE_ISOLATION=y
- PowerPC:
- I2C_MUX_PINCTRL=m
- I2C_DEMUX_PINCTRL=m
- I2C_FSI=m
- DEBUG_PINCTRL=n
- PINCTRL_AMD=m
- PINCTRL_MCP23S08=n
- PINCTRL_SINGLE=n
- PINCTRL_SX150X=n
- LEDS_AAT1290=n
- FSI_NEW_DEV_NODE=n
- FSI_SBEFIFO=m
- S/390:
- KERNEL_GZIP=y
- S2IO=m
- VXGE=m
- VXGE_DEBUG_TRACE_ALL=n
- HAMACHI=m
- YELLOWFIN=m
- MDIO_BCM_UNIMAC=m
- ISM=m
- */debug:
- NVM_PBLK_DEBUG=y
- IOMMU_DEBUGFS=y
- PREEMPTIRQ_DELAY_TEST=m
- commit a3b9cac
-------------------------------------------------------------------
Fri Aug 24 14:18:39 CEST 2018 - jslaby@suse.cz
- Linux 4.18.5 (bnc#1012628).
- reiserfs: fix broken xattr handling (heap corruption, bad
retval) (bnc#1012628).
- i2c: imx: Fix race condition in dma read (bnc#1012628).
- i2c: core: ACPI: Properly set status byte to 0 for multi-byte
writes (bnc#1012628).
- PCI: pciehp: Fix unprotected list iteration in IRQ handler
(bnc#1012628).
- PCI: pciehp: Fix use-after-free on unplug (bnc#1012628).
- PCI: Skip MPS logic for Virtual Functions (VFs) (bnc#1012628).
- PCI: aardvark: Size bridges before resources allocation
(bnc#1012628).
- PCI: hotplug: Don't leak pci_slot on registration failure
(bnc#1012628).
- PCI / ACPI / PM: Resume all bridges on suspend-to-RAM
(bnc#1012628).
- PCI: Restore resized BAR state on resume (bnc#1012628).
- parisc: Remove ordered stores from syscall.S (bnc#1012628).
- parisc: Remove unnecessary barriers from spinlock.h
(bnc#1012628).
- drm/amdgpu/pm: Fix potential Spectre v1 (bnc#1012628).
- drm/i915/kvmgt: Fix potential Spectre v1 (bnc#1012628).
- ext4: fix spectre gadget in ext4_mb_regular_allocator()
(bnc#1012628).
- powerpc64s: Show ori31 availability in spectre_v1 sysfs file
not v2 (bnc#1012628).
- x86/mm/init: Remove freed kernel image areas from alias mapping
(bnc#1012628).
- x86/mm/init: Add helper for freeing kernel image pages
(bnc#1012628).
- x86/mm/init: Pass unconverted symbol addresses to
free_init_pages() (bnc#1012628).
- mm: Allow non-direct-map arguments to free_reserved_area()
(bnc#1012628).
- pty: fix O_CLOEXEC for TIOCGPTPEER (bnc#1012628).
- EDAC: Add missing MEM_LRDDR4 entry in edac_mem_types[]
(bnc#1012628).
- commit d918293
-------------------------------------------------------------------
Fri Aug 24 11:28:38 CEST 2018 - vbabka@suse.cz
- x86/speculation/l1tf: Suggest what to do on systems with too
much RAM (bsc#1105536).
- x86/speculation/l1tf: Fix off-by-one error when warning that
system has too much RAM (bsc#1105536).
- x86/speculation/l1tf: Fix overflow in l1tf_pfn_limit() on 32bit
(OBS failures reported on IRC).
- commit f3b24ad
-------------------------------------------------------------------
Fri Aug 24 09:37:42 CEST 2018 - jslaby@suse.cz
- Update config files.
- Delete
patches.suse/revert-mm-relax-deferred-struct-page-requirements.patch.
We actually do not this non-upstream revert. We only need the config
change. That is: leave DEFERRED_STRUCT_PAGE_INIT=n for i386 as it was
before 4.16.
- commit 161b8ee
-------------------------------------------------------------------
Wed Aug 22 08:55:52 CEST 2018 - jslaby@suse.cz
- Linux 4.18.4 (bnc#1012628).
- l2tp: use sk_dst_check() to avoid race on sk->sk_dst_cache
(bnc#1012628).
- net_sched: fix NULL pointer dereference when delete tcindex
filter (bnc#1012628).
- net_sched: Fix missing res info when create new tc_index filter
(bnc#1012628).
- r8169: don't use MSI-X on RTL8168g (bnc#1012628).
- ALSA: hda - Sleep for 10ms after entering D3 on Conexant codecs
(bnc#1012628).
- ALSA: hda - Turn CX8200 into D3 as well upon reboot
(bnc#1012628).
- ALSA: vx222: Fix invalid endian conversions (bnc#1012628).
- ALSA: virmidi: Fix too long output trigger loop (bnc#1012628).
- ALSA: cs5535audio: Fix invalid endian conversion (bnc#1012628).
- ALSA: dice: fix wrong copy to rx parameters for Alesis iO26
(bnc#1012628).
- ALSA: hda: Correct Asrock B85M-ITX power_save blacklist entry
(bnc#1012628).
- ALSA: memalloc: Don't exceed over the requested size
(bnc#1012628).
- ALSA: vxpocket: Fix invalid endian conversions (bnc#1012628).
- ALSA: seq: Fix poll() error return (bnc#1012628).
- media: gl861: fix probe of dvb_usb_gl861 (bnc#1012628).
- USB: serial: sierra: fix potential deadlock at close
(bnc#1012628).
- USB: serial: pl2303: add a new device id for ATEN (bnc#1012628).
- USB: option: add support for DW5821e (bnc#1012628).
- ACPI / PM: save NVS memory for ASUS 1025C laptop (bnc#1012628).
- tty: serial: 8250: Revert NXP SC16C2552 workaround
(bnc#1012628).
- serial: 8250_exar: Read INT0 from slave device, too
(bnc#1012628).
- serial: 8250_dw: always set baud rate in dw8250_set_termios
(bnc#1012628).
- serial: 8250_dw: Add ACPI support for uart on Broadcom SoC
(bnc#1012628).
- uio: fix wrong return value from uio_mmap() (bnc#1012628).
- misc: sram: fix resource leaks in probe error path
(bnc#1012628).
- Revert "uio: use request_threaded_irq instead" (bnc#1012628).
- Bluetooth: avoid killing an already killed socket (bnc#1012628).
- isdn: Disable IIOCDBGVAR (bnc#1012628).
- net: sock_diag: Fix spectre v1 gadget in __sock_diag_cmd()
(bnc#1012628).
- r8169: don't use MSI-X on RTL8106e (bnc#1012628).
- ip_vti: fix a null pointer deferrence when create vti fallback
tunnel (bnc#1012628).
- net: ethernet: mvneta: Fix napi structure mixup on armada 3700
(bnc#1012628).
- net: mvneta: fix mvneta_config_rss on armada 3700 (bnc#1012628).
- cls_matchall: fix tcf_unbind_filter missing (bnc#1012628).
- commit a7b92e4
-------------------------------------------------------------------
Wed Aug 22 08:55:41 CEST 2018 - jslaby@suse.cz
- Linux 4.18.3 (bnc#1012628).
- x86/speculation/l1tf: Exempt zeroed PTEs from inversion
(bnc#1012628).
- commit a14f6a3
-------------------------------------------------------------------
Wed Aug 22 08:55:22 CEST 2018 - jslaby@suse.cz
- Linux 4.18.2 (bnc#1012628).
- x86/mm: Add TLB purge to free pmd/pte page interfaces
(bnc#1012628).
- ioremap: Update pgtable free interfaces with addr (bnc#1012628).
- Bluetooth: hidp: buffer overflow in hidp_process_report
(bnc#1012628).
- crypto: skcipher - fix crash flushing dcache in error path
(bnc#1012628).
- crypto: skcipher - fix aligning block size in skcipher_copy_iv()
(bnc#1012628).
- crypto: ablkcipher - fix crash flushing dcache in error path
(bnc#1012628).
- crypto: blkcipher - fix crash flushing dcache in error path
(bnc#1012628).
- crypto: vmac - separate tfm and request context (bnc#1012628).
- crypto: vmac - require a block cipher with 128-bit block size
(bnc#1012628).
- crypto: x86/sha256-mb - fix digest copy in
sha256_mb_mgr_get_comp_job_avx2() (bnc#1012628).
- crypto: ccp - Fix command completion detection race
(bnc#1012628).
- crypto: ccp - Check for NULL PSP pointer at module unload
(bnc#1012628).
- crypto: ccree - fix iv handling (bnc#1012628).
- crypto: ccree - fix finup (bnc#1012628).
- kbuild: verify that $DEPMOD is installed (bnc#1012628).
- x86/mm: Disable ioremap free page handling on x86-PAE
(bnc#1012628).
- xen/pv: Call get_cpu_address_sizes to set x86_virt/phys_bits
(bnc#1012628).
- x86/mm/pti: Clear Global bit more aggressively (bnc#1012628).
- x86/platform/UV: Mark memblock related init code and data
correctly (bnc#1012628).
- x86/hyper-v: Check for VP_INVAL in hyperv_flush_tlb_others()
(bnc#1012628).
- x86: i8259: Add missing include file (bnc#1012628).
- x86/l1tf: Fix build error seen if CONFIG_KVM_INTEL is disabled
(bnc#1012628).
- commit 51ef786
-------------------------------------------------------------------
Wed Aug 22 08:55:04 CEST 2018 - jslaby@suse.cz
- Linux 4.18.1 (bnc#1012628).
- x86/init: fix build with CONFIG_SWAP=n (bnc#1012628).
- cpu/hotplug: Non-SMP machines do not make use of booted_once
(bnc#1012628).
- x86/smp: fix non-SMP broken build due to redefinition of
apic_id_is_primary_thread (bnc#1012628).
- commit 7e40689
-------------------------------------------------------------------
Wed Aug 22 08:45:26 CEST 2018 - jslaby@suse.cz
- Linux 4.18.4 (bnc#1012628).
- l2tp: use sk_dst_check() to avoid race on sk->sk_dst_cache
(bnc#1012628).
- net_sched: fix NULL pointer dereference when delete tcindex
filter (bnc#1012628).
- net_sched: Fix missing res info when create new tc_index filter
(bnc#1012628).
- r8169: don't use MSI-X on RTL8168g (bnc#1012628).
- ALSA: hda - Sleep for 10ms after entering D3 on Conexant codecs
(bnc#1012628).
- ALSA: hda - Turn CX8200 into D3 as well upon reboot
(bnc#1012628).
- ALSA: vx222: Fix invalid endian conversions (bnc#1012628).
- ALSA: virmidi: Fix too long output trigger loop (bnc#1012628).
- ALSA: cs5535audio: Fix invalid endian conversion (bnc#1012628).
- ALSA: dice: fix wrong copy to rx parameters for Alesis iO26
(bnc#1012628).
- ALSA: hda: Correct Asrock B85M-ITX power_save blacklist entry
(bnc#1012628).
- ALSA: memalloc: Don't exceed over the requested size
(bnc#1012628).
- ALSA: vxpocket: Fix invalid endian conversions (bnc#1012628).
- ALSA: seq: Fix poll() error return (bnc#1012628).
- media: gl861: fix probe of dvb_usb_gl861 (bnc#1012628).
- USB: serial: sierra: fix potential deadlock at close
(bnc#1012628).
- USB: serial: pl2303: add a new device id for ATEN (bnc#1012628).
- USB: option: add support for DW5821e (bnc#1012628).
- ACPI / PM: save NVS memory for ASUS 1025C laptop (bnc#1012628).
- tty: serial: 8250: Revert NXP SC16C2552 workaround
(bnc#1012628).
- serial: 8250_exar: Read INT0 from slave device, too
(bnc#1012628).
- serial: 8250_dw: always set baud rate in dw8250_set_termios
(bnc#1012628).
- serial: 8250_dw: Add ACPI support for uart on Broadcom SoC
(bnc#1012628).
- uio: fix wrong return value from uio_mmap() (bnc#1012628).
- misc: sram: fix resource leaks in probe error path
(bnc#1012628).
- Revert "uio: use request_threaded_irq instead" (bnc#1012628).
- Bluetooth: avoid killing an already killed socket (bnc#1012628).
- isdn: Disable IIOCDBGVAR (bnc#1012628).
- net: sock_diag: Fix spectre v1 gadget in __sock_diag_cmd()
(bnc#1012628).
- r8169: don't use MSI-X on RTL8106e (bnc#1012628).
- ip_vti: fix a null pointer deferrence when create vti fallback
tunnel (bnc#1012628).
- net: ethernet: mvneta: Fix napi structure mixup on armada 3700
(bnc#1012628).
- net: mvneta: fix mvneta_config_rss on armada 3700 (bnc#1012628).
- cls_matchall: fix tcf_unbind_filter missing (bnc#1012628).
- commit 06f7c50
-------------------------------------------------------------------
Tue Aug 21 16:38:32 CEST 2018 - msuchanek@suse.de
- ACPICA: Clear status of all events when entering sleep states
(boo#1104529).
- commit 5d7a9a7
-------------------------------------------------------------------
Tue Aug 21 16:37:17 CEST 2018 - msuchanek@suse.de
- Delete patches.suse/Revert-ACPICA-Events-Stop-unconditionally-clearing-A.patch.
- commit fb1dc2b
-------------------------------------------------------------------
Mon Aug 20 09:51:52 CEST 2018 - jslaby@suse.cz
- Linux 4.18.3 (bnc#1012628).
- x86/speculation/l1tf: Exempt zeroed PTEs from inversion
(bnc#1012628).
- commit 3914d9b
-------------------------------------------------------------------
Mon Aug 20 09:51:05 CEST 2018 - jslaby@suse.cz
- Linux 4.18.2 (bnc#1012628).
- x86/mm: Add TLB purge to free pmd/pte page interfaces
(bnc#1012628).
- ioremap: Update pgtable free interfaces with addr (bnc#1012628).
- Bluetooth: hidp: buffer overflow in hidp_process_report
(bnc#1012628).
- crypto: skcipher - fix crash flushing dcache in error path
(bnc#1012628).
- crypto: skcipher - fix aligning block size in skcipher_copy_iv()
(bnc#1012628).
- crypto: ablkcipher - fix crash flushing dcache in error path
(bnc#1012628).
- crypto: blkcipher - fix crash flushing dcache in error path
(bnc#1012628).
- crypto: vmac - separate tfm and request context (bnc#1012628).
- crypto: vmac - require a block cipher with 128-bit block size
(bnc#1012628).
- crypto: x86/sha256-mb - fix digest copy in
sha256_mb_mgr_get_comp_job_avx2() (bnc#1012628).
- crypto: ccp - Fix command completion detection race
(bnc#1012628).
- crypto: ccp - Check for NULL PSP pointer at module unload
(bnc#1012628).
- crypto: ccree - fix iv handling (bnc#1012628).
- crypto: ccree - fix finup (bnc#1012628).
- kbuild: verify that $DEPMOD is installed (bnc#1012628).
- x86/mm: Disable ioremap free page handling on x86-PAE
(bnc#1012628).
- xen/pv: Call get_cpu_address_sizes to set x86_virt/phys_bits
(bnc#1012628).
- x86/mm/pti: Clear Global bit more aggressively (bnc#1012628).
- x86/platform/UV: Mark memblock related init code and data
correctly (bnc#1012628).
- x86/hyper-v: Check for VP_INVAL in hyperv_flush_tlb_others()
(bnc#1012628).
- x86: i8259: Add missing include file (bnc#1012628).
- x86/l1tf: Fix build error seen if CONFIG_KVM_INTEL is disabled
(bnc#1012628).
- commit 403355b
-------------------------------------------------------------------
Fri Aug 17 11:05:01 CEST 2018 - mkubecek@suse.cz
- rpm/constraints.in: raise memory constraints
Build statistics show that most architectures already need more than 2 GB.
Require 4 GB except s390x where the memory usage is much lower and we might
have trouble finding any compliant worker.
- commit 494fb32
-------------------------------------------------------------------
Thu Aug 16 20:47:54 CEST 2018 - msuchanek@suse.de
- Revert "ACPICA: Events: Stop unconditionally clearing ACPI
IRQs during suspend/resume" (boo#1104529, bko#196249).
- commit 5dac824
-------------------------------------------------------------------
Thu Aug 16 12:24:28 CEST 2018 - jslaby@suse.cz
- Linux 4.18.1 (bnc#1012628).
- x86/init: fix build with CONFIG_SWAP=n (bnc#1012628).
- cpu/hotplug: Non-SMP machines do not make use of booted_once
(bnc#1012628).
- x86/smp: fix non-SMP broken build due to redefinition of
apic_id_is_primary_thread (bnc#1012628).
- commit 2f1304f
-------------------------------------------------------------------
Wed Aug 15 11:05:17 CEST 2018 - mkubecek@suse.cz
- Update config files.
- commit 07db4aa
-------------------------------------------------------------------
Wed Aug 15 08:47:25 CEST 2018 - jslaby@suse.cz
- Linux 4.18.1-rc1
It contains the L1TF fixes, so push the rc1 for now.
- x86/paravirt: Fix spectre-v2 mitigations for paravirt guests
(bnc#1012628).
- x86/speculation: Protect against userspace-userspace spectreRSB
(bnc#1012628).
- kprobes/x86: Fix %p uses in error messages (bnc#1012628).
- x86/irqflags: Provide a declaration for native_save_fl
(bnc#1012628).
- x86/speculation/l1tf: Increase 32bit PAE __PHYSICAL_PAGE_SHIFT
(bnc#1012628).
- x86/speculation/l1tf: Change order of offset/type in swap entry
(bnc#1012628).
- x86/speculation/l1tf: Protect swap entries against L1TF
(bnc#1012628).
- x86/speculation/l1tf: Protect PROT_NONE PTEs against speculation
(bnc#1012628).
- x86/speculation/l1tf: Make sure the first page is always
reserved (bnc#1012628).
- x86/speculation/l1tf: Add sysfs reporting for l1tf
(bnc#1012628).
- x86/speculation/l1tf: Disallow non privileged high MMIO
PROT_NONE mappings (bnc#1012628).
- x86/speculation/l1tf: Limit swap file size to MAX_PA/2
(bnc#1012628).
- x86/bugs: Move the l1tf function and define pr_fmt properly
(bnc#1012628).
- sched/smt: Update sched_smt_present at runtime (bnc#1012628).
- x86/smp: Provide topology_is_primary_thread() (bnc#1012628).
- x86/topology: Provide topology_smt_supported() (bnc#1012628).
- cpu/hotplug: Make bringup/teardown of smp threads symmetric
(bnc#1012628).
- cpu/hotplug: Split do_cpu_down() (bnc#1012628).
- cpu/hotplug: Provide knobs to control SMT (bnc#1012628).
- x86/cpu: Remove the pointless CPU printout (bnc#1012628).
- x86/cpu/AMD: Remove the pointless detect_ht() call
(bnc#1012628).
- x86/cpu/common: Provide detect_ht_early() (bnc#1012628).
- x86/cpu/topology: Provide detect_extended_topology_early()
(bnc#1012628).
- x86/cpu/intel: Evaluate smp_num_siblings early (bnc#1012628).
- x86/CPU/AMD: Do not check CPUID max ext level before parsing
SMP info (bnc#1012628).
- x86/cpu/AMD: Evaluate smp_num_siblings early (bnc#1012628).
- x86/apic: Ignore secondary threads if nosmt=force (bnc#1012628).
- x86/speculation/l1tf: Extend 64bit swap file size limit
(bnc#1012628).
- x86/cpufeatures: Add detection of L1D cache flush support
(bnc#1012628).
- x86/CPU/AMD: Move TOPOEXT reenablement before reading
smp_num_siblings (bnc#1012628).
- x86/speculation/l1tf: Protect PAE swap entries against L1TF
(bnc#1012628).
- x86/speculation/l1tf: Fix up pte->pfn conversion for PAE
(bnc#1012628).
- Revert "x86/apic: Ignore secondary threads if nosmt=force"
(bnc#1012628).
- cpu/hotplug: Boot HT siblings at least once (bnc#1012628).
- x86/KVM: Warn user if KVM is loaded SMT and L1TF CPU bug being
present (bnc#1012628).
- x86/KVM/VMX: Add module argument for L1TF mitigation
(bnc#1012628).
- x86/KVM/VMX: Add L1D flush algorithm (bnc#1012628).
- x86/KVM/VMX: Add L1D MSR based flush (bnc#1012628).
- x86/KVM/VMX: Add L1D flush logic (bnc#1012628).
- x86/KVM/VMX: Split the VMX MSR LOAD structures to have an
host/guest numbers (bnc#1012628).
- x86/KVM/VMX: Add find_msr() helper function (bnc#1012628).
- x86/KVM/VMX: Separate the VMX AUTOLOAD guest/host number
accounting (bnc#1012628).
- x86/KVM/VMX: Extend add_atomic_switch_msr() to allow VMENTER
only MSRs (bnc#1012628).
- x86/KVM/VMX: Use MSR save list for IA32_FLUSH_CMD if required
(bnc#1012628).
- cpu/hotplug: Online siblings when SMT control is turned on
(bnc#1012628).
- x86/litf: Introduce vmx status variable (bnc#1012628).
- x86/kvm: Drop L1TF MSR list approach (bnc#1012628).
- x86/l1tf: Handle EPT disabled state proper (bnc#1012628).
- x86/kvm: Move l1tf setup function (bnc#1012628).
- x86/kvm: Add static key for flush always (bnc#1012628).
- x86/kvm: Serialize L1D flush parameter setter (bnc#1012628).
- x86/kvm: Allow runtime control of L1D flush (bnc#1012628).
- cpu/hotplug: Expose SMT control init function (bnc#1012628).
- cpu/hotplug: Set CPU_SMT_NOT_SUPPORTED early (bnc#1012628).
- x86/bugs, kvm: Introduce boot-time control of L1TF mitigations
(bnc#1012628).
- Documentation: Add section about CPU vulnerabilities
(bnc#1012628).
- x86/speculation/l1tf: Unbreak !__HAVE_ARCH_PFN_MODIFY_ALLOWED
architectures (bnc#1012628).
- x86/KVM/VMX: Initialize the vmx_l1d_flush_pages' content
(bnc#1012628).
- Documentation/l1tf: Fix typos (bnc#1012628).
- cpu/hotplug: detect SMT disabled by BIOS (bnc#1012628).
- x86/KVM/VMX: Don't set l1tf_flush_l1d to true from
vmx_l1d_flush() (bnc#1012628).
- x86/KVM/VMX: Replace 'vmx_l1d_flush_always' with
'vmx_l1d_flush_cond' (bnc#1012628).
- x86/KVM/VMX: Move the l1tf_flush_l1d test to vmx_l1d_flush()
(bnc#1012628).
- x86/irq: Demote irq_cpustat_t::__softirq_pending to u16
(bnc#1012628).
- x86/KVM/VMX: Introduce per-host-cpu analogue of l1tf_flush_l1d
(bnc#1012628).
- x86: Don't include linux/irq.h from asm/hardirq.h (bnc#1012628).
- x86/irq: Let interrupt handlers set kvm_cpu_l1tf_flush_l1d
(bnc#1012628).
- x86/KVM/VMX: Don't set l1tf_flush_l1d from
vmx_handle_external_intr() (bnc#1012628).
- Documentation/l1tf: Remove Yonah processors from not vulnerable
list (bnc#1012628).
- x86/speculation: Simplify sysfs report of VMX L1TF vulnerability
(bnc#1012628).
- x86/speculation: Use ARCH_CAPABILITIES to skip L1D flush on
vmentry (bnc#1012628).
- KVM: VMX: Tell the nested hypervisor to skip L1D flush on
vmentry (bnc#1012628).
- cpu/hotplug: Fix SMT supported evaluation (bnc#1012628).
- x86/speculation/l1tf: Invert all not present mappings
(bnc#1012628).
- x86/speculation/l1tf: Make pmd/pud_mknotpresent() invert
(bnc#1012628).
- x86/mm/pat: Make set_memory_np() L1TF safe (bnc#1012628).
- x86/mm/kmmio: Make the tracer robust against L1TF (bnc#1012628).
- tools headers: Synchronise x86 cpufeatures.h for L1TF additions
(bnc#1012628).
- x86/microcode: Allow late microcode loading with SMT disabled
(bnc#1012628).
- Refresh
patches.suse/0001-x86-speculation-Add-basic-IBRS-support-infrastructur.patch.
- Update config files.
- commit a23e15c
-------------------------------------------------------------------
Tue Aug 14 21:43:31 CEST 2018 - mkubecek@suse.cz
- Update upstream reference:
patches.suse/hv-netvsc-Fix-NULL-dereference-at-single-queue-mode-.patch.
- commit 0425184
-------------------------------------------------------------------
Tue Aug 14 20:02:18 CEST 2018 - tiwai@suse.de
- hv/netvsc: Fix NULL dereference at single queue mode fallback
(bsc#1104708).
- commit a0cb9f6
-------------------------------------------------------------------
Mon Aug 13 08:39:28 CEST 2018 - jslaby@suse.cz
- Refresh
patches.suse/platform-x86-ideapad-laptop-Apply-no_hw_rfkill-to-Y2.
Update upstream info.
- commit 799dc2a
-------------------------------------------------------------------
Mon Aug 13 07:05:27 CEST 2018 - mkubecek@suse.cz
- Update to 4.18-final.
- Refresh configs
- commit 06ab9b3
-------------------------------------------------------------------
Thu Aug 9 14:44:18 CEST 2018 - jslaby@suse.cz
- Linux 4.17.14 (bnc#1012628).
- jfs: Fix inconsistency between memory allocation and
ea_buf->max_size (bnc#1012628).
- xfs: validate cached inodes are free when allocated
(bnc#1012628).
- xfs: don't call xfs_da_shrink_inode with NULL bp (bnc#1012628).
- Partially revert "block: fail op_is_write() requests to
read-only partitions" (bnc#1012628).
- Btrfs: fix file data corruption after cloning a range and fsync
(bnc#1012628).
- i2c: imx: Fix reinit_completion() use (bnc#1012628).
- ring_buffer: tracing: Inherit the tracing setting to next ring
buffer (bnc#1012628).
- netlink: Don't shift on 64 for ngroups (bnc#1012628).
- nohz: Fix missing tick reprogram when interrupting an inline
softirq (bnc#1012628).
- nohz: Fix local_timer_softirq_pending() (bnc#1012628).
- perf/x86/intel/uncore: Fix hardcoded index of Broadwell extra
PCI devices (bnc#1012628).
- genirq: Make force irq threading setup more robust
(bnc#1012628).
- jfs: Fix usercopy whitelist for inline inode data (bnc#1012628).
- scsi: qla2xxx: Return error when TMF returns (bnc#1012628).
- scsi: qla2xxx: Fix ISP recovery on unload (bnc#1012628).
- scsi: qla2xxx: Fix driver unload by shutting down chip
(bnc#1012628).
- scsi: qla2xxx: Fix NPIV deletion by calling
wait_for_sess_deletion (bnc#1012628).
- scsi: qla2xxx: Fix unintialized List head crash (bnc#1012628).
- commit dc49b43
-------------------------------------------------------------------
Tue Aug 7 20:41:46 CEST 2018 - mkubecek@suse.cz
- config: refresh s390x/vanilla
Add new option CONFIG_SYSTEM_DATA_VERIFICATION=n
- commit 3ee2023
-------------------------------------------------------------------
Mon Aug 6 23:06:47 CEST 2018 - jslaby@suse.cz
- Linux 4.17.13 (bnc#1012628).
- scsi: sg: fix minor memory leak in error path (bnc#1012628).
- drm/atomic: Initialize variables in
drm_atomic_helper_async_check() to make gcc happy (bnc#1012628).
- drm/atomic: Check old_plane_state->crtc in
drm_atomic_helper_async_check() (bnc#1012628).
- drm/vc4: Reset ->{x, y}_scaling[1] when dealing with uniplanar
formats (bnc#1012628).
- crypto: padlock-aes - Fix Nano workaround data corruption
(bnc#1012628).
- RDMA/uverbs: Expand primary and alt AV port checks
(bnc#1012628).
- brcmfmac: fix regression in parsing NVRAM for multiple devices
(bnc#1012628).
- iwlwifi: add more card IDs for 9000 series (bnc#1012628).
- userfaultfd: remove uffd flags from vma->vm_flags if
UFFD_EVENT_FORK fails (bnc#1012628).
- ipc/shm.c add ->pagesize function to shm_vm_ops (bnc#1012628).
- audit: fix potential null dereference 'context->module.name'
(bnc#1012628).
- kvm: x86: vmx: fix vpid leak (bnc#1012628).
- x86/entry/64: Remove %ebx handling from error_entry/exit
(bnc#1012628).
- x86/apic: Future-proof the TSC_DEADLINE quirk for SKX
(bnc#1012628).
- x86/efi: Access EFI MMIO data as unencrypted when SEV is active
(bnc#1012628).
- virtio_balloon: fix another race between migration and
ballooning (bnc#1012628).
- net: socket: Fix potential spectre v1 gadget in
sock_is_registered (bnc#1012628).
- net: socket: fix potential spectre v1 gadget in socketcall
(bnc#1012628).
- can: ems_usb: Fix memory leak on ems_usb_disconnect()
(bnc#1012628).
- squashfs: more metadata hardenings (bnc#1012628).
- squashfs: more metadata hardening (bnc#1012628).
- net/mlx5e: IPoIB, Set the netdevice sw mtu in ipoib enhanced
flow (bnc#1012628).
- net/mlx5e: Set port trust mode to PCP as default (bnc#1012628).
- net/mlx5e: E-Switch, Initialize eswitch only if eswitch manager
(bnc#1012628).
- rxrpc: Fix user call ID check in rxrpc_service_prealloc_one
(bnc#1012628).
- net: stmmac: Fix WoL for PCI-based setups (bnc#1012628).
- netlink: Fix spectre v1 gadget in netlink_create()
(bnc#1012628).
- net: dsa: Do not suspend/resume closed slave_dev (bnc#1012628).
- ipv4: frags: handle possible skb truesize change (bnc#1012628).
- inet: frag: enforce memory limits earlier (bnc#1012628).
- bonding: avoid lockdep confusion in bond_get_stats()
(bnc#1012628).
- commit 9079348
-------------------------------------------------------------------
Mon Aug 6 02:19:17 CEST 2018 - mkubecek@suse.cz
- Update to 4.18-rc8
- commit 9928e10
-------------------------------------------------------------------
Fri Aug 3 08:32:48 CEST 2018 - jslaby@suse.cz
- Linux 4.17.12 (bnc#1012628).
- ACPICA: AML Parser: ignore control method status in module-level
code (bnc#1012628).
- ACPI / LPSS: Avoid PM quirks on suspend and resume from
hibernation (bnc#1012628).
- tcp: ack immediately when a cwr packet arrives (bnc#1012628).
- tcp: add one more quick ack after after ECN events
(bnc#1012628).
- tcp: refactor tcp_ecn_check_ce to remove sk type cast
(bnc#1012628).
- tcp: do not aggressively quick ack after ECN events
(bnc#1012628).
- tcp: add max_quickacks param to tcp_incr_quickack and
tcp_enter_quickack_mode (bnc#1012628).
- tcp: do not force quickack when receiving out-of-order packets
(bnc#1012628).
- netlink: Don't shift with UB on nlk->ngroups (bnc#1012628).
- netlink: Do not subscribe to non-existent groups (bnc#1012628).
- net: rollback orig value on failure of
dev_qdisc_change_tx_queue_len (bnc#1012628).
- cxgb4: Added missing break in ndo_udp_tunnel_{add/del}
(bnc#1012628).
- xen-netfront: wait xenbus state change when load module manually
(bnc#1012628).
- virtio_net: Fix incosistent received bytes counter
(bnc#1012628).
- tcp_bbr: fix bw probing to raise in-flight data for very small
BDPs (bnc#1012628).
- RDS: RDMA: Fix the NULL-ptr deref in rds_ib_get_mr
(bnc#1012628).
- NET: stmmac: align DMA stuff to largest cache line length
(bnc#1012628).
- net: mdio-mux: bcm-iproc: fix wrong getter and setter pair
(bnc#1012628).
- net: lan78xx: fix rx handling before first packet is send
(bnc#1012628).
- net: fix amd-xgbe flow-control issue (bnc#1012628).
- net: ena: Fix use of uninitialized DMA address bits field
(bnc#1012628).
- netdevsim: don't leak devlink resources (bnc#1012628).
- ipv4: remove BUG_ON() from fib_compute_spec_dst (bnc#1012628).
- net: dsa: qca8k: Allow overwriting CPU port setting
(bnc#1012628).
- net: dsa: qca8k: Add QCA8334 binding documentation
(bnc#1012628).
- net: dsa: qca8k: Enable RXMAC when bringing up a port
(bnc#1012628).
- net: dsa: qca8k: Force CPU port to its highest bandwidth
(bnc#1012628).
- RDMA/uverbs: Protect from attempts to create flows on
unsupported QP (bnc#1012628).
- gpio: uniphier: set legitimate irq trigger type in .to_irq hook
(bnc#1012628).
- gpio: of: Handle fixed regulator flags properly (bnc#1012628).
- ext4: fix check to prevent initializing reserved inodes
(bnc#1012628).
- ext4: check for allocation block validity with block group
locked (bnc#1012628).
- ext4: fix inline data updates with checksums enabled
(bnc#1012628).
- ext4: fix false negatives *and* false positives in
ext4_check_descriptors() (bnc#1012628).
- squashfs: be more careful about metadata corruption
(bnc#1012628).
- random: mix rdrand with entropy sent in from userspace
(bnc#1012628).
- i2c: rcar: handle RXDMA HW behaviour on Gen3 (bnc#1012628).
- nvmet-fc: fix target sgl list on large transfers (bnc#1012628).
- block: reset bi_iter.bi_done after splitting bio (bnc#1012628).
- blkdev: __blkdev_direct_IO_simple: fix leak in error case
(bnc#1012628).
- block: bio_iov_iter_get_pages: fix size of last iovec
(bnc#1012628).
- drm/amdgpu: Avoid reclaim while holding locks taken in MMU
notifier (bnc#1012628).
- drm/dp/mst: Fix off-by-one typo when dump payload table
(bnc#1012628).
- drm/atomic-helper: Drop plane->fb references only for
drm_atomic_helper_shutdown() (bnc#1012628).
- drm: Add DP PSR2 sink enable bit (bnc#1012628).
- ARM: dts: imx6qdl-wandboard: Let the codec control MCLK pinctrl
(bnc#1012628).
- ASoC: topology: Add missing clock gating parameter when parsing
hw_configs (bnc#1012628).
- ASoC: topology: Fix bclk and fsync inversion in
set_link_hw_format() (bnc#1012628).
- net: socionext: reset hardware in ndo_stop (bnc#1012628).
- media: si470x: fix __be16 annotations (bnc#1012628).
- media: cec: fix smatch error (bnc#1012628).
- media: atomisp: compat32: fix __user annotations (bnc#1012628).
- PCI/ASPM: Disable ASPM L1.2 Substate if we don't have LTR
(bnc#1012628).
- scsi: cxlflash: Avoid clobbering context control register value
(bnc#1012628).
- scsi: cxlflash: Synchronize reset and remove ops (bnc#1012628).
- scsi: megaraid_sas: Increase timeout by 1 sec for non-RAID
fastpath IOs (bnc#1012628).
- scsi: scsi_dh: replace too broad "TP9" string with the exact
models (bnc#1012628).
- drm/stm: ltdc: fix warning in ltdc_crtc_update_clut()
(bnc#1012628).
- ath10k: search all IEs for variant before falling back
(bnc#1012628).
- regulator: Don't return or expect -errno from of_map_mode()
(bnc#1012628).
- media: omap3isp: fix unbalanced dma_iommu_mapping (bnc#1012628).
- media: rc: mce_kbd decoder: low timeout values cause double
keydowns (bnc#1012628).
- y2038: ipc: Use ktime_get_real_seconds consistently
(bnc#1012628).
- crypto: authenc - don't leak pointers to authenc keys
(bnc#1012628).
- crypto: authencesn - don't leak pointers to authenc keys
(bnc#1012628).
- usb: hub: Don't wait for connect state at resume for powered-off
ports (bnc#1012628).
- microblaze: Fix simpleImage format generation (bnc#1012628).
- soc: imx: gpcv2: Do not pass static memory as platform data
(bnc#1012628).
- serial: core: Make sure compiler barfs for 16-byte earlycon
names (bnc#1012628).
- staging: ks7010: fix error handling in ks7010_upload_firmware
(bnc#1012628).
- staging: lustre: ldlm: free resource when ldlm_lock_create()
fails (bnc#1012628).
- staging: lustre: llite: correct removexattr detection
(bnc#1012628).
- staging: vchiq_core: Fix missing semaphore release in error case
(bnc#1012628).
- platform/x86: dell-smbios: Match on www.dell.com in OEM strings
too (bnc#1012628).
- drm/rockchip: analogix_dp: Do not call Analogix code before bind
(bnc#1012628).
- audit: allow not equal op for audit by executable (bnc#1012628).
- rsi: fix nommu_map_sg overflow kernel panic (bnc#1012628).
- rsi: Fix 'invalid vdd' warning in mmc (bnc#1012628).
- ipconfig: Correctly initialise ic_nameservers (bnc#1012628).
- drm/gma500: fix psb_intel_lvds_mode_valid()'s return type
(bnc#1012628).
- qtnfmac: pearl: pcie: fix memory leak in qtnf_fw_work_handler
(bnc#1012628).
- igb: Fix queue selection on MAC filters on i210 (bnc#1012628).
- ASoC: compress: Only call free for components which have been
opened (bnc#1012628).
- arm64: defconfig: Enable Rockchip io-domain driver
(bnc#1012628).
- ASoC: fsl_ssi: Use u32 variable type when using regmap_read()
(bnc#1012628).
- nvme: lightnvm: add granby support (bnc#1012628).
- memory: tegra: Apply interrupts mask per SoC (bnc#1012628).
- memory: tegra: Do not handle spurious interrupts (bnc#1012628).
- ath10k: fix kernel panic while reading tpc_stats (bnc#1012628).
- delayacct: Use raw_spinlocks (bnc#1012628).
- stop_machine: Use raw spinlocks (bnc#1012628).
- backlight: pwm_bl: Don't use GPIOF_* with gpiod_get_direction
(bnc#1012628).
- mt76: add rcu locking around tx scheduling (bnc#1012628).
- i40e: avoid overflow in i40e_ptp_adjfreq() (bnc#1012628).
- i40e: Add advertising 10G LR mode (bnc#1012628).
- dt-bindings: net: meson-dwmac: new compatible name for AXG SoC
(bnc#1012628).
- net: hns3: Fixes the out of bounds access in hclge_map_tqp
(bnc#1012628).
- spi: meson-spicc: Fix error handling in meson_spicc_probe()
(bnc#1012628).
- dt-bindings: pinctrl: meson: add support for the Meson8m2 SoC
(bnc#1012628).
- mmc: pwrseq: Use kmalloc_array instead of stack VLA
(bnc#1012628).
- mmc: dw_mmc: update actual clock for mmc debugfs (bnc#1012628).
- ALSA: hda/ca0132: fix build failure when a local macro is
defined (bnc#1012628).
- mlxsw: spectrum_router: Return an error for non-default FIB
rules (bnc#1012628).
- f2fs: check cap_resource only for data blocks (bnc#1012628).
- mmc: sdhci-omap: Fix when capabilities are obtained from
SDHCI_CAPABILITIES reg (bnc#1012628).
- drm/atomic: Handling the case when setting old crtc for plane
(bnc#1012628).
- mt76x2: fix avg_rssi estimation (bnc#1012628).
- media: siano: get rid of __le32/__le16 cast warnings
(bnc#1012628).
- media: em28xx: fix a regression with HVR-950 (bnc#1012628).
- f2fs: avoid fsync() failure caused by EAGAIN in writepage()
(bnc#1012628).
- bpf: fix references to free_bpf_prog_info() in comments
(bnc#1012628).
- regulator: add dummy function of_find_regulator_by_node
(bnc#1012628).
- thermal: exynos: fix setting rising_threshold for Exynos5433
(bnc#1012628).
- staging: lustre: o2iblnd: Fix FastReg map/unmap for MLX5
(bnc#1012628).
- staging: lustre: o2iblnd: fix race at kiblnd_connect_peer
(bnc#1012628).
- dma-direct: try reallocation with GFP_DMA32 if possible
(bnc#1012628).
- scsi: qedf: Set the UNLOADING flag when removing a vport
(bnc#1012628).
- soc/tegra: pmc: Don't allocate struct tegra_powergate on stack
(bnc#1012628).
- scsi: hisi_sas: config ATA de-reset as an constrained command
for v3 hw (bnc#1012628).
- scsi: megaraid: silence a static checker bug (bnc#1012628).
- scsi: 3w-xxxx: fix a missing-check bug (bnc#1012628).
- scsi: 3w-9xxx: fix a missing-check bug (bnc#1012628).
- staging: most: cdev: fix chrdev_region leak (bnc#1012628).
- mm, powerpc, x86: define VM_PKEY_BITx bits if
CONFIG_ARCH_HAS_PKEYS is enabled (bnc#1012628).
- bnxt_en: Always forward VF MAC address to the PF (bnc#1012628).
- bnxt_en: Check unsupported speeds in bnxt_update_link() on PF
only (bnc#1012628).
- net: phy: sfp: handle cases where neither BR, min nor BR,
max is given (bnc#1012628).
- perf: fix invalid bit in diagnostic entry (bnc#1012628).
- s390/cpum_sf: Add data entry sizes to sampling trailer entry
(bnc#1012628).
- brcmfmac: Add support for bcm43364 wireless chipset
(bnc#1012628).
- mtd: rawnand: fsl_ifc: fix FSL NAND driver to read all ONFI
parameter pages (bnc#1012628).
- media: em28xx: Fix DualHD broken second tuner (bnc#1012628).
- media: renesas-ceu: Set mbus_fmt on subdev operations
(bnc#1012628).
- media: saa7164: Fix driver name in debug output (bnc#1012628).
- media: media-device: fix ioctl function types (bnc#1012628).
- ACPI / LPSS: Only call pwm_add_table() for Bay Trail PWM if
PMIC HRV is 2 (bnc#1012628).
- libata: Fix command retry decision (bnc#1012628).
- media: rcar_jpu: Add missing clk_disable_unprepare() on error
in jpu_open() (bnc#1012628).
- net: phy: phylink: Release link GPIO (bnc#1012628).
- dma-iommu: Fix compilation when !CONFIG_IOMMU_DMA (bnc#1012628).
- tty: Fix data race in tty_insert_flip_string_fixed_flag
(bnc#1012628).
- i40e: free the skb after clearing the bitlock (bnc#1012628).
- ARM: dts: imx53: Fix LDB OF graph warning (bnc#1012628).
- nvmem: properly handle returned value nvmem_reg_read
(bnc#1012628).
- ARM: dts: sh73a0: Add missing interrupt-affinity to PMU node
(bnc#1012628).
- ARM: dts: emev2: Add missing interrupt-affinity to PMU node
(bnc#1012628).
- ARM: dts: stih407-pinctrl: Fix complain about IRQ_TYPE_NONE
usage (bnc#1012628).
- ARM: dts: stih410: Fix complain about IRQ_TYPE_NONE usage
(bnc#1012628).
- rsi: Add null check for virtual interfaces in wowlan config
(bnc#1012628).
- EDAC, altera: Fix ARM64 build warning (bnc#1012628).
- HID: i2c-hid: check if device is there before really probing
(bnc#1012628).
- powerpc/embedded6xx/hlwd-pic: Prevent interrupts from being
handled by Starlet (bnc#1012628).
- IB: Fix RDMA_RXE and INFINIBAND_RDMAVT dependencies for
DMA_VIRT_OPS (bnc#1012628).
- drm/amd/display: Fix dim display on DCE11 (bnc#1012628).
- drm/amdgpu: Remove VRAM from shared bo domains (bnc#1012628).
- drm/radeon: fix mode_valid's return type (bnc#1012628).
- drm/amd/display: remove need of modeset flag for overlay planes
(V2) (bnc#1012628).
- arm64: dts: renesas: salvator-common: use audio-graph-card
for Sound (bnc#1012628).
- HID: hid-plantronics: Re-resend Update to map button for PTT
products (bnc#1012628).
- arm64: cmpwait: Clear event register before arming exclusive
monitor (bnc#1012628).
- media: staging: atomisp: Comment out several unused sensor
resolutions (bnc#1012628).
- media: atomisp: ov2680: don't declare unused vars (bnc#1012628).
- net: hns3: Fix for fiber link up problem (bnc#1012628).
- ALSA: usb-audio: Apply rate limit to warning messages in URB
complete callback (bnc#1012628).
- net: ethernet: ti: cpsw-phy-sel: check bus_find_device()
ret value (bnc#1012628).
- clocksource: Move inline keyword to the beginning of function
declarations (bnc#1012628).
- PCI/DPC: Clear interrupt status in interrupt handler top half
(bnc#1012628).
- media: smiapp: fix timeout checking in smiapp_read_nvm
(bnc#1012628).
- gpu: host1x: Acquire a reference to the IOVA cache
(bnc#1012628).
- ixgbevf: fix MAC address changes through ixgbevf_set_mac()
(bnc#1012628).
- md: fix NULL dereference of mddev->pers in
remove_and_add_spares() (bnc#1012628).
- md/raid1: add error handling of read error from FailFast device
(bnc#1012628).
- regulator: pfuze100: add .is_enable() for
pfuze100_swb_regulator_ops (bnc#1012628).
- ALSA: emu10k1: Rate-limit error messages about page errors
(bnc#1012628).
- rtc: tps65910: fix possible race condition (bnc#1012628).
- rtc: vr41xx: fix possible race condition (bnc#1012628).
- rtc: tps6586x: fix possible race condition (bnc#1012628).
- Bluetooth: btusb: add ID for LiteOn 04ca:301a (bnc#1012628).
- drm/nouveau/fifo/gk104-: poll for runlist update completion
(bnc#1012628).
- drm/nouveau/gem: lookup VMAs for buffers referenced by pushbuf
ioctl (bnc#1012628).
- drm/nouveau: remove fence wait code from deferred client work
handler (bnc#1012628).
- scsi: zfcp: assert that the ERP lock is held when tracing a
recovery trigger (bnc#1012628).
- scsi: ufs: fix exception event handling (bnc#1012628).
- scsi: ufs: ufshcd: fix possible unclocked register access
(bnc#1012628).
- net: mvpp2: Add missing VLAN tag detection (bnc#1012628).
- fscrypt: use unbound workqueue for decryption (bnc#1012628).
- net: hns3: Fix for hns3 module is loaded multiple times problem
(bnc#1012628).
- net: hns3: Fix the missing client list node initialization
(bnc#1012628).
- net: hns3: Fix for CMDQ and Misc. interrupt init order problem
(bnc#1012628).
- spi: Add missing pm_runtime_put_noidle() after failed get
(bnc#1012628).
- drivers/perf: arm-ccn: don't log to dmesg in event_init
(bnc#1012628).
- watchdog: renesas-wdt: Add support for the R8A77965 WDT
(bnc#1012628).
- ima: based on policy verify firmware signatures (pre-allocated
buffer) (bnc#1012628).
- mt76x2: apply coverage class on slot time too (bnc#1012628).
- mwifiex: correct histogram data with appropriate index
(bnc#1012628).
- net: dsa: qca8k: Add support for QCA8334 switch (bnc#1012628).
- PCI: pciehp: Request control of native hotplug only if supported
(bnc#1012628).
- bpf: powerpc64: pad function address loads with NOPs
(bnc#1012628).
- bpf: fix multi-function JITed dump obtained via syscall
(bnc#1012628).
- pinctrl: msm: fix gpio-hog related boot issues (bnc#1012628).
- pinctrl: at91-pio4: add missing of_node_put (bnc#1012628).
- powerpc/8xx: fix invalid register expression in head_8xx.S
(bnc#1012628).
- spi: sh-msiof: Fix setting SIRMDR1.SYNCAC to match
SITMDR1.SYNCAC (bnc#1012628).
- KVM: x86: prevent integer overflows in
KVM_MEMORY_ENCRYPT_REG_REGION (bnc#1012628).
- powerpc: Add __printf verification to prom_printf (bnc#1012628).
- powerpc/powermac: Mark variable x as unused (bnc#1012628).
- powerpc/powermac: Add missing prototype for note_bootable_part()
(bnc#1012628).
- powerpc/chrp/time: Make some functions static, add missing
header include (bnc#1012628).
- powerpc/32: Add a missing include header (bnc#1012628).
- sched/cpufreq: Modify aggregate utilization to always include
blocked FAIR utilization (bnc#1012628).
- ath: Add regulatory mapping for Bahamas (bnc#1012628).
- ath: Add regulatory mapping for Bermuda (bnc#1012628).
- ath: Add regulatory mapping for Serbia (bnc#1012628).
- ath: Add regulatory mapping for Tanzania (bnc#1012628).
- ath: Add regulatory mapping for Uganda (bnc#1012628).
- ath: Add regulatory mapping for APL2_FCCA (bnc#1012628).
- ath: Add regulatory mapping for APL13_WORLD (bnc#1012628).
- ath: Add regulatory mapping for ETSI8_WORLD (bnc#1012628).
- ath: Add regulatory mapping for FCC3_ETSIC (bnc#1012628).
- nvme-pci: Fix AER reset handling (bnc#1012628).
- nvme-rdma: stop admin queue before freeing it (bnc#1012628).
- soc: qcom: smem: byte swap values properly (bnc#1012628).
- soc: qcom: smem: fix qcom_smem_set_global_partition()
(bnc#1012628).
- soc: qcom: qmi: fix a buffer sizing bug (bnc#1012628).
- PCI: Prevent sysfs disable of device while driver is attached
(bnc#1012628).
- PM / wakeup: Make s2idle_lock a RAW_SPINLOCK (bnc#1012628).
- x86/microcode: Make the late update update_lock a raw lock
for RT (bnc#1012628).
- btrfs: qgroup: Finish rescan when hit the last leaf of extent
tree (bnc#1012628).
- btrfs: add barriers to btrfs_sync_log before log_commit_wait
wakeups (bnc#1012628).
- Btrfs: don't BUG_ON() in btrfs_truncate_inode_items()
(bnc#1012628).
- Btrfs: don't return ino to ino cache if inode item removal fails
(bnc#1012628).
- media: videobuf2-core: don't call memop 'finish' when queueing
(bnc#1012628).
- media: cec-pin-error-inj: avoid a false-positive Spectre
detection (bnc#1012628).
- media: tw686x: Fix incorrect vb2_mem_ops GFP flags
(bnc#1012628).
- net: hns3: Fixes the init of the VALID BD info in the descriptor
(bnc#1012628).
- net: hns3: Fixes initalization of RoCE handle and makes it
conditional (bnc#1012628).
- wlcore: sdio: check for valid platform device data before
suspend (bnc#1012628).
- mwifiex: handle race during mwifiex_usb_disconnect
(bnc#1012628).
- mfd: cros_ec: Fail early if we cannot identify the EC
(bnc#1012628).
- ASoC: dpcm: fix BE dai not hw_free and shutdown (bnc#1012628).
- Bluetooth: btusb: Add a new Realtek 8723DE ID 2ff8:b011
(bnc#1012628).
- drivers/bus: arm-cci: fix build warnings (bnc#1012628).
- drm/amd/display: Do not program interrupt status on disabled
crtc (bnc#1012628).
- Bluetooth: hci_qca: Fix "Sleep inside atomic section" warning
(bnc#1012628).
- iwlwifi: mvm: open BA session only when sta is authorized
(bnc#1012628).
- iwlwifi: pcie: fix race in Rx buffer allocator (bnc#1012628).
- btrfs: balance dirty metadata pages in btrfs_finish_ordered_io
(bnc#1012628).
- PCI: Fix devm_pci_alloc_host_bridge() memory leak (bnc#1012628).
- qtnfmac: fix invalid STA state on EAPOL failure (bnc#1012628).
- selftests/filesystems: devpts_pts included wrong header
(bnc#1012628).
- selftests: filesystems: return Kselftest Skip code for skipped
tests (bnc#1012628).
- selftests: intel_pstate: return Kselftest Skip code for skipped
tests (bnc#1012628).
- selftests: kvm: return Kselftest Skip code for skipped tests
(bnc#1012628).
- selftests: memfd: return Kselftest Skip code for skipped tests
(bnc#1012628).
- selftests/intel_pstate: Improve test, minor fixes (bnc#1012628).
- perf/x86/intel/uncore: Correct fixed counter index check for
NHM (bnc#1012628).
- perf/x86/intel/uncore: Correct fixed counter index check in
generic code (bnc#1012628).
- usbip: dynamically allocate idev by nports found in sysfs
(bnc#1012628).
- usbip: usbip_detach: Fix memory, udev context and udev leak
(bnc#1012628).
- block, bfq: remove wrong lock in bfq_requests_merged
(bnc#1012628).
- f2fs: fix race in between GC and atomic open (bnc#1012628).
- f2fs: fix to detect failure of dquot_initialize (bnc#1012628).
- f2fs: fix missing clear FI_NO_PREALLOC in some error case
(bnc#1012628).
- f2fs: Fix deadlock in shutdown ioctl (bnc#1012628).
- f2fs: fix to wait page writeback during revoking atomic write
(bnc#1012628).
- f2fs: fix to don't trigger writeback during recovery
(bnc#1012628).
- f2fs: don't drop dentry pages after fs shutdown (bnc#1012628).
- f2fs: fix error path of move_data_page (bnc#1012628).
- disable loading f2fs module on PAGE_SIZE > 4KB (bnc#1012628).
- NFS: Fix up nfs_post_op_update_inode() to force ctime updates
(bnc#1012628).
- pnfs: Don't release the sequence slot until we've processed
layoutget on open (bnc#1012628).
- netfilter: nf_tables: check msg_type before nft_trans_set(trans)
(bnc#1012628).
- lightnvm: pblk: warn in case of corrupted write buffer
(bnc#1012628).
- lightnvm: proper error handling for pblk_bio_add_pages
(bnc#1012628).
- lightnvm: fix partial read error path (bnc#1012628).
- RDMA/mad: Convert BUG_ONs to error flows (bnc#1012628).
- net: hns3: Fix for service_task not running problem after
resetting (bnc#1012628).
- net: hns3: Fix for phy not link up problem after resetting
(bnc#1012628).
- clk: ingenic: jz4770: Modify C1CLK clock to disable CPU clock
stop on idle (bnc#1012628).
- clk-si544: Properly round requested frequency to nearest match
(bnc#1012628).
- powerpc/64s: Fix compiler store ordering to SLB shadow area
(bnc#1012628).
- hvc_opal: don't set tb_ticks_per_usec in udbg_init_opal_common()
(bnc#1012628).
- powerpc/eeh: Fix use-after-release of EEH driver (bnc#1012628).
- powerpc/64s: Add barrier_nospec (bnc#1012628).
- powerpc/lib: Adjust .balign inside string functions for PPC32
(bnc#1012628).
- infiniband: fix a possible use-after-free bug (bnc#1012628).
- e1000e: Ignore TSYNCRXCTL when getting I219 clock attributes
(bnc#1012628).
- ceph: fix use-after-free in ceph_statfs() (bnc#1012628).
- ceph: fix alignment of rasize (bnc#1012628).
- bpf, arm32: fix inconsistent naming about emit_a32_lsr_{r64,i64}
(bnc#1012628).
- printk: drop in_nmi check from printk_safe_flush_on_panic()
(bnc#1012628).
- media: arch: sh: migor: Fix TW9910 PDN gpio (bnc#1012628).
- watchdog: da9063: Fix updating timeout value (bnc#1012628).
- irqchip/ls-scfg-msi: Map MSIs in the iommu (bnc#1012628).
- netfilter: ipset: List timing out entries with "timeout 1"
instead of zero (bnc#1012628).
- netfilter: ipset: forbid family for hash:mac sets (bnc#1012628).
- perf tools: Fix pmu events parsing rule (bnc#1012628).
- net: hns3: Fix for VF mailbox cannot receiving PF response
(bnc#1012628).
- rxrpc: Fix terminal retransmission connection ID to include
the channel (bnc#1012628).
- rtc: ensure rtc_set_alarm fails when alarms are not supported
(bnc#1012628).
- mm/slub.c: add __printf verification to slab_err()
(bnc#1012628).
- mm: vmalloc: avoid racy handling of debugobjects in vunmap
(bnc#1012628).
- mm: /proc/pid/pagemap: hide swap entries from unprivileged users
(bnc#1012628).
- mem_cgroup: make sure moving_account, move_lock_task and
stat_cpu in the same cacheline (bnc#1012628).
- kernel/hung_task.c: show all hung tasks before panic
(bnc#1012628).
- vfio/type1: Fix task tracking for QEMU vCPU hotplug
(bnc#1012628).
- vfio/mdev: Check globally for duplicate devices (bnc#1012628).
- vfio: platform: Fix reset module leak in error path
(bnc#1012628).
- nfsd: fix potential use-after-free in nfsd4_decode_getdeviceinfo
(bnc#1012628).
- nfsd: fix error handling in nfs4_set_delegation() (bnc#1012628).
- NFSv4.1: Fix the client behaviour on NFS4ERR_SEQ_FALSE_RETRY
(bnc#1012628).
- ALSA: fm801: add error handling for snd_ctl_add (bnc#1012628).
- ALSA: emu10k1: add error handling for snd_ctl_add (bnc#1012628).
- ixgbe: Fix setting of TC configuration for macvlan case
(bnc#1012628).
- skip LAYOUTRETURN if layout is invalid (bnc#1012628).
- hv_netvsc: fix network namespace issues with VF support
(bnc#1012628).
- xen/netfront: raise max number of slots in
xennet_get_responses() (bnc#1012628).
- drm/amd/powerplay: Set higher SCLK&MCLK frequency than dpm7
in OD (v2) (bnc#1012628).
- mm: check for SIGKILL inside dup_mmap() loop (bnc#1012628).
- kcov: ensure irq code sees a valid area (bnc#1012628).
- mlxsw: spectrum_switchdev: Fix port_vlan refcounting
(bnc#1012628).
- drm/i915/glk: Add Quirk for GLK NUC HDMI port issues
(bnc#1012628).
- arm64: fix vmemmap BUILD_BUG_ON() triggering on !vmemmap setups
(bnc#1012628).
- tracing: Quiet gcc warning about maybe unused link variable
(bnc#1012628).
- tracing/kprobes: Fix trace_probe flags on enable_trace_kprobe()
failure (bnc#1012628).
- kthread, tracing: Don't expose half-written comm when creating
kthreads (bnc#1012628).
- tracing: Fix possible double free in event_enable_trigger_func()
(bnc#1012628).
- tracing: Fix double free of event_trigger_data (bnc#1012628).
- delayacct: fix crash in delayacct_blkio_end() after delayacct
init failure (bnc#1012628).
- kvm, mm: account shadow page tables to kmemcg (bnc#1012628).
- mm: disallow mappings that conflict for devm_memremap_pages()
(bnc#1012628).
- Input: elan_i2c - add another ACPI ID for Lenovo Ideapad
330-15AST (bnc#1012628).
- Input: i8042 - add Lenovo LaVie Z to the i8042 reset list
(bnc#1012628).
- Input: elan_i2c - add ACPI ID for lenovo ideapad 330
(bnc#1012628).
- spi: spi-s3c64xx: Fix system resume support (bnc#1012628).
- commit ef4920c
-------------------------------------------------------------------
Mon Jul 30 08:41:14 CEST 2018 - jslaby@suse.cz
- Update config files.
Run oldconfig on s390x's vanilla.
- commit 6676306
-------------------------------------------------------------------
Mon Jul 30 06:49:41 CEST 2018 - mkubecek@suse.cz
- Update to 4.18-rc7
- commit 68c9705
-------------------------------------------------------------------
Sun Jul 29 09:58:56 CEST 2018 - jslaby@suse.cz
- Linux 4.17.11 (bnc#1012628).
- can: m_can.c: fix setup of CCCR register: clear CCCR NISO bit
before checking can.ctrlmode (bnc#1012628).
- can: m_can: Fix runtime resume call (bnc#1012628).
- can: peak_canfd: fix firmware < v3.3.0: limit allocation to
32-bit DMA addr only (bnc#1012628).
- can: xilinx_can: fix RX overflow interrupt not being enabled
(bnc#1012628).
- can: xilinx_can: fix incorrect clear of non-processed interrupts
(bnc#1012628).
- can: xilinx_can: keep only 1-2 frames in TX FIFO to fix TX
accounting (bnc#1012628).
- can: xilinx_can: fix device dropping off bus on RX overrun
(bnc#1012628).
- can: xilinx_can: fix recovery from error states not being
propagated (bnc#1012628).
- can: xilinx_can: fix power management handling (bnc#1012628).
- can: xilinx_can: fix RX loop if RXNEMP is asserted without RXOK
(bnc#1012628).
- driver core: Partially revert "driver core: correct device's
shutdown order" (bnc#1012628).
- ACPICA: AML Parser: ignore dispatcher error status during
table load (bnc#1012628).
- usb: gadget: f_fs: Only return delayed status when len is 0
(bnc#1012628).
- usb: gadget: Fix OS descriptors support (bnc#1012628).
- usb: xhci: Fix memory leak in xhci_endpoint_reset()
(bnc#1012628).
- usb: dwc2: Fix DMA alignment to start at allocated boundary
(bnc#1012628).
- usb: core: handle hub C_PORT_OVER_CURRENT condition
(bnc#1012628).
- usb: cdc_acm: Add quirk for Castles VEGA3000 (bnc#1012628).
- staging: speakup: fix wraparound in uaccess length check
(bnc#1012628).
- Revert "staging:r8188eu: Use lib80211 to support TKIP"
(bnc#1012628).
- tcp: add tcp_ooo_try_coalesce() helper (bnc#1012628).
- tcp: call tcp_drop() from tcp_data_queue_ofo() (bnc#1012628).
- tcp: detect malicious patterns in tcp_collapse_ofo_queue()
(bnc#1012628).
- tcp: avoid collapses in tcp_prune_queue() if possible
(bnc#1012628).
- tcp: free batches of packets in tcp_prune_ofo_queue()
(bnc#1012628).
- vxlan: fix default fdb entry netlink notify ordering during
netdev create (bnc#1012628).
- vxlan: make netlink notify in vxlan_fdb_destroy optional
(bnc#1012628).
- vxlan: add new fdb alloc and create helpers (bnc#1012628).
- rtnetlink: add rtnl_link_state check in rtnl_configure_link
(bnc#1012628).
- net/mlx5: Adjust clock overflow work period (bnc#1012628).
- net/mlx5e: Fix quota counting in aRFS expire flow (bnc#1012628).
- net/mlx5e: Don't allow aRFS for encapsulated packets
(bnc#1012628).
- net/ipv6: Fix linklocal to global address with VRF
(bnc#1012628).
- multicast: do not restore deleted record source filter mode
to new one (bnc#1012628).
- net: phy: consider PHY_IGNORE_INTERRUPT in phy_start_aneg_priv
(bnc#1012628).
- sock: fix sg page frag coalescing in sk_alloc_sg (bnc#1012628).
- nfp: flower: ensure dead neighbour entries are not offloaded
(bnc#1012628).
- net/mlx5e: Refine ets validation function (bnc#1012628).
- net/mlx5e: Only allow offloading decap egress (egdev) flows
(bnc#1012628).
- net/mlx5e: Add ingress/egress indication for offloaded TC flows
(bnc#1012628).
- tls: check RCV_SHUTDOWN in tls_wait_data (bnc#1012628).
- r8169: restore previous behavior to accept BIOS WoL settings
(bnc#1012628).
- net/mlx5: E-Switch, UBSAN fix undefined behavior in
mlx5_eswitch_mode (bnc#1012628).
- tcp: do not delay ACK in DCTCP upon CE status change
(bnc#1012628).
- tcp: do not cancel delay-AcK on DCTCP special ACK (bnc#1012628).
- tcp: helpers to send special DCTCP ack (bnc#1012628).
- tcp: fix dctcp delayed ACK schedule (bnc#1012628).
- net: skb_segment() should not return NULL (bnc#1012628).
- net-next/hinic: fix a problem in hinic_xmit_frame()
(bnc#1012628).
- net/mlx4_core: Save the qpn from the input modifier in RST2INIT
wrapper (bnc#1012628).
- net: dsa: mv88e6xxx: fix races between lock and irq freeing
(bnc#1012628).
- ip: in cmsg IP(V6)_ORIGDSTADDR call pskb_may_pull (bnc#1012628).
- ip: hash fragments consistently (bnc#1012628).
- bonding: set default miimon value for non-arp modes if not set
(bnc#1012628).
- clk: meson-gxbb: set fclk_div2 as CLK_IS_CRITICAL (bnc#1012628).
- drm/nouveau: Set DRIVER_ATOMIC cap earlier to fix debugfs
(bnc#1012628).
- drm/nouveau/drm/nouveau: Fix runtime PM leak in
nv50_disp_atomic_commit() (bnc#1012628).
- KVM: PPC: Check if IOMMU page is contained in the pinned
physical page (bnc#1012628).
- xen/PVH: Set up GS segment for stack canary (bnc#1012628).
- clk: aspeed: Support HPLL strapping on ast2400 (bnc#1012628).
- clk: aspeed: Mark bclk (PCIe) and dclk (VGA) as critical
(bnc#1012628).
- clk: mvebu: armada-37xx-periph: Fix switching CPU rate from
300Mhz to 1.2GHz (bnc#1012628).
- MIPS: Fix off-by-one in pci_resource_to_user() (bnc#1012628).
- MIPS: ath79: fix register address in ath79_ddr_wb_flush()
(bnc#1012628).
- Revert "iommu/intel-iommu: Enable CONFIG_DMA_DIRECT_OPS=y and
clean up intel_{alloc,free}_coherent()" (bnc#1012628).
- KVM: VMX: support MSR_IA32_ARCH_CAPABILITIES as a feature MSR
(bnc#1012628).
- commit d626dd8
-------------------------------------------------------------------
Thu Jul 26 10:12:05 CEST 2018 - msuchanek@suse.de
- Revert "Revert "Pass x86 as architecture on x86_64 and i386 (bsc#1093118).""
This reverts commit f604b8a2baa6f01a438c2d495a34820ed722aef5.
- commit b5ab6f7
-------------------------------------------------------------------
Thu Jul 26 09:51:15 CEST 2018 - msuchanek@suse.de
- Update config files.
- commit c195a0c
-------------------------------------------------------------------
Thu Jul 26 07:30:20 CEST 2018 - jslaby@suse.cz
- Revert "Pass x86 as architecture on x86_64 and i386 (bsc#1093118)."
This reverts commit 5f24fb07e56ac11cd19527c51f5a547f1d0946d4. It
breaks all i586 builds.
- commit f604b8a
-------------------------------------------------------------------
Wed Jul 25 11:43:03 CEST 2018 - jslaby@suse.cz
- Linux 4.17.10 (bnc#1012628).
- xhci: Fix perceived dead host due to runtime suspend race with
event handler (bnc#1012628).
- cxl_getfile(): fix double-iput() on alloc_file() failures
(bnc#1012628).
- drm_mode_create_lease_ioctl(): fix open-coded filp_clone_open()
(bnc#1012628).
- alpha: fix osf_wait4() breakage (bnc#1012628).
- net: usb: asix: replace mii_nway_restart in resume path
(bnc#1012628).
- ipv6: make DAD fail with enhanced DAD when nonce length differs
(bnc#1012628).
- net: systemport: Fix CRC forwarding check for SYSTEMPORT Lite
(bnc#1012628).
- net/mlx4_en: Don't reuse RX page when XDP is set (bnc#1012628).
- net: aquantia: vlan unicast address list correct handling
(bnc#1012628).
- hv_netvsc: Fix napi reschedule while receive completion is busy
(bnc#1012628).
- sctp: fix the issue that pathmtu may be set lower than
MINSEGMENT (bnc#1012628).
- sctp: introduce sctp_dst_mtu (bnc#1012628).
- net: ip6_gre: get ipv6hdr after skb_cow_head() (bnc#1012628).
- tg3: Add higher cpu clock for 5762 (bnc#1012628).
- sch_fq_codel: zero q->flows_cnt when fq_codel_init fails
(bnc#1012628).
- rhashtable: add restart routine in rhashtable_free_and_destroy()
(bnc#1012628).
- qmi_wwan: add support for Quectel EG91 (bnc#1012628).
- ptp: fix missing break in switch (bnc#1012628).
- net: phy: fix flag masking in __set_phy_supported (bnc#1012628).
- net/ipv6: Do not allow device only routes via the multipath API
(bnc#1012628).
- net/ipv4: Set oif in fib_compute_spec_dst (bnc#1012628).
- skbuff: Unconditionally copy pfmemalloc in __skb_clone()
(bnc#1012628).
- net: Don't copy pfmemalloc flag in __copy_skb_header()
(bnc#1012628).
- net: diag: Don't double-free TCP_NEW_SYN_RECV sockets in
tcp_abort (bnc#1012628).
- lib/rhashtable: consider param->min_size when setting initial
table size (bnc#1012628).
- ipv6: ila: select CONFIG_DST_CACHE (bnc#1012628).
- ipv6: fix useless rol32 call on hash (bnc#1012628).
- ipv4: Return EINVAL when ping_group_range sysctl doesn't map
to user ns (bnc#1012628).
- gen_stats: Fix netlink stats dumping in the presence of padding
(bnc#1012628).
- drm/nouveau: Avoid looping through fake MST connectors
(bnc#1012628).
- drm/nouveau: Use drm_connector_list_iter_* for iterating
connectors (bnc#1012628).
- drm/nouveau: Remove bogus crtc check in pmops_runtime_idle
(bnc#1012628).
- Revert "drm/amd/display: Don't return ddc result and read_bytes
in same return value" (bnc#1012628).
- drm/i915: Fix hotplug irq ack on i965/g4x (bnc#1012628).
- drm/amdgpu: Reserve VM root shared fence slot for command
submission (v3) (bnc#1012628).
- powerpc/powernv: Fix save/restore of SPRG3 on entry/exit from
stop (idle) (bnc#1012628).
- stop_machine: Disable preemption when waking two stopper threads
(bnc#1012628).
- vfio/spapr: Use IOMMU pageshift rather than pagesize
(bnc#1012628).
- vfio/pci: Fix potential Spectre v1 (bnc#1012628).
- cpufreq: intel_pstate: Register when ACPI PCCH is present
(bnc#1012628).
- mm/huge_memory.c: fix data loss when splitting a file pmd
(bnc#1012628).
- mm: memcg: fix use after free in mem_cgroup_iter()
(bnc#1012628).
- ARC: mm: allow mprotect to make stack mappings executable
(bnc#1012628).
- ARC: configs: Remove CONFIG_INITRAMFS_SOURCE from defconfigs
(bnc#1012628).
- ARC: Fix CONFIG_SWAP (bnc#1012628).
- ARCv2: [plat-hsdk]: Save accl reg pair by default (bnc#1012628).
- ALSA: hda: add mute led support for HP ProBook 455 G5
(bnc#1012628).
- ALSA: hda/realtek - Yet another Clevo P950 quirk entry
(bnc#1012628).
- ALSA: hda/realtek - Add Panasonic CF-SZ6 headset jack quirk
(bnc#1012628).
- ALSA: rawmidi: Change resized buffers atomically (bnc#1012628).
- fat: fix memory allocation failure handling of match_strdup()
(bnc#1012628).
- x86/MCE: Remove min interval polling limitation (bnc#1012628).
- x86/events/intel/ds: Fix bts_interrupt_threshold alignment
(bnc#1012628).
- x86/apm: Don't access __preempt_count with zeroed fs
(bnc#1012628).
- x86/kvmclock: set pvti_cpu0_va after enabling kvmclock
(bnc#1012628).
- x86/kvm/vmx: don't read current->thread.{fs,gs}base of legacy
tasks (bnc#1012628).
- KVM: VMX: Mark VMXArea with revision_id of physical CPU even
when eVMCS enabled (bnc#1012628).
- KVM: irqfd: fix race between EPOLLHUP and
irq_bypass_register_consumer (bnc#1012628).
- KVM/Eventfd: Avoid crash when assign and deassign specific
eventfd in parallel (bnc#1012628).
- scsi: qla2xxx: Fix NULL pointer dereference for fcport search
(bnc#1012628).
- scsi: qla2xxx: Fix kernel crash due to late workqueue allocation
(bnc#1012628).
- scsi: qla2xxx: Fix inconsistent DMA mem alloc/free
(bnc#1012628).
- scsi: sd_zbc: Fix variable type and bogus comment (bnc#1012628).
- commit 0a7f415
-------------------------------------------------------------------
Tue Jul 24 09:22:40 CEST 2018 - dmueller@suse.com
- Reenable v8.1/v8.2 aarch64 extensions
These were enabled already in SLE15 and we want to default to the
upstream defaults, which enable these features.
Config changes:
- aarch64:
HW_AFDBM=y
PAN=y
LSE_ATOMICS=y
VHE=y
UAO=y
SVE=y
- commit 7fdc027
-------------------------------------------------------------------
Mon Jul 23 15:22:34 CEST 2018 - msuchanek@suse.de
- Update config files.
- commit 8efadc7
-------------------------------------------------------------------
Mon Jul 23 14:41:22 CEST 2018 - tiwai@suse.de
- rpm/kernel-source.spec.in: Add more stuff to Recommends
... and move bc to Recommends as well. All these packages are needed for
building a kernel manually from scratch with kernel-source files.
- commit 6fcec9a
-------------------------------------------------------------------
Mon Jul 23 11:24:45 CEST 2018 - jslaby@suse.cz
- Revert "rpm/kernel-source.spec.in: require bc for kernel-source"
This reverts commit 2fa4028b0f8fcb4b6a9f2bd2a401a71181e0cdcd. Why
would kernel-source need bc? The discussion is ongoing on
opensuse-kernel. See:
7230252.SMnhE4Fjuc@alaris
- commit 7144bb1
-------------------------------------------------------------------
Mon Jul 23 07:47:21 CEST 2018 - mkubecek@suse.cz
- Update to 4.18-rc6
- Config changes:
- NF_TABLES_SET=m (replaces NFT_SET_{RBTREE,HASH,BITMAP})
- commit 31ad2a8
-------------------------------------------------------------------
Sun Jul 22 21:05:34 CEST 2018 - jslaby@suse.cz
- Linux 4.17.9 (bnc#1012628).
- bpf: undo prog rejection on read-only lock failure
(bnc#1012628).
- bpf, arm32: fix to use bpf_jit_binary_lock_ro api (bnc#1012628).
- bpf: enforce correct alignment for instructions (bnc#1012628).
- arm64: KVM: Add ARCH_WORKAROUND_2 discovery through
ARCH_FEATURES_FUNC_ID (bnc#1012628).
- arm64: KVM: Handle guest's ARCH_WORKAROUND_2 requests
(bnc#1012628).
- arm64: KVM: Add ARCH_WORKAROUND_2 support for guests
(bnc#1012628).
- arm64: KVM: Add HYP per-cpu accessors (bnc#1012628).
- arm64: ssbd: Add prctl interface for per-thread mitigation
(bnc#1012628).
- arm64: ssbd: Introduce thread flag to control userspace
mitigation (bnc#1012628).
- arm64: ssbd: Restore mitigation status on CPU resume
(bnc#1012628).
- arm64: ssbd: Skip apply_ssbd if not using dynamic mitigation
(bnc#1012628).
- arm64: ssbd: Add global mitigation state accessor (bnc#1012628).
- arm64: Add 'ssbd' command-line option (bnc#1012628).
- arm64: Add ARCH_WORKAROUND_2 probing (bnc#1012628).
Update config files.
ARM64_SSBD=y
- arm64: Add per-cpu infrastructure to call ARCH_WORKAROUND_2
(bnc#1012628).
- arm64: Call ARCH_WORKAROUND_2 on transitions between EL0 and
EL1 (bnc#1012628).
- arm/arm64: smccc: Add SMCCC-specific return codes (bnc#1012628).
- ipvs: initialize tbl->entries in ip_vs_lblc_init_svc()
(bnc#1012628).
- ipvs: initialize tbl->entries after allocation (bnc#1012628).
- net/nfc: Avoid stalls when nfc_alloc_send_skb() returned NULL
(bnc#1012628).
- bpf: don't leave partial mangled prog in jit_subprogs error path
(bnc#1012628).
- bpf: sockmap, consume_skb in close path (bnc#1012628).
- bpf: sockmap, fix crash when ipv6 sock is added (bnc#1012628).
- block: don't use blocking queue entered for recursive bio
submits (bnc#1012628).
- rds: avoid unenecessary cong_update in loop transport
(bnc#1012628).
- bpf: reject any prog that failed read-only lock (bnc#1012628).
- bdi: Fix another oops in wb_workfn() (bnc#1012628).
- netfilter: ipv6: nf_defrag: drop skb dst before queueing
(bnc#1012628).
- nsh: set mac len based on inner packet (bnc#1012628).
- autofs: fix slab out of bounds read in getname_kernel()
(bnc#1012628).
- tls: Stricter error checking in zerocopy sendmsg path
(bnc#1012628).
- KEYS: DNS: fix parsing multiple options (bnc#1012628).
- reiserfs: fix buffer overflow with long warning messages
(bnc#1012628).
- netfilter: ebtables: reject non-bridge targets (bnc#1012628).
- PCI: hv: Disable/enable IRQs rather than BH in
hv_compose_msi_msg() (bnc#1012628).
- crypto: af_alg - Initialize sg_num_bytes in error code path
(bnc#1012628).
- net: lan78xx: Fix race in tx pending skb size calculation
(bnc#1012628).
- rtlwifi: rtl8821ae: fix firmware is not ready to run
(bnc#1012628).
- rtlwifi: Fix kernel Oops "Fw download fail!!" (bnc#1012628).
- net: cxgb3_main: fix potential Spectre v1 (bnc#1012628).
- x86/kvm/Kconfig: Ensure CRYPTO_DEV_CCP_DD state at minimum
matches KVM_AMD (bnc#1012628).
- virtio_net: split XDP_TX kick and XDP_REDIRECT map flushing
(bnc#1012628).
- sfc: correctly initialise filter rwsem for farch (bnc#1012628).
- s390/qeth: fix race when setting MAC address (bnc#1012628).
- s390/qeth: avoid using is_multicast_ether_addr_64bits on
(u8 *)[6] (bnc#1012628).
- Revert "s390/qeth: use Read device to query hypervisor for MAC"
(bnc#1012628).
- IB/mlx5: Avoid dealing with vport representors if not being
e-switch manager (bnc#1012628).
- i40e: split XDP_TX tail and XDP_REDIRECT map flushing
(bnc#1012628).
- enic: do not overwrite error code (bnc#1012628).
- xen-netfront: Update features after registering netdev
(bnc#1012628).
- xen-netfront: Fix mismatched rtnl_unlock (bnc#1012628).
- nfp: reject binding to shared blocks (bnc#1012628).
- net: use dev_change_tx_queue_len() for SIOCSIFTXQLEN
(bnc#1012628).
- net: macb: initialize bp->queues[0].bp for at91rm9200
(bnc#1012628).
- nfp: flower: fix mpls ether type detection (bnc#1012628).
- hinic: reset irq affinity before freeing irq (bnc#1012628).
- VSOCK: fix loopback on big-endian systems (bnc#1012628).
- vhost_net: validate sock before trying to put its fd
(bnc#1012628).
- tcp: prevent bogus FRTO undos with non-SACK flows (bnc#1012628).
- tcp: fix Fast Open key endianness (bnc#1012628).
- strparser: Remove early eaten to fix full tcp receive buffer
stall (bnc#1012628).
- stmmac: fix DMA channel hang in half-duplex mode (bnc#1012628).
- s390/qeth: don't clobber buffer on async TX completion
(bnc#1012628).
- r8152: napi hangup fix after disconnect (bnc#1012628).
- qmi_wwan: add support for the Dell Wireless 5821e module
(bnc#1012628).
- qed: Limit msix vectors in kdump kernel to the minimum required
count (bnc#1012628).
- qed: Fix use of incorrect size in memcpy call (bnc#1012628).
- qed: Fix setting of incorrect eswitch mode (bnc#1012628).
- qede: Adverstise software timestamp caps when PHC is not
available (bnc#1012628).
- net/tcp: Fix socket lookups with SO_BINDTODEVICE (bnc#1012628).
- net: sungem: fix rx checksum support (bnc#1012628).
- net_sched: blackhole: tell upper qdisc about dropped packets
(bnc#1012628).
- net/sched: act_ife: preserve the action control in case of error
(bnc#1012628).
- net/sched: act_ife: fix recursive lock and idr leak
(bnc#1012628).
- net/packet: fix use-after-free (bnc#1012628).
- net: mvneta: fix the Rx desc DMA address in the Rx path
(bnc#1012628).
- net/mlx5: Fix wrong size allocation for QoS ETC TC regitster
(bnc#1012628).
- net/mlx5: Fix required capability for manipulating MPFS
(bnc#1012628).
- net/mlx5: Fix incorrect raw command length parsing
(bnc#1012628).
- net/mlx5: Fix command interface race in polling mode
(bnc#1012628).
- net/mlx5: E-Switch, Avoid setup attempt if not being e-switch
manager (bnc#1012628).
- net/mlx5e: Don't attempt to dereference the ppriv struct if
not being eswitch manager (bnc#1012628).
- net/mlx5e: Avoid dealing with vport representors if not being
e-switch manager (bnc#1012628).
- net: macb: Fix ptp time adjustment for large negative delta
(bnc#1012628).
- net: fix use-after-free in GRO with ESP (bnc#1012628).
- net: dccp: switch rx_tstamp_last_feedback to monotonic clock
(bnc#1012628).
- net: dccp: avoid crash in ccid3_hc_rx_send_feedback()
(bnc#1012628).
- ixgbe: split XDP_TX tail and XDP_REDIRECT map flushing
(bnc#1012628).
- ipvlan: fix IFLA_MTU ignored on NEWLINK (bnc#1012628).
- ipv6: sr: fix passing wrong flags to crypto_alloc_shash()
(bnc#1012628).
- hv_netvsc: split sub-channel setup into async and sync
(bnc#1012628).
- atm: zatm: Fix potential Spectre v1 (bnc#1012628).
- atm: Preserve value of skb->truesize when accounting to vcc
(bnc#1012628).
- alx: take rtnl before calling __alx_open from resume
(bnc#1012628).
- pinctrl: mt7622: fix a kernel panic when gpio-hog is being
applied (bnc#1012628).
- pinctrl: mt7622: stop using the deprecated
pinctrl_add_gpio_range (bnc#1012628).
- pinctrl: mt7622: fix error path on failing at groups building
(bnc#1012628).
- pinctrl: sh-pfc: r8a77970: remove SH_PFC_PIN_CFG_DRIVE_STRENGTH
flag (bnc#1012628).
- x86/paravirt: Make native_save_fl() extern inline (bnc#1012628).
- x86/asm: Add _ASM_ARG* constants for argument registers to
<asm/asm.h> (bnc#1012628).
- compiler-gcc.h: Add __attribute__((gnu_inline)) to all inline
declarations (bnc#1012628).
- commit 059e5b8
-------------------------------------------------------------------
Sun Jul 22 11:15:44 CEST 2018 - tiwai@suse.de
- rpm/kernel-source.spec.in: require bc for kernel-source
This is needed for building include/generated/timeconst.h from
kernel/time/timeconst.bc.
- commit d725e3b
-------------------------------------------------------------------
Wed Jul 18 08:39:13 CEST 2018 - jslaby@suse.cz
- Linux 4.17.8 (bnc#1012628).
- commit 38e4cdc
-------------------------------------------------------------------
Tue Jul 17 15:14:48 CEST 2018 - tiwai@suse.de
- Update config files: enable CONFIG_I2C_PXA for arm64 (bsc#1101465)
- commit d02f285
-------------------------------------------------------------------
Tue Jul 17 13:43:24 CEST 2018 - jslaby@suse.cz
- mm: don't do zero_resv_unavail if memmap is not allocated
(stable fix).
- commit 9c2de41
-------------------------------------------------------------------
Tue Jul 17 12:59:03 CEST 2018 - jslaby@suse.cz
- Linux 4.17.7 (bnc#1012628).
- bpf: reject passing modified ctx to helper functions
(bnc#1012628).
- MIPS: Call dump_stack() from show_regs() (bnc#1012628).
- MIPS: Use async IPIs for arch_trigger_cpumask_backtrace()
(bnc#1012628).
- MIPS: Fix ioremap() RAM check (bnc#1012628).
- drm/etnaviv: Check for platform_device_register_simple()
failure (bnc#1012628).
- drm/etnaviv: Fix driver unregistering (bnc#1012628).
- drm/etnaviv: bring back progress check in job timeout handler
(bnc#1012628).
- mmc: sdhci-esdhc-imx: allow 1.8V modes without 100/200MHz
pinctrl states (bnc#1012628).
- mmc: dw_mmc: fix card threshold control configuration
(bnc#1012628).
- mmc: renesas_sdhi_internal_dmac: Cannot clear the RX_IN_USE
in abort (bnc#1012628).
- ibmasm: don't write out of bounds in read handler (bnc#1012628).
- staging: rtl8723bs: Prevent an underflow in
rtw_check_beacon_data() (bnc#1012628).
- staging: r8822be: Fix RTL8822be can't find any wireless AP
(bnc#1012628).
- ata: Fix ZBC_OUT command block check (bnc#1012628).
- ata: Fix ZBC_OUT all bit handling (bnc#1012628).
- mei: discard messages from not connected client during power
down (bnc#1012628).
- mtd: spi-nor: cadence-quadspi: Fix direct mode write timeouts
(bnc#1012628).
- tracing/kprobe: Release kprobe print_fmt properly (bnc#1012628).
- vmw_balloon: fix inflation with batching (bnc#1012628).
- ahci: Add Intel Ice Lake LP PCI ID (bnc#1012628).
- ahci: Disable LPM on Lenovo 50 series laptops with a too old
BIOS (bnc#1012628).
- thunderbolt: Notify userspace when boot_acl is changed
(bnc#1012628).
- USB: serial: ch341: fix type promotion bug in ch341_control_in()
(bnc#1012628).
- USB: serial: cp210x: add another USB ID for Qivicon ZigBee stick
(bnc#1012628).
- USB: serial: keyspan_pda: fix modem-status error handling
(bnc#1012628).
- USB: yurex: fix out-of-bounds uaccess in read handler
(bnc#1012628).
- USB: serial: mos7840: fix status-register error handling
(bnc#1012628).
- usb: quirks: add delay quirks for Corsair Strafe (bnc#1012628).
- xhci: xhci-mem: off by one in xhci_stream_id_to_ring()
(bnc#1012628).
- Fix up non-directory creation in SGID directories (bnc#1012628).
- mm: zero unavailable pages before memmap init (bnc#1012628).
- ALSA: hda/realtek - two more lenovo models need fixup of
MIC_LOCATION (bnc#1012628).
- ALSA: hda - Handle pm failure during hotplug (bnc#1012628).
- mm: do not drop unused pages when userfaultd is running
(bnc#1012628).
- fs/proc/task_mmu.c: fix Locked field in /proc/pid/smaps*
(bnc#1012628).
- x86/purgatory: add missing FORCE to Makefile target
(bnc#1012628).
- fs, elf: make sure to page align bss in load_elf_library
(bnc#1012628).
- mm: do not bug_on on incorrect length in __mm_populate()
(bnc#1012628).
- tracing: Reorder display of TGID to be after PID (bnc#1012628).
- kbuild: delete INSTALL_FW_PATH from kbuild documentation
(bnc#1012628).
- acpi, nfit: Fix scrub idle detection (bnc#1012628).
- arm64: neon: Fix function may_use_simd() return error status
(bnc#1012628).
- tools build: fix # escaping in .cmd files for future Make
(bnc#1012628).
- IB/hfi1: Fix incorrect mixing of ERR_PTR and NULL return values
(bnc#1012628).
- i2c: tegra: Fix NACK error handling (bnc#1012628).
- i2c: recovery: if possible send STOP with recovery pulses
(bnc#1012628).
- iw_cxgb4: correctly enforce the max reg_mr depth (bnc#1012628).
- xen: remove global bit from __default_kernel_pte_mask for pv
guests (bnc#1012628).
- xen: setup pv irq ops vector earlier (bnc#1012628).
- bsg: fix bogus EINVAL on non-data commands (bnc#1012628).
- crypto: x86/salsa20 - remove x86 salsa20 implementations
(bnc#1012628).
- uprobes/x86: Remove incorrect WARN_ON() in uprobe_init_insn()
(bnc#1012628).
- netfilter: nf_queue: augment nfqa_cfg_policy (bnc#1012628).
- crypto: don't optimize keccakf() (bnc#1012628).
- netfilter: x_tables: initialise match/target check parameter
struct (bnc#1012628).
- loop: add recursion validation to LOOP_CHANGE_FD (bnc#1012628).
- xfs: fix inobt magic number check (bnc#1012628).
- PM / hibernate: Fix oops at snapshot_write() (bnc#1012628).
- RDMA/ucm: Mark UCM interface as BROKEN (bnc#1012628).
- loop: remember whether sysfs_create_group() was done
(bnc#1012628).
- kvm: vmx: Nested VM-entry prereqs for event inj (bnc#1012628).
- f2fs: give message and set need_fsck given broken node id
(bnc#1012628).
- f2fs: avoid bug_on on corrupted inode (bnc#1012628).
- f2fs: sanity check on sit entry (bnc#1012628).
- f2fs: sanity check for total valid node blocks (bnc#1012628).
- ARM: dts: armada-38x: use the new thermal binding (bnc#1012628).
- commit 7a74fb0
-------------------------------------------------------------------
Mon Jul 16 19:41:11 CEST 2018 - rgoldwyn@suse.com
- apparmor: patch to provide compatibility with v2.x net rules (bsc#1100944).
- Delete patches.suse/0001-AppArmor-basic-networking-rules.patch.
- Delete
patches.suse/0002-apparmor-update-apparmor-basic-networking-rules-for-.patch.
- Delete
patches.suse/0003-apparmor-Fix-quieting-of-audit-messages-for-network-.patch.
- Delete patches.suse/apparmor-check-all-net-profiles.patch.
- commit 1947b35
-------------------------------------------------------------------
Mon Jul 16 13:05:50 CEST 2018 - msuchanek@suse.de
- Pass x86 as architecture on x86_64 and i386 (bsc#1093118).
- commit 5f24fb0
-------------------------------------------------------------------
Mon Jul 16 12:57:19 CEST 2018 - msuchanek@suse.de
- Revert "kconfig: only write '# CONFIG_FOO is not set' for
visible symbols" (bsc#1093118).
- commit 2b9e26a
-------------------------------------------------------------------
Mon Jul 16 09:06:22 CEST 2018 - mkubecek@suse.cz
- Update to 4.18-rc5
- commit b3f752f
-------------------------------------------------------------------
Wed Jul 11 22:01:45 CEST 2018 - jslaby@suse.cz
- Linux 4.17.6 (bnc#1012628).
- userfaultfd: hugetlbfs: fix userfaultfd_huge_must_wait()
pte access (bnc#1012628).
- mm: hugetlb: yield when prepping struct pages (bnc#1012628).
- mm: teach dump_page() to correctly output poisoned struct pages
(bnc#1012628).
- PCI / ACPI / PM: Resume bridges w/o drivers on suspend-to-RAM
(bnc#1012628).
- ACPICA: Drop leading newlines from error messages (bnc#1012628).
- ACPI / battery: Safe unregistering of hooks (bnc#1012628).
- drm/amdgpu: Make struct amdgpu_atif private to amdgpu_acpi.c
(bnc#1012628).
- tracing: Avoid string overflow (bnc#1012628).
- tracing: Fix missing return symbol in function_graph output
(bnc#1012628).
- scsi: sg: mitigate read/write abuse (bnc#1012628).
- scsi: aacraid: Fix PD performance regression over incorrect
qd being set (bnc#1012628).
- scsi: target: Fix truncated PR-in ReadKeys response
(bnc#1012628).
- drbd: fix access after free (bnc#1012628).
- vfio: Use get_user_pages_longterm correctly (bnc#1012628).
- ARM: dts: imx51-zii-rdu1: fix touchscreen pinctrl (bnc#1012628).
- ARM: dts: omap3: Fix am3517 mdio and emac clock references
(bnc#1012628).
- ARM: dts: dra7: Disable metastability workaround for USB2
(bnc#1012628).
- cifs: Fix use after free of a mid_q_entry (bnc#1012628).
- cifs: Fix memory leak in smb2_set_ea() (bnc#1012628).
- cifs: Fix slab-out-of-bounds in send_set_info() on SMB2 ACE
setting (bnc#1012628).
- cifs: Fix infinite loop when using hard mount option
(bnc#1012628).
- drm: Use kvzalloc for allocating blob property memory
(bnc#1012628).
- drm/udl: fix display corruption of the last line (bnc#1012628).
- drm/amdgpu: Add amdgpu_atpx_get_dhandle() (bnc#1012628).
- drm/amdgpu: Dynamically probe for ATIF handle (v2)
(bnc#1012628).
- jbd2: don't mark block as modified if the handle is out of
credits (bnc#1012628).
- ext4: add corruption check in ext4_xattr_set_entry()
(bnc#1012628).
- ext4: always verify the magic number in xattr blocks
(bnc#1012628).
- ext4: make sure bitmaps and the inode table don't overlap with
bg descriptors (bnc#1012628).
- ext4: always check block group bounds in
ext4_init_block_bitmap() (bnc#1012628).
- ext4: only look at the bg_flags field if it is valid
(bnc#1012628).
- ext4: verify the depth of extent tree in ext4_find_extent()
(bnc#1012628).
- ext4: include the illegal physical block in the bad map
ext4_error msg (bnc#1012628).
- ext4: clear i_data in ext4_inode_info when removing inline data
(bnc#1012628).
- ext4: never move the system.data xattr out of the inode body
(bnc#1012628).
- ext4: avoid running out of journal credits when appending to
an inline file (bnc#1012628).
- ext4: add more inode number paranoia checks (bnc#1012628).
- ext4: add more mount time checks of the superblock
(bnc#1012628).
- ext4: check superblock mapped prior to committing (bnc#1012628).
- HID: i2c-hid: Fix "incomplete report" noise (bnc#1012628).
- HID: hiddev: fix potential Spectre v1 (bnc#1012628).
- HID: debug: check length before copy_to_user() (bnc#1012628).
- HID: core: allow concurrent registration of drivers
(bnc#1012628).
- i2c: core: smbus: fix a potential missing-check bug
(bnc#1012628).
- i2c: smbus: kill memory leak on emulated and failed DMA SMBus
xfers (bnc#1012628).
- fs: allow per-device dax status checking for filesystems
(bnc#1012628).
- dax: change bdev_dax_supported() to support boolean returns
(bnc#1012628).
- dax: check for QUEUE_FLAG_DAX in bdev_dax_supported()
(bnc#1012628).
- dm: prevent DAX mounts if not supported (bnc#1012628).
- mtd: cfi_cmdset_0002: Change definition naming to retry write
operation (bnc#1012628).
- mtd: cfi_cmdset_0002: Change erase functions to retry for error
(bnc#1012628).
- mtd: cfi_cmdset_0002: Change erase functions to check chip
good only (bnc#1012628).
- netfilter: nf_log: don't hold nf_log_mutex during user access
(bnc#1012628).
- staging: comedi: quatech_daqp_cs: fix no-op loop
daqp_ao_insn_write() (bnc#1012628).
- Revert mm/vmstat.c: fix vmstat_update() preemption BUG
(bnc#1012628).
- commit 8a8b6ec
-------------------------------------------------------------------
Mon Jul 9 13:18:02 CEST 2018 - tiwai@suse.de
- alarmtimer: Prevent overflow for relative nanosleep
(CVE-2018-13053 bsc#1099924).
- commit e98ba10
-------------------------------------------------------------------
Mon Jul 9 09:37:17 CEST 2018 - mkubecek@suse.cz
- Update to 4.18-rc4
- Eliminated 1 patch
- Config changes:
- s390x:
- RSEQ=y
- DEBUG_REQ=n
- commit 36e98dd
-------------------------------------------------------------------
Mon Jul 9 09:28:48 CEST 2018 - jslaby@suse.cz
- Linux 4.17.5 (bnc#1012628).
- ARM: dts: imx6q: Use correct SDMA script for SPI5 core
(bnc#1012628).
- x86/mm: Don't free P4D table when it is folded at runtime
(bnc#1012628).
- ARM64: dts: meson-gxl-s905x-p212: Add phy-supply for usb0
(bnc#1012628).
- netfilter: nf_tables: use WARN_ON_ONCE instead of BUG_ON in
nft_do_chain() (bnc#1012628).
- netfilter: xt_connmark: fix list corruption on rmmod
(bnc#1012628).
- netfilter: ip6t_rpfilter: provide input interface for route
lookup (bnc#1012628).
- drm/i915: Enable provoking vertex fix on Gen9 systems
(bnc#1012628).
- drm/i915: Turn off g4x DP port in .post_disable() (bnc#1012628).
- drm/i915: Disallow interlaced modes on g4x DP outputs
(bnc#1012628).
- drm/i915: Fix PIPESTAT irq ack on i965/g4x (bnc#1012628).
- drm/i915: Allow DBLSCAN user modes with eDP/LVDS/DSI
(bnc#1012628).
- drm/amd/display: release spinlock before committing updates
to stream (bnc#1012628).
- drm/amdgpu: Count disabled CRTCs in commit tail earlier
(bnc#1012628).
- drm/amdgpu: GPU vs CPU page size fixes in
amdgpu_vm_bo_split_mapping (bnc#1012628).
- drm/amdgpu: Update pin_size values before unpinning BO
(bnc#1012628).
- drm/amdgpu: Make amdgpu_vram_mgr_bo_invisible_size always
accurate (bnc#1012628).
- drm/amdgpu: Refactor amdgpu_vram_mgr_bo_invisible_size helper
(bnc#1012628).
- drm/amdgpu: Use kvmalloc_array for allocating VRAM manager
nodes array (bnc#1012628).
- drm/amdgpu: Don't default to DC support for Kaveri and older
(bnc#1012628).
- Revert "drm/sun4i: Handle DRM_BUS_FLAG_PIXDATA_*EDGE"
(bnc#1012628).
- drm/atmel-hlcdc: check stride values in the first plane
(bnc#1012628).
- drm/qxl: Call qxl_bo_unref outside atomic context (bnc#1012628).
- drm/i915/dp: Send DPCD ON for MST before phy_up (bnc#1012628).
- drm/amd/display: Clear connector's edid pointer (bnc#1012628).
- drm/sti: Depend on OF rather than selecting it (bnc#1012628).
- drm/amdgpu: fix clear_all and replace handling in the VM (v2)
(bnc#1012628).
- drm/amdgpu: Grab/put runtime PM references in
atomic_commit_tail() (bnc#1012628).
- drm/amdgpu: fix the missed vcn fw version report (bnc#1012628).
- drm/amdgpu: Add APU support in vi_set_vce_clocks (bnc#1012628).
- drm/amdgpu: Add APU support in vi_set_uvd_clocks (bnc#1012628).
- vt: prevent leaking uninitialized data to userspace via
/dev/vcs* (bnc#1012628).
- serdev: fix memleak on module unload (bnc#1012628).
- serial: 8250_pci: Remove stalled entries in blacklist
(bnc#1012628).
- iio: mma8452: Fix ignoring MMA8452_INT_DRDY (bnc#1012628).
- staging: android: ion: Return an ERR_PTR in ion_map_kernel
(bnc#1012628).
- n_tty: Access echo_* variables carefully (bnc#1012628).
- n_tty: Fix stall at n_tty_receive_char_special() (bnc#1012628).
- xhci: Fix kernel oops in trace_xhci_free_virt_device
(bnc#1012628).
- usb: typec: ucsi: Fix for incorrect status data issue
(bnc#1012628).
- usb: typec: ucsi: acpi: Workaround for cache mode issue
(bnc#1012628).
- acpi: Add helper for deactivating memory region (bnc#1012628).
- usb: typec: tcpm: fix logbuffer index is wrong if _tcpm_log
is re-entered (bnc#1012628).
- usb: dwc2: fix the incorrect bitmaps for the ports of multi_tt
hub (bnc#1012628).
- USB: serial: cp210x: add Silicon Labs IDs for Windows Update
(bnc#1012628).
- USB: serial: cp210x: add CESINEL device ids (bnc#1012628).
- usb: cdc_acm: Add quirk for Uniden UBC125 scanner (bnc#1012628).
- commit 3ff6a16
-------------------------------------------------------------------
Mon Jul 9 09:27:16 CEST 2018 - tiwai@suse.de
- ACPICA: Clear status of all events when entering S5
(bsc#1099930).
- commit 0c3e49d
-------------------------------------------------------------------
Wed Jul 4 13:28:23 CEST 2018 - dsterba@suse.com
- Update patches.suse/btrfs-8447-serialize-subvolume-mounts-with-potentially-mi.patch (bsc#951844 bsc#1024015 bsc#1099745).
Refresh and update for Tumbleweed. No better patch version yet.
- commit dd5896f
-------------------------------------------------------------------
Tue Jul 3 13:31:47 CEST 2018 - jslaby@suse.cz
- Linux 4.17.4 (bnc#1012628).
- x86/spectre_v1: Disable compiler optimizations over
array_index_mask_nospec() (bnc#1012628).
- x86/xen: Add call of speculative_store_bypass_ht_init() to PV
paths (bnc#1012628).
- x86/platform/UV: Add adjustable set memory block size function
(bnc#1012628).
- x86/platform/UV: Use new set memory block size function
(bnc#1012628).
- x86/platform/UV: Add kernel parameter to set memory block size
(bnc#1012628).
- x86/mce: Improve error message when kernel cannot recover
(bnc#1012628).
- x86/mce: Check for alternate indication of machine check
recovery on Skylake (bnc#1012628).
- x86/mce: Fix incorrect "Machine check from unknown source"
message (bnc#1012628).
- x86/mce: Do not overwrite MCi_STATUS in mce_no_way_out()
(bnc#1012628).
- x86: Call fixup_exception() before notify_die() in math_error()
(bnc#1012628).
- m68k/mm: Adjust VM area to be unmapped by gap size for
__iounmap() (bnc#1012628).
- m68k/mac: Fix SWIM memory resource end address (bnc#1012628).
- platform/chrome: cros_ec_lpc: do not try DMI match when ACPI
device found (bnc#1012628).
- hwmon: (k10temp) Add support for Stoney Ridge and Bristol
Ridge CPUs (bnc#1012628).
- serial: sh-sci: Use spin_{try}lock_irqsave instead of open
coding version (bnc#1012628).
- signal/xtensa: Consistenly use SIGBUS in do_unaligned_user
(bnc#1012628).
- PM / Domains: Fix error path during attach in genpd
(bnc#1012628).
- PCI / PM: Do not clear state_saved for devices that remain
suspended (bnc#1012628).
- ACPI / LPSS: Avoid PM quirks on suspend and resume from S3
(bnc#1012628).
- PM / core: Fix supplier device runtime PM usage counter
imbalance (bnc#1012628).
- PM / OPP: Update voltage in case freq == old_freq (bnc#1012628).
- mmc: renesas_sdhi: really fix WP logic regressions
(bnc#1012628).
- usb: do not reset if a low-speed or full-speed device timed out
(bnc#1012628).
- 1wire: family module autoload fails because of upper/lower
case mismatch (bnc#1012628).
- ASoC: dapm: delete dapm_kcontrol_data paths list before freeing
it (bnc#1012628).
- ASoC: cs35l35: Add use_single_rw to regmap config (bnc#1012628).
- ASoC: mediatek: preallocate pages use platform device
(bnc#1012628).
- ASoC: cirrus: i2s: Fix LRCLK configuration (bnc#1012628).
- ASoC: cirrus: i2s: Fix {TX|RX}LinCtrlData setup (bnc#1012628).
- thermal: bcm2835: Stop using printk format %pCr (bnc#1012628).
- clk: renesas: cpg-mssr: Stop using printk format %pCr
(bnc#1012628).
- lib/vsprintf: Remove atomic-unsafe support for %pCr
(bnc#1012628).
- ftrace/selftest: Have the reset_trigger code be a bit more
careful (bnc#1012628).
- mips: ftrace: fix static function graph tracing (bnc#1012628).
- branch-check: fix long->int truncation when profiling branches
(bnc#1012628).
- ipmi:bt: Set the timeout before doing a capabilities check
(bnc#1012628).
- Bluetooth: hci_qca: Avoid missing rampatch failure with
userspace fw loader (bnc#1012628).
- printk: fix possible reuse of va_list variable (bnc#1012628).
- fuse: fix congested state leak on aborted connections
(bnc#1012628).
- fuse: atomic_o_trunc should truncate pagecache (bnc#1012628).
- fuse: don't keep dead fuse_conn at fuse_fill_super()
(bnc#1012628).
- fuse: fix control dir setup and teardown (bnc#1012628).
- powerpc/mm/hash: Add missing isync prior to kernel stack SLB
switch (bnc#1012628).
- powerpc/pkeys: Detach execute_only key on !PROT_EXEC
(bnc#1012628).
- powerpc/ptrace: Fix setting 512B aligned breakpoints with
PTRACE_SET_DEBUGREG (bnc#1012628).
- powerpc/perf: Fix memory allocation for core-imc based on
num_possible_cpus() (bnc#1012628).
- powerpc/ptrace: Fix enforcement of DAWR constraints
(bnc#1012628).
- powerpc/powernv/ioda2: Remove redundant free of TCE pages
(bnc#1012628).
- powerpc/powernv: copy/paste - Mask SO bit in CR (bnc#1012628).
- powerpc/powernv/cpuidle: Init all present cpus for deep states
(bnc#1012628).
- cpuidle: powernv: Fix promotion from snooze if next state
disabled (bnc#1012628).
- powerpc/fadump: Unregister fadump on kexec down path
(bnc#1012628).
- libnvdimm, pmem: Do not flush power-fail protected CPU caches
(bnc#1012628).
- soc: rockchip: power-domain: Fix wrong value when power up pd
with writemask (bnc#1012628).
- powerpc/64s/radix: Fix radix_kvm_prefetch_workaround paca
access of not possible CPU (bnc#1012628).
- powerpc/e500mc: Set assembler machine type to e500mc
(bnc#1012628).
- powerpc/64s: Fix DT CPU features Power9 DD2.1 logic
(bnc#1012628).
- cxl: Configure PSL to not use APC virtual machines
(bnc#1012628).
- cxl: Disable prefault_mode in Radix mode (bnc#1012628).
- ARM: 8764/1: kgdb: fix NUMREGBYTES so that gdb_regs[] is the
correct size (bnc#1012628).
- ARM: dts: sun8i: h3: fix ALL-H3-CC H3 ver VDD-CPUX voltage
(bnc#1012628).
- ARM: dts: sun8i: h3: fix ALL-H3-CC H3 ver VCC-1V2 regulator
voltage (bnc#1012628).
- ARM: dts: Fix SPI node for Arria10 (bnc#1012628).
- ARM: dts: socfpga: Fix NAND controller node compatible
(bnc#1012628).
- ARM: dts: socfpga: Fix NAND controller clock supply
(bnc#1012628).
- ARM: dts: socfpga: Fix NAND controller node compatible for
Arria10 (bnc#1012628).
- hwrng: core - Always drop the RNG in hwrng_unregister()
(bnc#1012628).
- softirq: Reorder trace_softirqs_on to prevent lockdep splat
(bnc#1012628).
- arm64: Fix syscall restarting around signal suppressed by tracer
(bnc#1012628).
- crypto: arm64/aes-blk - fix and move skcipher_walk_done out
of kernel_neon_begin, _end (bnc#1012628).
- arm64: kpti: Use early_param for kpti= command-line option
(bnc#1012628).
- arm64: mm: Ensure writes to swapper are ordered wrt subsequent
cache maintenance (bnc#1012628).
- arm64: dts: marvell: fix CP110 ICU node size (bnc#1012628).
- arm64: dts: stratix10: Fix SPI nodes for Stratix10
(bnc#1012628).
- ARM64: dts: meson: disable sd-uhs modes on the libretech-cc
(bnc#1012628).
- ARM64: dts: meson-gx: fix ATF reserved memory region
(bnc#1012628).
- of: overlay: validate offset from property fixups (bnc#1012628).
- of: unittest: for strings, account for trailing \0 in property
length field (bnc#1012628).
- of: platform: stop accessing invalid dev in
of_platform_device_destroy (bnc#1012628).
- tpm: fix use after free in tpm2_load_context() (bnc#1012628).
- tpm: fix race condition in tpm_common_write() (bnc#1012628).
- efi/libstub/tpm: Initialize efi_physical_addr_t vars to zero
for mixed mode (bnc#1012628).
- IB/qib: Fix DMA api warning with debug kernel (bnc#1012628).
- IB/{hfi1, qib}: Add handling of kernel restart (bnc#1012628).
- IB/mlx4: Mark user MR as writable if actual virtual memory is
writable (bnc#1012628).
- IB/core: Make testing MR flags for writability a static inline
function (bnc#1012628).
- IB/mlx5: Fetch soft WQE's on fatal error state (bnc#1012628).
- IB/isert: Fix for lib/dma_debug check_sync warning
(bnc#1012628).
- IB/isert: fix T10-pi check mask setting (bnc#1012628).
- IB/hfi1: Fix fault injection init/exit issues (bnc#1012628).
- IB/hfi1: Reorder incorrect send context disable (bnc#1012628).
- IB/hfi1: Optimize kthread pointer locking when queuing CQ
entries (bnc#1012628).
- IB/hfi1: Fix user context tail allocation for DMA_RTAIL
(bnc#1012628).
- IB/uverbs: Fix ordering of ucontext check in ib_uverbs_write
(bnc#1012628).
- RDMA/mlx4: Discard unknown SQP work requests (bnc#1012628).
- xprtrdma: Return -ENOBUFS when no pages are available
(bnc#1012628).
- RDMA/core: Save kernel caller name when creating CQ using
ib_create_cq() (bnc#1012628).
- mtd: rawnand: Do not check FAIL bit when executing a
SET_FEATURES op (bnc#1012628).
- mtd: cfi_cmdset_0002: Change write buffer to check correct value
(bnc#1012628).
- mtd: rawnand: denali_dt: set clk_x_rate to 200 MHz
unconditionally (bnc#1012628).
- mtd: rawnand: fix return value check for bad block status
(bnc#1012628).
- mtd: rawnand: mxc: set spare area size register explicitly
(bnc#1012628).
- mtd: rawnand: micron: add ONFI_FEATURE_ON_DIE_ECC to supported
features (bnc#1012628).
- mtd: rawnand: All AC chips have a broken GET_FEATURES(TIMINGS)
(bnc#1012628).
- mtd: cfi_cmdset_0002: Use right chip in do_ppb_xxlock()
(bnc#1012628).
- mtd: cfi_cmdset_0002: fix SEGV unlocking multiple chips
(bnc#1012628).
- mtd: cfi_cmdset_0002: Fix unlocking requests crossing a chip
boudary (bnc#1012628).
- mtd: cfi_cmdset_0002: Avoid walking all chips when unlocking
(bnc#1012628).
- MIPS: BCM47XX: Enable 74K Core ExternalSync for PCIe erratum
(bnc#1012628).
- clk:aspeed: Fix reset bits for PCI/VGA and PECI (bnc#1012628).
- PCI: hv: Make sure the bus domain is really unique
(bnc#1012628).
- PCI: Add ACS quirk for Intel 7th & 8th Gen mobile (bnc#1012628).
- PCI: Add ACS quirk for Intel 300 series (bnc#1012628).
- PCI: pciehp: Clear Presence Detect and Data Link Layer Status
Changed on resume (bnc#1012628).
- PCI: Account for all bridges on bus when distributing bus
numbers (bnc#1012628).
- auxdisplay: fix broken menu (bnc#1012628).
- pinctrl: armada-37xx: Fix spurious irq management (bnc#1012628).
- pinctrl: samsung: Correct EINTG banks order (bnc#1012628).
- pinctrl: devicetree: Fix pctldev pointer overwrite
(bnc#1012628).
- cpufreq: intel_pstate: Fix scaling max/min limits with Turbo
3.0 (bnc#1012628).
- MIPS: pb44: Fix i2c-gpio GPIO descriptor table (bnc#1012628).
- MIPS: io: Add barrier after register read in inX()
(bnc#1012628).
- time: Make sure jiffies_to_msecs() preserves non-zero time
periods (bnc#1012628).
- irqchip/gic-v3-its: Don't bind LPI to unavailable NUMA node
(bnc#1012628).
- locking/rwsem: Fix up_read_non_owner() warning with DEBUG_RWSEMS
(bnc#1012628).
- X.509: unpack RSA signatureValue field from BIT STRING
(bnc#1012628).
- Btrfs: fix return value on rename exchange failure
(bnc#1012628).
- iio: adc: ad7791: remove sample freq sysfs attributes
(bnc#1012628).
- iio: sca3000: Fix an error handling path in 'sca3000_probe()'
(bnc#1012628).
- mm: fix __gup_device_huge vs unmap (bnc#1012628).
- scsi: scsi_debug: Fix memory leak on module unload
(bnc#1012628).
- scsi: hpsa: disable device during shutdown (bnc#1012628).
- scsi: qla2xxx: Delete session for nport id change (bnc#1012628).
- scsi: qla2xxx: Fix setting lower transfer speed if GPSC fails
(bnc#1012628).
- scsi: qla2xxx: Mask off Scope bits in retry delay (bnc#1012628).
- scsi: qla2xxx: Spinlock recursion in qla_target (bnc#1012628).
- scsi: zfcp: fix missing SCSI trace for result of
eh_host_reset_handler (bnc#1012628).
- scsi: zfcp: fix missing SCSI trace for retry of abort /
scsi_eh TMF (bnc#1012628).
- scsi: zfcp: fix misleading REC trigger trace where erp_action
setup failed (bnc#1012628).
- scsi: zfcp: fix missing REC trigger trace on terminate_rport_io
early return (bnc#1012628).
- scsi: zfcp: fix missing REC trigger trace on terminate_rport_io
for ERP_FAILED (bnc#1012628).
- scsi: zfcp: fix missing REC trigger trace for all objects in
ERP_FAILED (bnc#1012628).
- scsi: zfcp: fix missing REC trigger trace on enqueue without
ERP thread (bnc#1012628).
- linvdimm, pmem: Preserve read-only setting for pmem devices
(bnc#1012628).
- libnvdimm, pmem: Unconditionally deep flush on *sync
(bnc#1012628).
- clk: meson: meson8b: mark fclk_div2 gate clocks as
CLK_IS_CRITICAL (bnc#1012628).
- clk: at91: PLL recalc_rate() now using cached MUL and DIV values
(bnc#1012628).
- rtc: sun6i: Fix bit_idx value for clk_register_gate
(bnc#1012628).
- md: fix two problems with setting the "re-add" device state
(bnc#1012628).
- rpmsg: smd: do not use mananged resources for endpoints and
channels (bnc#1012628).
- ubi: fastmap: Cancel work upon detach (bnc#1012628).
- ubi: fastmap: Correctly handle interrupted erasures in EBA
(bnc#1012628).
- UBIFS: Fix potential integer overflow in allocation
(bnc#1012628).
- backlight: as3711_bl: Fix Device Tree node lookup (bnc#1012628).
- backlight: max8925_bl: Fix Device Tree node lookup
(bnc#1012628).
- backlight: tps65217_bl: Fix Device Tree node lookup
(bnc#1012628).
- Revert "iommu/amd_iommu: Use CONFIG_DMA_DIRECT_OPS=y and
dma_direct_{alloc,free}()" (bnc#1012628).
- f2fs: don't use GFP_ZERO for page caches (bnc#1012628).
- um: Fix initialization of vector queues (bnc#1012628).
- um: Fix raw interface options (bnc#1012628).
- mfd: twl-core: Fix clock initialization (bnc#1012628).
- mfd: intel-lpss: Program REMAP register in PIO mode
(bnc#1012628).
- mfd: intel-lpss: Fix Intel Cannon Lake LPSS I2C input clock
(bnc#1012628).
- remoteproc: Prevent incorrect rproc state on xfer mem ownership
failure (bnc#1012628).
- arm: dts: mt7623: fix invalid memory node being generated
(bnc#1012628).
- perf tools: Fix symbol and object code resolution for vdso32
and vdsox32 (bnc#1012628).
- perf intel-pt: Fix sync_switch INTEL_PT_SS_NOT_TRACING
(bnc#1012628).
- perf intel-pt: Fix decoding to accept CBR between FUP and
corresponding TIP (bnc#1012628).
- perf intel-pt: Fix MTC timing after overflow (bnc#1012628).
- perf intel-pt: Fix "Unexpected indirect branch" error
(bnc#1012628).
- perf intel-pt: Fix packet decoding of CYC packets (bnc#1012628).
- media: vsp1: Release buffers for each video node (bnc#1012628).
- media: cx231xx: Ignore an i2c mux adapter (bnc#1012628).
- media: v4l2-compat-ioctl32: prevent go past max size
(bnc#1012628).
- media: cx231xx: Add support for AverMedia DVD EZMaker 7
(bnc#1012628).
- media: rc: mce_kbd decoder: fix stuck keys (bnc#1012628).
- media: dvb_frontend: fix locking issues at
dvb_frontend_get_event() (bnc#1012628).
- nfsd: restrict rd_maxcount to svc_max_payload in
nfsd_encode_readdir (bnc#1012628).
- NFSv4: Fix possible 1-byte stack overflow in
nfs_idmap_read_and_verify_message (bnc#1012628).
- NFSv4: Revert commit 5f83d86cf531d ("NFSv4.x: Fix wraparound
issues..") (bnc#1012628).
- NFSv4: Fix a typo in nfs41_sequence_process (bnc#1012628).
- video: uvesafb: Fix integer overflow in allocation
(bnc#1012628).
- ACPI / LPSS: Add missing prv_offset setting for byt/cht PWM
devices (bnc#1012628).
- Input: silead - add MSSL0002 ACPI HID (bnc#1012628).
- Input: elan_i2c - add ELAN0618 (Lenovo v330 15IKB) ACPI ID
(bnc#1012628).
- pwm: lpss: platform: Save/restore the ctrl register over a
suspend/resume (bnc#1012628).
- rbd: flush rbd_dev->watch_dwork after watch is unregistered
(bnc#1012628).
- mm/ksm.c: ignore STABLE_FLAG of rmap_item->address in
rmap_walk_ksm() (bnc#1012628).
- mm: fix devmem_is_allowed() for sub-page System RAM
intersections (bnc#1012628).
- xen: Remove unnecessary BUG_ON from __unbind_from_irq()
(bnc#1012628).
- net: ethernet: fix suspend/resume in davinci_emac (bnc#1012628).
- udf: Detect incorrect directory size (bnc#1012628).
- Input: xpad - fix GPD Win 2 controller name (bnc#1012628).
- Input: elan_i2c_smbus - fix more potential stack buffer
overflows (bnc#1012628).
- Input: elantech - enable middle button of touchpads on ThinkPad
P52 (bnc#1012628).
- Input: elantech - fix V4 report decoding for module with middle
key (bnc#1012628).
- ALSA: timer: Fix UBSAN warning at SNDRV_TIMER_IOCTL_NEXT_DEVICE
ioctl (bnc#1012628).
- ALSA: hda - Force to link down at runtime suspend on ATI/AMD
HDMI (bnc#1012628).
- ALSA: hda/realtek - Fix pop noise on Lenovo P50 & co
(bnc#1012628).
- ALSA: hda/realtek - Add a quirk for FSC ESPRIMO U9210
(bnc#1012628).
- ALSA: hda/realtek - Fix the problem of two front mics on more
machines (bnc#1012628).
- Revert "i2c: algo-bit: init the bus to a known state"
(bnc#1012628).
- i2c: gpio: initialize SCL to HIGH again (bnc#1012628).
- slub: fix failure when we delete and create a slab cache
(bnc#1012628).
- kasan: depend on CONFIG_SLUB_DEBUG (bnc#1012628).
- dm: use bio_split() when splitting out the already processed
bio (bnc#1012628).
- pmem: only set QUEUE_FLAG_DAX for fsdax mode (bnc#1012628).
- block: Fix transfer when chunk sectors exceeds max
(bnc#1012628).
- block: Fix cloning of requests with a special payload
(bnc#1012628).
- x86/e820: put !E820_TYPE_RAM regions into memblock.reserved
(bnc#1012628).
- selinux: move user accesses in selinuxfs out of locked regions
(bnc#1012628).
- x86/entry/64/compat: Fix "x86/entry/64/compat: Preserve r8-r11
in int $0x80" (bnc#1012628).
- x86/efi: Fix efi_call_phys_epilog() with CONFIG_X86_5LEVEL=y
(bnc#1012628).
- dm zoned: avoid triggering reclaim from inside dmz_map()
(bnc#1012628).
- dm thin: handle running out of data space vs concurrent discard
(bnc#1012628).
- virt: vbox: Only copy_from_user the request-header once
(bnc#1012628).
- commit 882c99b
-------------------------------------------------------------------
Mon Jul 2 05:31:55 CEST 2018 - mkubecek@suse.cz
- Update to 4.18-rc3
- Eliminated 6 patches
- Config changes:
- Input:
- INPUT_SC27XX_VIBRA=m (aarch64 only)
- commit d44a642
-------------------------------------------------------------------
Fri Jun 29 10:09:30 CEST 2018 - jslaby@suse.cz
- Refresh
patches.suse/input-psmouse-fix-button-reporting-for-basic-protoco.patch.
Update upstream status.
- commit 3037396
-------------------------------------------------------------------
Wed Jun 27 07:29:10 CEST 2018 - mkubecek@suse.cz
- bpf: enforce correct alignment for instructions (bsc#1099078).
- commit 55e3263
-------------------------------------------------------------------
Tue Jun 26 10:17:11 CEST 2018 - tiwai@suse.de
- media: uvcvideo: Support realtek's UVC 1.5 device (bsc#1099109).
- commit 7c619f0
-------------------------------------------------------------------
Tue Jun 26 09:06:26 CEST 2018 - tiwai@suse.de
- tracing: Check for no filter when processing event filters
(CVE-2018-12714,bsc#1098933).
- commit 5b8d655
-------------------------------------------------------------------
Tue Jun 26 08:45:20 CEST 2018 - jslaby@suse.cz
- Linux 4.17.3 (bnc#1012628).
- net: aquantia: fix unsigned numvecs comparison with less than
zero (bnc#1012628).
- bonding: re-evaluate force_primary when the primary slave name
changes (bnc#1012628).
- cdc_ncm: avoid padding beyond end of skb (bnc#1012628).
- ipv6: allow PMTU exceptions to local routes (bnc#1012628).
- net: dsa: add error handling for pskb_trim_rcsum (bnc#1012628).
- net: phy: dp83822: use BMCR_ANENABLE instead of BMSR_ANEGCAPABLE
for DP83620 (bnc#1012628).
- net/sched: act_simple: fix parsing of TCA_DEF_DATA
(bnc#1012628).
- tcp: verify the checksum of the first data segment in a new
connection (bnc#1012628).
- tls: fix use-after-free in tls_push_record (bnc#1012628).
- tls: fix waitall behavior in tls_sw_recvmsg (bnc#1012628).
- udp: fix rx queue len reported by diag and proc interface
(bnc#1012628).
- net: in virtio_net_hdr only add VLAN_HLEN to csum_start if
payload holds vlan (bnc#1012628).
- hv_netvsc: Fix a network regression after ifdown/ifup
(bnc#1012628).
- ext4: fix hole length detection in ext4_ind_map_blocks()
(bnc#1012628).
- ext4: update mtime in ext4_punch_hole even if no blocks are
released (bnc#1012628).
- ext4: do not allow external inodes for inline data
(bnc#1012628).
- ext4: bubble errors from ext4_find_inline_data_nolock() up to
ext4_iget() (bnc#1012628).
- ext4: correctly handle a zero-length xattr with a non-zero
e_value_offs (bnc#1012628).
- ext4: fix fencepost error in check for inode count overflow
during resize (bnc#1012628).
- driver core: Don't ignore class_dir_create_and_add() failure
(bnc#1012628).
- Btrfs: allow empty subvol= again (bnc#1012628).
- Btrfs: fix clone vs chattr NODATASUM race (bnc#1012628).
- Btrfs: fix memory and mount leak in btrfs_ioctl_rm_dev_v2()
(bnc#1012628).
- btrfs: return error value if create_io_em failed in
cow_file_range (bnc#1012628).
- btrfs: scrub: Don't use inode pages for device replace
(bnc#1012628).
- ALSA: usb-audio: Disable the quirk for Nura headset
(bnc#1012628).
- ALSA: hda/realtek - Enable mic-mute hotkey for several Lenovo
AIOs (bnc#1012628).
- ALSA: hda/conexant - Add fixup for HP Z2 G4 workstation
(bnc#1012628).
- ALSA: hda - Handle kzalloc() failure in
snd_hda_attach_pcm_stream() (bnc#1012628).
- ALSA: hda: add dock and led support for HP EliteBook 830 G5
(bnc#1012628).
- ALSA: hda: add dock and led support for HP ProBook 640 G4
(bnc#1012628).
- x86/MCE: Fix stack out-of-bounds write in mce-inject.c:
Flags_read() (bnc#1012628).
- smb3: fix various xid leaks (bnc#1012628).
- smb3: on reconnect set PreviousSessionId field (bnc#1012628).
- CIFS: 511c54a2f69195b28afb9dd119f03787b1625bb4 adds a check
for session expiry (bnc#1012628).
- cifs: For SMB2 security informaion query, check for minimum
sized security descriptor instead of sizeof FileAllInformation
class (bnc#1012628).
- nbd: fix nbd device deletion (bnc#1012628).
- nbd: update size when connected (bnc#1012628).
- nbd: use bd_set_size when updating disk size (bnc#1012628).
- blk-mq: reinit q->tag_set_list entry only after grace period
(bnc#1012628).
- bdi: Move cgroup bdi_writeback to a dedicated low concurrency
workqueue (bnc#1012628).
- cpufreq: Fix new policy initialization during limits updates
via sysfs (bnc#1012628).
- cpufreq: ti-cpufreq: Fix an incorrect error return value
(bnc#1012628).
- cpufreq: governors: Fix long idle detection logic in load
calculation (bnc#1012628).
- libata: zpodd: small read overflow in eject_tray()
(bnc#1012628).
- libata: Drop SanDisk SD7UB3Q*G1001 NOLPM quirk (bnc#1012628).
- nvme/pci: Sync controller reset for AER slot_reset
(bnc#1012628).
- w1: mxc_w1: Enable clock before calling clk_get_rate() on it
(bnc#1012628).
- x86/vector: Fix the args of vector_alloc tracepoint
(bnc#1012628).
- x86/apic/vector: Prevent hlist corruption and leaks
(bnc#1012628).
- x86/apic: Provide apic_ack_irq() (bnc#1012628).
- x86/ioapic: Use apic_ack_irq() (bnc#1012628).
- x86/platform/uv: Use apic_ack_irq() (bnc#1012628).
- irq_remapping: Use apic_ack_irq() (bnc#1012628).
- genirq/generic_pending: Do not lose pending affinity update
(bnc#1012628).
- genirq/affinity: Defer affinity setting if irq chip is busy
(bnc#1012628).
- genirq/migration: Avoid out of line call if pending is not set
(bnc#1012628).
- x86/intel_rdt: Enable CMT and MBM on new Skylake stepping
(bnc#1012628).
- media: uvcvideo: Prevent setting unavailable flags
(bnc#1012628).
- media: rc: ensure input/lirc device can be opened after register
(bnc#1012628).
- iwlwifi: fw: harden page loading code (bnc#1012628).
- orangefs: set i_size on new symlink (bnc#1012628).
- orangefs: report attributes_mask and attributes for statx
(bnc#1012628).
- HID: intel_ish-hid: ipc: register more pm callbacks to support
hibernation (bnc#1012628).
- HID: wacom: Correct logical maximum Y for 2nd-gen Intuos Pro
large (bnc#1012628).
- fs/binfmt_misc.c: do not allow offset overflow (bnc#1012628).
- mm, page_alloc: do not break __GFP_THISNODE by zonelist reset
(bnc#1012628).
- commit e8dc1b5
-------------------------------------------------------------------
Tue Jun 26 08:25:44 CEST 2018 - tiwai@suse.de
- platform/x86: ideapad-laptop: Apply no_hw_rfkill to Y20-15IKBM,
too (bsc#1098626).
- commit 0d1073f
-------------------------------------------------------------------
Mon Jun 25 21:22:28 CEST 2018 - jslaby@suse.cz
- Input: psmouse - fix button reporting for basic protocols
(bnc#1098392).
- commit 7faa585
-------------------------------------------------------------------
Mon Jun 25 15:38:48 CEST 2018 - msuchanek@suse.de
- rpm/kernel-source.changes.old: Add pre-SLE15 history (bsc#1098995).
- commit 631659e
-------------------------------------------------------------------
Mon Jun 25 14:46:25 CEST 2018 - msuchanek@suse.de
- Refresh patches.suse/s390-fix-random-crashes-illegal-operation-0001-ilc-1.patch.
- commit ff0a7a4
-------------------------------------------------------------------
Mon Jun 25 11:24:43 CEST 2018 - bp@suse.de
- vhost: fix info leak due to uninitialized memory (bsc#1092472
CVE-2018-1118).
- commit 7372950
-------------------------------------------------------------------
Sun Jun 24 21:50:01 CEST 2018 - mkubecek@suse.cz
- Update to 4.18-rc2
- Eliminated 1 patch
- Config changes:
- aarch64: reenable DWMAC_SOCFPGA (=m)
- commit cc9e91e
-------------------------------------------------------------------
Sun Jun 24 02:55:48 CEST 2018 - afaerber@suse.de
- config: arm64: Update to 4.18-rc1
- commit b301d60
-------------------------------------------------------------------
Sat Jun 23 14:37:31 CEST 2018 - mkubecek@suse.cz
- proc: fix missing final NUL in get_mm_cmdline() rewrite
(https://www.mail-archive.com/linux-kernel@vger.kernel.org/msg1715032.html).
- commit f348790
-------------------------------------------------------------------
Sat Jun 23 14:36:49 CEST 2018 - mkubecek@suse.cz
- Documentation: e1000: Fix docs build error.
- Documentation: e100: Fix docs build error.
- Documentation: e1000: Use correct heading adornment.
- Documentation: e100: Use correct heading adornment.
- commit 95284f7
-------------------------------------------------------------------
Sat Jun 23 09:41:41 CEST 2018 - jslaby@suse.cz
- x86/stacktrace: Do not unwind after user regs (bnc#1058115).
- x86/stacktrace: Remove STACKTRACE_DUMP_ONCE (bnc#1058115).
- x86/stacktrace: Clarify the reliable success paths
(bnc#1058115).
- x86/stacktrace: Do not fail for ORC with regs on stack
(bnc#1058115).
- x86/unwind/orc: Detect the end of the stack (bnc#1058115).
- x86/stacktrace: Enable HAVE_RELIABLE_STACKTRACE for the ORC
unwinder (bnc#1058115).
- Delete
patches.suse/0001-x86-stacktrace-do-now-unwind-after-user-regs.patch.
- Delete
patches.suse/0002-x86-stacktrace-make-clear-the-success-paths.patch.
- Delete
patches.suse/0003-x86-stacktrace-remove-STACKTRACE_DUMP_ONCE-from-__sa.patch.
- Delete
patches.suse/0004-x86-stacktrace-do-not-fail-for-ORC-with-regs-on-stac.patch.
- Delete
patches.suse/0005-x86-stacktrace-orc-mark-it-as-reliable.patch.
Replace the ORC patches by the upstream patches. Finally...
- commit 33a2d86
-------------------------------------------------------------------
Thu Jun 21 17:15:09 CEST 2018 - msuchanek@suse.de
- s390: Correct register corruption in critical section cleanup
(boo#1095717).
- commit 4594a9e
-------------------------------------------------------------------
Wed Jun 20 11:08:17 CEST 2018 - tiwai@suse.de
- ACPICA: AML parser: attempt to continue loading table after
error (bsc#1098074).
- commit fc39d10
-------------------------------------------------------------------
Mon Jun 18 18:27:58 CEST 2018 - msuchanek@suse.de
- macros.kernel-source: define linux_arch for KMPs (boo#1098050).
CONFIG_64BIT is no longer defined so KMP spec files need to include
%{?linux_make_arch} in any make call to build modules or descent into
the kernel directory for any reason.
- commit 5dc40af
-------------------------------------------------------------------
Mon Jun 18 11:42:15 CEST 2018 - mkubecek@suse.cz
- config: update ppc64le configs
- commit fa9e020
-------------------------------------------------------------------
Mon Jun 18 08:47:10 CEST 2018 - mkubecek@suse.cz
- config: enable NETDEVSIM (as module)
- commit e218eff
-------------------------------------------------------------------
Mon Jun 18 08:45:46 CEST 2018 - mkubecek@suse.cz
- Update to 4.18-rc1.
- Eliminated 66 patches (62 stable, 4 other).
- ARM configs need updating.
- Config changes:
- General:
- RSEQ=y
- i386:
- BPF_JIT=y
- BPF_JIT_ALWAYS_ON=y
- powerpc:
- LD_DEAD_CODE_DATA_ELIMINATION=n (experimental)
- s390:
- FW_LOADER_USER_HELPER=y
- PCI:
- PCI_HOST_GENERIC=y
- Network:
- TLS_DEVICE=y
- XDP_SOCKETS=y
- NFT_CONNLIMIT=m
- NFT_SOCKET=m
- IP_VS_MH=m
- IP_VS_MH_TAB_INDEX=12 (default)
- NF_TPROXY_IPV4=m
- NF_TPROXY_IPV6=m
- BPFILTER=y
- BPFILTER_UMH=m
- FAILOVER=m
- MLX5_EN_TLS=y
- NET_VENDOR_MICROSEMI=y
- MSCC_OCELOT_SWITCH=m
- MSCC_OCELOT_SWITCH_OCELOT=m
- NFP_APP_ABM_NIC=y
- MDIO_MSCC_MIIM=m
- ASIX_PHY=m
- DP83TC811_PHY=m
- MICROCHIP_T1_PHY=m
- NET_FAILOVER=m
- Block:
- DM_WRITECACHE=m
- Input:
- MOUSE_PS2_ELANTECH_SMBUS=y
- TOUCHSCREEN_CHIPONE_ICN8505=m
- HID_STEAM=m
- Misc:
- SPI_MEM=y
- GPIOLIB_FASTPATH_LIMIT=512 (default)
- VIDEO_CADENCE=y
- LCD_OTM3225A=n
- CHROMEOS_TBMC=m
- FPGA_MGR_MACHXO2_SPI=m
- Graphics:
- DRM_I2C_NXP_TDA9950=m
- DRM_I915_DEBUG_GUC=n
- DRM_CDNS_DSI=n
- DRM_THINE_THC63LVD1024=n
- DRM_XEN=y
- DRM_XEN_FRONTEND=m
- Sound:
- SND_SOC_SSM2305=n
- SND_SOC_TSCS454=n
- SND_SOC_WM8782=n
- SND_SOC_MT6351=n
- SND_XEN_FRONTEND=m
- LED:
- LEDS_CR0014114=m
- LEDS_LM3601X=m
- USB:
- TYPEC_RT1711H=m
- IIO:
- AD5686_SPI=n
- AD5696_I2C=n
- TI_DAC5571=n
- TSL2772=n
- IIO_RESCALE=n
- Filesystems:
- PROC_VMCORE_DEVICE_DUMP=y
- EVM_ADD_XATTRS=y
- Testing:
- TEST_OVERFLOW=n
- Crypto:
- CRYPTO_AEGIS128=m
- CRYPTO_AEGIS128L=m
- CRYPTO_AEGIS256=m
- CRYPTO_AEGIS128_AESNI_SSE2=m
- CRYPTO_AEGIS128L_AESNI_SSE2=m
- CRYPTO_AEGIS256_AESNI_SSE2=m
- CRYPTO_MORUS640=m
- CRYPTO_MORUS640_SSE2=m
- CRYPTO_MORUS1280=m
- CRYPTO_MORUS1280_SSE2=m
- CRYPTO_MORUS1280_AVX2=m
- CRYPTO_ZSTD=m
- commit 549a5bb
-------------------------------------------------------------------
Mon Jun 18 07:27:34 CEST 2018 - mkubecek@suse.cz
- rpm: ignore CONFIG_GCC_VERSION when checking for oldconfig changes
Since 4.18-rc1, "make oldconfig" writes gcc version and capabilities into
generated .config. Thus whenever we build the package or run checks with
different gcc version than used to update config/*/*, check for "outdated
configs" fails.
As a quick band-aid, omit the lines with CONFIG_GCC_VERSION from both
configs before comparing them. This way, the check won't fail unless run
with newer gcc which would add new capabilities. More robust solution will
require a wider discussion.
- commit 546ef32
-------------------------------------------------------------------
Sat Jun 16 12:30:37 CEST 2018 - jslaby@suse.cz
- Revert "mm: relax deferred struct page requirements"
(bnc#1092466).
- Update config files.
- commit 802b05f
-------------------------------------------------------------------
Sat Jun 16 11:51:06 CEST 2018 - jslaby@suse.cz
- Linux 4.17.2 (bnc#1012628).
- crypto: omap-sham - fix memleak (bnc#1012628).
- crypto: vmx - Remove overly verbose printk from AES XTS init
(bnc#1012628).
- crypto: vmx - Remove overly verbose printk from AES init
routines (bnc#1012628).
- crypto: cavium - Limit result reading attempts (bnc#1012628).
- crypto: cavium - Fix fallout from CONFIG_VMAP_STACK
(bnc#1012628).
- crypto: caam - fix size of RSA prime factor q (bnc#1012628).
- crypto: caam/qi - fix IV DMA mapping and updating (bnc#1012628).
- crypto: caam - fix IV DMA mapping and updating (bnc#1012628).
- crypto: caam - fix DMA mapping dir for generated IV
(bnc#1012628).
- crypto: caam - strip input zeros from RSA input buffer
(bnc#1012628).
- Input: elan_i2c - add ELAN0612 (Lenovo v330 14IKB) ACPI ID
(bnc#1012628).
- Input: goodix - add new ACPI id for GPD Win 2 touch screen
(bnc#1012628).
- crypto: ccree - correct host regs offset (bnc#1012628).
- tty: pl011: Avoid spuriously stuck-off interrupts (bnc#1012628).
- arm64: defconfig: Enable CONFIG_PINCTRL_MT7622 by default
(bnc#1012628).
- doc: fix sysfs ABI documentation (bnc#1012628).
- vmw_balloon: fixing double free when batching mode is off
(bnc#1012628).
- serial: 8250: omap: Fix idling of clocks for unused uarts
(bnc#1012628).
- serial: samsung: fix maxburst parameter for DMA transactions
(bnc#1012628).
- tty/serial: atmel: use port->name as name in request_irq()
(bnc#1012628).
- serial: sh-sci: Stop using printk format %pCr (bnc#1012628).
- usb: gadget: udc: renesas_usb3: disable the controller's irqs
for reconnecting (bnc#1012628).
- usb: gadget: udc: renesas_usb3: should fail if devm_phy_get()
returns error (bnc#1012628).
- usb: gadget: udc: renesas_usb3: should call devm_phy_get()
before add udc (bnc#1012628).
- usb: gadget: udc: renesas_usb3: should call pm_runtime_enable()
before add udc (bnc#1012628).
- usb: gadget: udc: renesas_usb3: should remove debugfs
(bnc#1012628).
- usb: gadget: udc: renesas_usb3: fix double phy_put()
(bnc#1012628).
- usb: gadget: function: printer: avoid wrong list handling in
printer_write() (bnc#1012628).
- usb: typec: wcove: Remove dependency on HW FSM (bnc#1012628).
- usb: core: message: remove extra endianness conversion in
usb_set_isoch_delay (bnc#1012628).
- phy: qcom-qusb2: Fix crash if nvmem cell not specified
(bnc#1012628).
- Input: xpad - add GPD Win 2 Controller USB IDs (bnc#1012628).
- usb-storage: Add compatibility quirk flags for G-Technologies
G-Drive (bnc#1012628).
- usb-storage: Add support for FL_ALWAYS_SYNC flag in the UAS
driver (bnc#1012628).
- usbip: vhci_sysfs: fix potential Spectre v1 (bnc#1012628).
- NFC: pn533: don't send USB data off of the stack (bnc#1012628).
- staging: android: ion: Switch to pr_warn_once in
ion_buffer_destroy (bnc#1012628).
- kvm: x86: use correct privilege level for
sgdt/sidt/fxsave/fxrstor access (bnc#1012628).
- KVM: x86: pass kvm_vcpu to kvm_read_guest_virt and
kvm_write_guest_virt_system (bnc#1012628).
- kvm: nVMX: Enforce cpl=0 for VMX instructions (bnc#1012628).
- kvm: fix typo in flag name (bnc#1012628).
- KVM: x86: introduce linear_{read,write}_system (bnc#1012628).
- KVM: X86: Fix reserved bits check for MOV to CR3 (bnc#1012628).
- blkdev_report_zones_ioctl(): Use vmalloc() to allocate large
buffers (bnc#1012628).
- crypto: chelsio - request to HW should wrap (bnc#1012628).
- commit 202985c
-------------------------------------------------------------------
Thu Jun 14 09:11:23 CEST 2018 - mkubecek@suse.cz
- socket: close race condition between sock_close() and
sockfs_setattr() (CVE-2018-12232 bsc#1097593).
- commit 94bf968
-------------------------------------------------------------------
Thu Jun 14 08:23:34 CEST 2018 - jslaby@suse.cz
- config.conf: disable syzkaller
I doubt anybody else (other than me) uses the flavor, so save a lot of
build resources by this. Leaving syzkaller configs and stuff in place
so people still can build it if they want.
The build is currently broken, so this "fixes" it too:
ERROR: "__sanitizer_cov_trace_cmpd" [drivers/gpu/drm/amd/amdgpu/amdgpu.ko] undefined!
ERROR: "__sanitizer_cov_trace_cmpf" [drivers/gpu/drm/amd/amdgpu/amdgpu.ko] undefined!
- commit bdee95f
-------------------------------------------------------------------
Tue Jun 12 11:38:53 CEST 2018 - jslaby@suse.cz
- Linux 4.17.1 (bnc#1012628).
- netfilter: nf_flow_table: attach dst to skbs (bnc#1012628).
- bnx2x: use the right constant (bnc#1012628).
- ip6mr: only set ip6mr_table from setsockopt when ip6mr_new_table
succeeds (bnc#1012628).
- ipv6: omit traffic class when calculating flow hash (bnc#1012628
bsc#1095042).
- l2tp: fix refcount leakage on PPPoL2TP sockets (bnc#1012628).
- netdev-FAQ: clarify DaveM's position for stable backports
(bnc#1012628).
- net: metrics: add proper netlink validation (bnc#1012628).
- net/packet: refine check for priv area size (bnc#1012628).
- rtnetlink: validate attributes in do_setlink() (bnc#1012628).
- sctp: not allow transport timeout value less than HZ/5 for
hb_timer (bnc#1012628).
- team: use netdev_features_t instead of u32 (bnc#1012628).
- vrf: check the original netdevice for generating redirect
(bnc#1012628).
- net: dsa: b53: Fix for brcm tag issue in Cygnus SoC
(bnc#1012628).
- ipmr: fix error path when ipmr_new_table fails (bnc#1012628).
- PCI: hv: Do not wait forever on a device that has disappeared
(bnc#1012628).
- Delete
patches.suse/ipv6-omit-traffic-class-when-calculating-flow-hash.patch.
- commit 17c8abe
-------------------------------------------------------------------
Fri Jun 8 15:42:50 CEST 2018 - tiwai@suse.de
- mtd: spi-nor: intel-spi: Fix atomic sequence handling
(bsc#1073836).
- commit c31c53d
-------------------------------------------------------------------
Fri Jun 8 11:42:06 CEST 2018 - mkubecek@suse.cz
- ipv6: omit traffic class when calculating flow hash
(bsc#1095042).
- commit 1307c29
-------------------------------------------------------------------
Fri Jun 8 10:11:35 CEST 2018 - tiwai@suse.de
- Delete patches.suse/iwlwifi-expose-default-fallback-ucode-api.
The workaround is no longer needed as the upstream driver code catches
up the actual firmware version
- commit d6e069d
-------------------------------------------------------------------
Fri Jun 8 10:09:38 CEST 2018 - tiwai@suse.de
- Update patch tag of the upstreamed btusb fix
- commit f531f64
-------------------------------------------------------------------
Mon Jun 4 13:06:56 CEST 2018 - mkubecek@suse.cz
- config: enable preemption in i386/debug
- commit b181e22
-------------------------------------------------------------------
Mon Jun 4 06:35:34 CEST 2018 - mkubecek@suse.cz
- Update to 4.17-final
- commit fb45ad0
-------------------------------------------------------------------
Sat Jun 2 21:10:35 CEST 2018 - mkubecek@suse.cz
- packet: fix reserve calculation (bsc#1095592).
- commit f670a16
-------------------------------------------------------------------
Fri Jun 1 19:37:49 CEST 2018 - mcgrof@suse.com
- xfs: enhance dinode verifier (bsc#1090749,CVE-2018-10322).
- commit d565016
-------------------------------------------------------------------
Thu May 31 07:56:10 CEST 2018 - jslaby@suse.cz
- Linux 4.16.13 (bnc#1012628).
- drm/vmwgfx: Set dmabuf_size when vmw_dmabuf_init is successful
(bnc#1012628).
- kdb: make "mdr" command repeat (bnc#1012628).
- pinctrl: mcp23s08: spi: Fix regmap debugfs entries
(bnc#1012628).
- pinctrl: msm: Use dynamic GPIO numbering (bnc#1012628).
- regulator: of: Add a missing 'of_node_put()' in an error
handling path of 'of_regulator_match()' (bnc#1012628).
- ARM: dts: porter: Fix HDMI output routing (bnc#1012628).
- ARM: dts: imx7d: cl-som-imx7: fix pinctrl_enet (bnc#1012628).
- i40e: Add delay after EMP reset for firmware to recover
(bnc#1012628).
- soc: amlogic: meson-gx-pwrc-vpu: fix error on shutdown when
domain is powered off (bnc#1012628).
- regmap: Correct comparison in regmap_cached (bnc#1012628).
- ARM: dts: at91: tse850: use the correct compatible for the
eeprom (bnc#1012628).
- ARM: dts: at91: nattis: use the correct compatible for the
eeprom (bnc#1012628).
- selftests: Add FIB onlink tests (bnc#1012628).
- drm: rcar-du: lvds: Fix LVDS startup on R-Car Gen2
(bnc#1012628).
- drm: rcar-du: lvds: Fix LVDS startup on R-Car Gen3
(bnc#1012628).
- netlabel: If PF_INET6, check sk_buff ip header version
(bnc#1012628).
- drm/vblank: Data type fixes for 64-bit vblank sequences
(bnc#1012628).
- selftests/net: fixes psock_fanout eBPF test case (bnc#1012628).
- perf tests: Fix dwarf unwind for stripped binaries
(bnc#1012628).
- perf report: Fix memory corruption in --branch-history mode
--branch-history (bnc#1012628).
- perf tests: Use arch__compare_symbol_names to compare symbols
(bnc#1012628).
- perf report: Fix wrong jump arrow (bnc#1012628).
- perf test: Fix test case inet_pton to accept inlines
(bnc#1012628).
- x86/apic: Set up through-local-APIC mode on the boot CPU if
'noapic' specified (bnc#1012628).
- drm/rockchip: Respect page offset for PRIME mmap calls
(bnc#1012628).
- soc: renesas: r8a77970-sysc: fix power area parents
(bnc#1012628).
- MIPS: Octeon: Fix logging messages with spurious periods after
newlines (bnc#1012628).
- dpaa_eth: fix pause capability advertisement logic
(bnc#1012628).
- drm/amd/display: Set vsc pack revision when DPCD revision is >=
1.2 (bnc#1012628).
- dt-bindings: display: msm/dsi: Fix the PHY regulator supply
props (bnc#1012628).
- pinctrl: sh-pfc: r8a7796: Fix MOD_SEL register pin assignment
for SSI pins group (bnc#1012628).
- rcu: Call touch_nmi_watchdog() while printing stall warnings
(bnc#1012628).
- net: stmmac: call correct function in
stmmac_mac_config_rx_queues_routing() (bnc#1012628).
- audit: return on memory error to avoid null pointer dereference
(bnc#1012628).
- hwrng: bcm2835 - Handle deferred clock properly (bnc#1012628).
- PCMCIA / PM: Avoid noirq suspend aborts during suspend-to-idle
(bnc#1012628).
- ARM: dts: bcm283x: Fix pin function of JTAG pins (bnc#1012628).
- ARM: dts: bcm283x: Fix probing of bcm2835-i2s (bnc#1012628).
- power: supply: ltc2941-battery-gauge: Fix temperature units
(bnc#1012628).
- sh_eth: fix TSU init on SH7734/R8A7740 (bnc#1012628).
- ixgbe: prevent ptp_rx_hang from running when in FILTER_ALL mode
(bnc#1012628).
- udf: Provide saner default for invalid uid / gid (bnc#1012628).
- PCI: Add function 1 DMA alias quirk for Marvell 88SE9220
(bnc#1012628).
- dpaa_eth: fix SG mapping (bnc#1012628).
- cpufreq: Reorder cpufreq_online() error code path (bnc#1012628).
- net: stmmac: ensure that the MSS desc is the last desc to set
the own bit (bnc#1012628).
- net: stmmac: ensure that the device has released ownership
before reading data (bnc#1012628).
- ibmvnic: Allocate statistics buffers during probe (bnc#1012628).
- drm/amdgpu: adjust timeout for ib_ring_tests(v2) (bnc#1012628).
- drm/amdgpu: disable GFX ring and disable PQ wptr in hw_fini
(bnc#1012628).
- ARM: dts: dra71-evm: Correct evm_sd regulator max voltage
(bnc#1012628).
- drm: omapdrm: dss: Move initialization code from component
bind to probe (bnc#1012628).
- dmaengine: qcom: bam_dma: get num-channels and num-ees from dt
(bnc#1012628).
- vfio-ccw: fence off transport mode (bnc#1012628).
- pinctrl: artpec6: dt: add missing pin group uart5nocts
(bnc#1012628).
- pinctrl: devicetree: Fix dt_to_map_one_config handling of hogs
(bnc#1012628).
- hwrng: stm32 - add reset during probe (bnc#1012628).
- watchdog: asm9260_wdt: fix error handling in asm9260_wdt_probe()
(bnc#1012628).
- enic: enable rq before updating rq descriptors (bnc#1012628).
- dmaengine: rcar-dmac: Check the done lists in
rcar_dmac_chan_get_residue() (bnc#1012628).
- powerpc/mm/slice: Fix hugepage allocation at hint address on
8xx (bnc#1012628).
- powerpc/mm/slice: Enhance for supporting PPC32 (bnc#1012628).
- powerpc/mm/slice: create header files dedicated to slices
(bnc#1012628).
- powerpc/mm/slice: Remove intermediate bitmap copy (bnc#1012628).
- ARM: dts: keystone-k2e-clocks: Fix missing unit address
separator (bnc#1012628).
- dmaengine: pl330: fix a race condition in case of threaded irqs
(bnc#1012628).
- block: null_blk: fix 'Invalid parameters' when loading module
(bnc#1012628).
- tools: hv: fix compiler warnings about major/target_fname
(bnc#1012628).
- drm/amdgpu: Clean sdma wptr register when only enable wptr
polling (bnc#1012628).
- drm/bridge: sii902x: Retry status read after DDI I2C
(bnc#1012628).
- phy: qcom-qmp: Fix phy pipe clock gating (bnc#1012628).
- ALSA: vmaster: Propagate slave error (bnc#1012628).
- phy: rockchip-emmc: retry calpad busy trimming (bnc#1012628).
- x86/devicetree: Fix device IRQ settings in DT (bnc#1012628).
- x86/devicetree: Initialize device tree before using it
(bnc#1012628).
- gfs2: Fix fallocate chunk size (bnc#1012628).
- soc: qcom: wcnss_ctrl: Fix increment in NV upload (bnc#1012628).
- arm64: dts: qcom: Fix SPI5 config on MSM8996 (bnc#1012628).
- perf/x86/intel: Fix event update for auto-reload (bnc#1012628).
- perf/x86/intel: Fix large period handling on Broadwell CPUs
(bnc#1012628).
- efi/arm*: Only register page tables when they exist
(bnc#1012628).
- cdrom: do not call check_disk_change() inside cdrom_open()
(bnc#1012628).
- perf/x86/intel: Properly save/restore the PMU state in the
NMI handler (bnc#1012628).
- hwmon: (pmbus/adm1275) Accept negative page register values
(bnc#1012628).
- hwmon: (pmbus/max8688) Accept negative page register values
(bnc#1012628).
- drm/panel: simple: Fix the bus format for the Ontat panel
(bnc#1012628).
- perf/core: Fix perf_output_read_group() (bnc#1012628).
- max17042: propagate of_node to power supply device
(bnc#1012628).
- perf/core: Fix installing cgroup events on CPU (bnc#1012628).
- f2fs: fix to check extent cache in f2fs_drop_extent_tree
(bnc#1012628).
- f2fs: fix to clear CP_TRIMMED_FLAG (bnc#1012628).
- f2fs: fix to set KEEP_SIZE bit in f2fs_zero_range (bnc#1012628).
- cxl: Check if PSL data-cache is available before issue flush
request (bnc#1012628).
- f2fs: flush cp pack except cp pack 2 page at first
(bnc#1012628).
- powerpc/powernv/npu: Fix deadlock in mmio_invalidate()
(bnc#1012628).
- powerpc: Add missing prototype for arch_irq_work_raise()
(bnc#1012628).
- drm/meson: Fix an un-handled error path in
'meson_drv_bind_master()' (bnc#1012628).
- drm/meson: Fix some error handling paths in
'meson_drv_bind_master()' (bnc#1012628).
- ipmi_ssif: Fix kernel panic at msg_done_handler (bnc#1012628).
- watchdog: aspeed: Fix translation of reset mode to ctrl register
(bnc#1012628).
- watchdog: dw: RMW the control register (bnc#1012628).
- watchdog: sprd_wdt: Fix error handling in sprd_wdt_enable()
(bnc#1012628).
- PCI: Restore config space on runtime resume despite being
unbound (bnc#1012628).
- powerpc/vas: Fix cleanup when VAS is not configured
(bnc#1012628).
- MIPS: ath79: Fix AR724X_PLL_REG_PCIE_CONFIG offset
(bnc#1012628).
- net/smc: pay attention to MAX_ORDER for CQ entries
(bnc#1012628).
- spi: bcm-qspi: fIX some error handling paths (bnc#1012628).
- regulator: gpio: Fix some error handling paths in
'gpio_regulator_probe()' (bnc#1012628).
- ibmvnic: Fix reset return from closed state (bnc#1012628).
- coresight: Use %px to print pcsr instead of %p (bnc#1012628).
- drm/amdkfd: add missing include of mm.h (bnc#1012628).
- IB/core: Honor port_num while resolving GID for IB link layer
(bnc#1012628).
- perf stat: Fix core dump when flag T is used (bnc#1012628).
- perf top: Fix top.call-graph config option reading
(bnc#1012628).
- KVM: lapic: stop advertising DIRECTED_EOI when in-kernel IOAPIC
is in use (bnc#1012628).
- i2c: mv64xxx: Apply errata delay only in standard mode
(bnc#1012628).
- cxgb4: Fix queue free path of ULD drivers (bnc#1012628).
- ACPICA: acpi: acpica: fix acpi operand cache leak in nseval.c
(bnc#1012628).
- bcache: stop dc->writeback_rate_update properly (bnc#1012628).
- ACPICA: Fix memory leak on unusual memory leak (bnc#1012628).
- ACPICA: Events: add a return on failure from
acpi_hw_register_read (bnc#1012628).
- bcache: fix cached_dev->count usage for bch_cache_set_error()
(bnc#1012628).
- dt-bindings: add device tree binding for Allwinner H6 main CCU
(bnc#1012628).
- remoteproc: imx_rproc: Fix an error handling path in
'imx_rproc_probe()' (bnc#1012628).
- bcache: quit dc->writeback_thread when BCACHE_DEV_DETACHING
is set (bnc#1012628).
- zorro: Set up z->dev.dma_mask for the DMA API (bnc#1012628).
- IB/mlx5: Set the default active rate and width to QDR and 4X
(bnc#1012628).
- firmware: fix checking for return values for fw_add_devm_name()
(bnc#1012628).
- cpufreq: cppc_cpufreq: Fix cppc_cpufreq_init() failure path
(bnc#1012628).
- iommu/mediatek: Fix protect memory setting (bnc#1012628).
- drm/vmwgfx: Unpin the screen object backup buffer when not used
(bnc#1012628).
- ext4: don't complain about incorrect features when probing
(bnc#1012628).
- ima: clear IMA_HASH (bnc#1012628).
- arm: dts: socfpga: fix GIC PPI warning (bnc#1012628).
- virtio-net: Fix operstate for virtio when no VIRTIO_NET_F_STATUS
(bnc#1012628).
- gfs2: Check for the end of metadata in punch_hole (bnc#1012628).
- watchdog: aspeed: Allow configuring for alternate boot
(bnc#1012628).
- ima: Fallback to the builtin hash algorithm (bnc#1012628).
- ima: Fix Kconfig to select TPM 2.0 CRB interface (bnc#1012628).
- Update config files (TCG_CRB=y).
- hv_netvsc: Fix the return status in RX path (bnc#1012628).
- cxgb4: Setup FW queues before registering netdev (bnc#1012628).
- btrfs: fix null pointer deref when target device is missing
(bnc#1012628).
- ath9k: fix crash in spectral scan (bnc#1012628).
- nvme-pci: disable APST for Samsung NVMe SSD 960 EVO + ASUS
PRIME Z370-A (bnc#1012628).
- nvme_fc: fix abort race on teardown with lld reject
(bnc#1012628).
- ath10k: Fix kernel panic while using worker
(ath10k_sta_rc_update_wk) (bnc#1012628).
- net: qualcomm: rmnet: check for null ep to avoid null pointer
dereference (bnc#1012628).
- net: hns3: fix for returning wrong value problem in
hns3_get_rss_key_size (bnc#1012628).
- net: hns3: fix for returning wrong value problem in
hns3_get_rss_indir_size (bnc#1012628).
- net: hns3: fix for the wrong shift problem in
hns3_set_txbd_baseinfo (bnc#1012628).
- watchdog: davinci_wdt: fix error handling in davinci_wdt_probe()
(bnc#1012628).
- net/mlx5: Protect from command bit overflow (bnc#1012628).
- i40e: hold the RTNL lock while changing interrupt schemes
(bnc#1012628).
- selftests: Print the test we're running to /dev/kmsg
(bnc#1012628).
- tools/thermal: tmon: fix for segfault (bnc#1012628).
- rsi: fix kernel panic observed on 64bit machine (bnc#1012628).
- powerpc/perf: Fix kernel address leak via sampling registers
(bnc#1012628).
- powerpc/perf: Prevent kernel address leak to userspace via
BHRB buffer (bnc#1012628).
- mt76x2: fix warning in ieee80211_get_key_rx_seq() (bnc#1012628).
- mt76x2: fix possible NULL pointer dereferencing in mt76x2_tx()
(bnc#1012628).
- hwmon: (nct6775) Fix writing pwmX_mode (bnc#1012628).
- perf mmap: Fix accessing unmapped mmap in perf_mmap__read_done()
(bnc#1012628).
- parisc/pci: Switch LBA PCI bus from Hard Fail to Soft Fail mode
(bnc#1012628).
- net/mlx5e: Move all TX timeout logic to be under state lock
(bnc#1012628).
- iwlwifi: mvm: take RCU lock before dereferencing (bnc#1012628).
- iwlwifi: mvm: check if mac80211_queue is valid in
iwl_mvm_disable_txq (bnc#1012628).
- m68k: set dma and coherent masks for platform FEC ethernets
(bnc#1012628).
- intel_th: Use correct method of finding hub (bnc#1012628).
- iommu/amd: Take into account that alloc_dev_data() may return
NULL (bnc#1012628).
- ath10k: advertize beacon_int_min_gcd (bnc#1012628).
- ieee802154: ca8210: fix uninitialised data read (bnc#1012628).
- powerpc/mpic: Check if cpu_possible() in mpic_physmask()
(bnc#1012628).
- ACPI: acpi_pad: Fix memory leak in power saving threads
(bnc#1012628).
- drivers: macintosh: rack-meter: really fix bogus memsets
(bnc#1012628).
- xen/acpi: off by one in read_acpi_id() (bnc#1012628).
- rxrpc: Don't treat call aborts as conn aborts (bnc#1012628).
- rxrpc: Fix Tx ring annotation after initial Tx failure
(bnc#1012628).
- rxrpc: Fix resend event time calculation (bnc#1012628).
- btrfs: qgroup: Fix root item corruption when multiple same
source snapshots are created with quota enabled (bnc#1012628).
- btrfs: fix lockdep splat in btrfs_alloc_subvolume_writers
(bnc#1012628).
- Btrfs: fix copy_items() return value when logging an inode
(bnc#1012628).
- btrfs: tests/qgroup: Fix wrong tree backref level (bnc#1012628).
- powerpc/64s: sreset panic if there is no debugger or crash
dump handlers (bnc#1012628).
- bnxt_en: fix clear flags in ethtool reset handling
(bnc#1012628).
- net: bgmac: Correctly annotate register space (bnc#1012628).
- net: bgmac: Fix endian access in bgmac_dma_tx_ring_free()
(bnc#1012628).
- platform/x86: dell-smbios: Fix memory leaks in
build_tokens_sysfs() (bnc#1012628).
- riscv/spinlock: Strengthen implementations with fences
(bnc#1012628).
- sparc64: Make atomic_xchg() an inline function rather than a
macro (bnc#1012628).
- dmaengine: rcar-dmac: Fix too early/late system suspend/resume
callbacks (bnc#1012628).
- fscache: Fix hanging wait on page discarded by writeback
(bnc#1012628).
- lan78xx: Connect phy early (bnc#1012628).
- KVM: VMX: raise internal error for exception during invalid
protected mode state (bnc#1012628).
- x86/mm: Fix bogus warning during EFI bootup, use boot_cpu_has()
instead of this_cpu_has() in build_cr3_noflush() (bnc#1012628).
- sched/rt: Fix rq->clock_update_flags < RQCF_ACT_SKIP warning
(bnc#1012628).
- powerpc/64s/idle: Fix restore of AMOR on POWER9 after deep sleep
(bnc#1012628).
- ocfs2/dlm: don't handle migrate lockres if already in shutdown
(bnc#1012628).
- IB/rxe: Fix for oops in rxe_register_device on ppc64le arch
(bnc#1012628).
- btrfs: Fix possible softlock on single core machines
(bnc#1012628).
- Btrfs: fix NULL pointer dereference in log_dir_items
(bnc#1012628).
- Btrfs: bail out on error during replay_dir_deletes
(bnc#1012628).
- mm: thp: fix potential clearing to referenced flag in
page_idle_clear_pte_refs_one() (bnc#1012628).
- mm: fix races between address_space dereference and free in
page_evicatable (bnc#1012628).
- mm/ksm: fix interaction with THP (bnc#1012628).
- genirq/affinity: Don't return with empty affinity masks on error
(bnc#1012628).
- ibmvnic: Zero used TX descriptor counter on reset (bnc#1012628).
- dp83640: Ensure against premature access to PHY registers
after reset (bnc#1012628).
- perf clang: Add support for recent clang versions (bnc#1012628).
- perf tools: Fix perf builds with clang support (bnc#1012628).
- powerpc/fscr: Enable interrupts earlier before calling
get_user() (bnc#1012628).
- cpufreq: CPPC: Initialize shared perf capabilities of CPUs
(bnc#1012628).
- Force log to disk before reading the AGF during a fstrim
(bnc#1012628).
- sr: get/drop reference to device in revalidate and check_events
(bnc#1012628).
- z3fold: fix memory leak (bnc#1012628).
- swap: divide-by-zero when zero length swap file on ssd
(bnc#1012628).
- kasan, slub: fix handling of kasan_slab_free hook (bnc#1012628).
- kasan: fix invalid-free test crashing the kernel (bnc#1012628).
- fs/proc/proc_sysctl.c: fix potential page fault while
unregistering sysctl table (bnc#1012628).
- nvme: expand nvmf_check_if_ready checks (bnc#1012628).
- bnxt_en: Ignore src port field in decap filter nodes
(bnc#1012628).
- x86/mm: Do not forbid _PAGE_RW before init for __ro_after_init
(bnc#1012628).
- x86/pgtable: Don't set huge PUD/PMD on non-leaf entries
(bnc#1012628).
- Btrfs: fix loss of prealloc extents past i_size after fsync
log replay (bnc#1012628).
- Btrfs: clean up resources during umount after trans is aborted
(bnc#1012628).
- nvme: don't send keep-alives to the discovery controller
(bnc#1012628).
- firmware: dmi_scan: Fix UUID length safety check (bnc#1012628).
- sh: fix debug trap failure to process signals before return
to user (bnc#1012628).
- ARM: dts: sun4i: Fix incorrect clocks for displays
(bnc#1012628).
- x86/kvm: fix LAPIC timer drift when guest uses periodic mode
(bnc#1012628).
- kvm: x86: IA32_ARCH_CAPABILITIES is always supported
(bnc#1012628).
- KVM: x86: Update cpuid properly when CR4.OSXAVE or CR4.PKE is
changed (bnc#1012628).
- KVM: s390: vsie: fix < 8k check for the itdba (bnc#1012628).
- KVM/VMX: Expose SSBD properly to guests (bnc#1012628).
- PM / core: Fix direct_complete handling for devices with no
callbacks (bnc#1012628).
- kernel/sys.c: fix potential Spectre v1 issue (bnc#1012628).
- kasan: fix memory hotplug during boot (bnc#1012628).
- kasan: free allocated shadow memory on MEM_CANCEL_ONLINE
(bnc#1012628).
- mm/kasan: don't vfree() nonexistent vm_area (bnc#1012628).
- ipc/shm: fix shmat() nil address after round-down when remapping
(bnc#1012628).
- Revert "ipc/shm: Fix shmat mmap nil-page protection"
(bnc#1012628).
- idr: fix invalid ptr dereference on item delete (bnc#1012628).
- bcma: fix buffer size caused crash in bcma_core_mips_print_irq()
(bnc#1012628).
- sr: pass down correctly sized SCSI sense buffer (bnc#1012628).
- IB/umem: Use the correct mm during ib_umem_release
(bnc#1012628).
- IB/hfi1: Use after free race condition in send context error
path (bnc#1012628).
- powerpc/64s: Clear PCR on boot (bnc#1012628).
- arm64: export tishift functions to modules (bnc#1012628).
- arm64: lse: Add early clobbers to some input/output asm operands
(bnc#1012628).
- drm/vmwgfx: Fix 32-bit VMW_PORT_HB_[IN|OUT] macros
(bnc#1012628).
- xen-swiotlb: fix the check condition for
xen_swiotlb_free_coherent (bnc#1012628).
- libata: blacklist Micron 500IT SSD with MU01 firmware
(bnc#1012628).
- libata: Blacklist some Sandisk SSDs for NCQ (bnc#1012628).
- ahci: Add PCI ID for Cannon Lake PCH-LP AHCI (bnc#1012628).
- mmc: sdhci-iproc: add SDHCI_QUIRK2_HOST_OFF_CARD_ON for cygnus
(bnc#1012628).
- mmc: sdhci-iproc: fix 32bit writes for TRANSFER_MODE register
(bnc#1012628).
- mmc: sdhci-iproc: remove hard coded mmc cap 1.8v (bnc#1012628).
- mmc: block: propagate correct returned value in mmc_rpmb_ioctl
(bnc#1012628).
- do d_instantiate/unlock_new_inode combinations safely
(bnc#1012628).
- ALSA: timer: Fix pause event notification (bnc#1012628).
- Btrfs: fix error handling in btrfs_truncate() (bnc#1012628).
- aio: fix io_destroy(2) vs. lookup_ioctx() race (bnc#1012628).
- fs: don't scan the inode cache before SB_BORN is set
(bnc#1012628).
- fix breakage caused by d_find_alias() semantics change
(bnc#1012628).
- affs_lookup(): close a race with affs_remove_link()
(bnc#1012628).
- KVM: Fix spelling mistake: "cop_unsuable" -> "cop_unusable"
(bnc#1012628).
- MIPS: Fix ptrace(2) PTRACE_PEEKUSR and PTRACE_POKEUSR accesses
to o32 FGRs (bnc#1012628).
- MIPS: ptrace: Expose FIR register through FP regset
(bnc#1012628).
- MIPS: Fix build with DEBUG_ZBOOT and MACH_JZ4770 (bnc#1012628).
- MIPS: c-r4k: Fix data corruption related to cache coherence
(bnc#1012628).
- MIPS: xilfpga: Actually include FDT in fitImage (bnc#1012628).
- MIPS: xilfpga: Stop generating useless dtb.o (bnc#1012628).
- commit e33cb3e
-------------------------------------------------------------------
Mon May 28 07:31:05 CEST 2018 - mkubecek@suse.cz
- Update to 4.17-rc7
- Eliminated 1 patch
- Config changes:
- reenable SSB_DRIVER_PCICORE and dependencies after revert of
commit 882164a4a928
- commit c78299c
-------------------------------------------------------------------
Fri May 25 20:40:19 CEST 2018 - jslaby@suse.cz
- Update config files.
- commit 39c7522
-------------------------------------------------------------------
Fri May 25 20:21:17 CEST 2018 - jslaby@suse.cz
- Linux 4.16.12 (bnc#1012628).
- rtc: goldfish: Add missing MODULE_LICENSE (bnc#1012628).
- rtc: rp5c01: fix possible race condition (bnc#1012628).
- rtc: tx4939: avoid unintended sign extension on a 24 bit shift
(bnc#1012628).
- rtc: m41t80: fix race conditions (bnc#1012628).
- rtc: rk808: fix possible race condition (bnc#1012628).
- rtc: hctosys: Ensure system time doesn't overflow time_t
(bnc#1012628).
- rtc: snvs: Fix usage of snvs_rtc_enable (bnc#1012628).
- serial: altera: ensure port->regshift is honored consistently
(bnc#1012628).
- serial: 8250: Don't service RX FIFO if interrupts are disabled
(bnc#1012628).
- serial: arc_uart: Fix out-of-bounds access through DT alias
(bnc#1012628).
- serial: fsl_lpuart: Fix out-of-bounds access through DT alias
(bnc#1012628).
- serial: imx: Fix out-of-bounds access through serial port index
(bnc#1012628).
- serial: mxs-auart: Fix out-of-bounds access through serial
port index (bnc#1012628).
- serial: samsung: Fix out-of-bounds access through serial port
index (bnc#1012628).
- serial: sh-sci: Fix out-of-bounds access through DT alias
(bnc#1012628).
- serial: xuartps: Fix out-of-bounds access through DT alias
(bnc#1012628).
- serial: mvebu-uart: fix tx lost characters (bnc#1012628).
- media: cx25821: prevent out-of-bounds read on array card
(bnc#1012628).
- media: vivid: fix incorrect capabilities for radio
(bnc#1012628).
- media: vb2: Fix videobuf2 to map correct area (bnc#1012628).
- media: i2c: adv748x: fix HDMI field heights (bnc#1012628).
- media: v4l: vsp1: Fix display stalls when requesting too many
inputs (bnc#1012628).
- media: em28xx: Add Hauppauge SoloHD/DualHD bulk models
(bnc#1012628).
- media: lgdt3306a: Fix a double kfree on i2c device remove
(bnc#1012628).
- media: s3c-camif: fix out-of-bounds array access (bnc#1012628).
- media: cx23885: Set subdev host data to clk_freq pointer
(bnc#1012628).
- media: cx23885: Override 888 ImpactVCBe crystal frequency
(bnc#1012628).
- media: ov5645: add missing of_node_put() in error path
(bnc#1012628).
- clk: meson: axg: add the fractional part of the fixed_pll
(bnc#1012628).
- clk: meson: axg: fix the od shift of the sys_pll (bnc#1012628).
- clk: samsung: exynos3250: Fix PLL rates (bnc#1012628).
- clk: samsung: exynos5250: Fix PLL rates (bnc#1012628).
- clk: samsung: exynos5433: Fix PLL rates (bnc#1012628).
- clk: samsung: exynos5260: Fix PLL rates (bnc#1012628).
- clk: samsung: exynos7: Fix PLL rates (bnc#1012628).
- clk: samsung: s3c2410: Fix PLL rates (bnc#1012628).
- clk: rockchip: Prevent calculating mmc phase if clock rate is
zero (bnc#1012628).
- clk: tegra: Fix pll_u rate configuration (bnc#1012628).
- clk: hisilicon: mark wdt_mux_p[] as const (bnc#1012628).
- clk: Don't show the incorrect clock phase (bnc#1012628).
- clk: rockchip: Fix wrong parent for SDMMC phase clock for rk3228
(bnc#1012628).
- ASoC: samsung: i2s: Ensure the RCLK rate is properly determined
(bnc#1012628).
- ASoC: topology: create TLV data for dapm widgets (bnc#1012628).
- ASoC: samsung: odroid: Fix 32000 sample rate handling
(bnc#1012628).
- ASoC: rockchip: rk3288-hdmi-analog: Select needed codecs
(bnc#1012628).
- scsi: lpfc: Fix frequency of Release WQE CQEs (bnc#1012628).
- scsi: lpfc: Fix IO failure during hba reset testing with nvme io
(bnc#1012628).
- scsi: lpfc: Fix soft lockup in lpfc worker thread during LIP
testing (bnc#1012628).
- scsi: lpfc: Fix nonrecovery of NVME controller after cable swap
(bnc#1012628).
- scsi: lpfc: Fix issue_lip if link is disabled (bnc#1012628).
- scsi: mvsas: fix wrong endianness of sgpio api (bnc#1012628).
- scsi: core: Make SCSI Status CONDITION MET equivalent to GOOD
(bnc#1012628).
- scsi: lpfc: Fix NVME Initiator FirstBurst (bnc#1012628).
- scsi: devinfo: add HP DISK-SUBSYSTEM device, for HP XP arrays
(bnc#1012628).
- scsi: aacraid: Insure command thread is not recursively stopped
(bnc#1012628).
- crypto: inside-secure - fix the invalidation step during
cra_exit (bnc#1012628).
- crypto: sunxi-ss - Add MODULE_ALIAS to sun4i-ss (bnc#1012628).
- crypto: inside-secure - do not overwrite the threshold value
(bnc#1012628).
- crypto: inside-secure - fix the extra cache computation
(bnc#1012628).
- crypto: inside-secure - fix the cache_len computation
(bnc#1012628).
- crypto: inside-secure - do not process request if no command
was issued (bnc#1012628).
- crypto: ccp - don't disable interrupts while setting up debugfs
(bnc#1012628).
- crypto: atmel-aes - fix the keys zeroing on errors
(bnc#1012628).
- crypto: inside-secure - wait for the request to complete if
in the backlog (bnc#1012628).
- crypto: inside-secure - move the digest to the request context
(bnc#1012628).
- staging: lustre: lmv: correctly iput lmo_root (bnc#1012628).
- staging: ks7010: Use constants from ieee80211_eid instead of
literal ints (bnc#1012628).
- staging: rtl8192u: return -ENOMEM on failed allocation of
priv->oldaddr (bnc#1012628).
- staging: fsl-dpaa2/eth: Fix incorrect casts (bnc#1012628).
- staging: lustre: fix bug in osc_enter_cache_try (bnc#1012628).
- staging: fsl-dpaa2/eth: Fix incorrect kfree (bnc#1012628).
- staging: bcm2835-audio: Release resources on module_exit()
(bnc#1012628).
- x86/kexec: Avoid double free_page() upon do_kexec_load()
failure (bnc#1012628).
- hfsplus: stop workqueue when fill_super() failed (bnc#1012628).
- cfg80211: limit wiphy names to 128 bytes (bnc#1012628).
- loop: fix LOOP_GET_STATUS lock imbalance (bnc#1012628).
- loop: don't call into filesystem while holding lo_ctl_mutex
(bnc#1012628).
- xhci: Show what USB release number the xHC supports from
protocol capablity (bnc#1012628).
- Bluetooth: btusb: Add support for Intel Bluetooth device 22560
[8087:0026] (bnc#1012628).
- Bluetooth: btusb: Add device ID for RTL8822BE (bnc#1012628).
- media: em28xx: USB bulk packet size fix (bnc#1012628).
- media: lgdt3306a: Fix module count mismatch on usb unplug
(bnc#1012628).
- usb: gadget: composite: fix incorrect handling of OS desc
requests (bnc#1012628).
- usb: gadget: udc: change comparison to bitshift when dealing
with a mask (bnc#1012628).
- usbip: Correct maximum value of CONFIG_USBIP_VHCI_HC_PORTS
(bnc#1012628).
- usb: gadget: ffs: Execute copy_to_user() with USER_DS set
(bnc#1012628).
- usb: gadget: ffs: Let setup() return USB_GADGET_DELAYED_STATUS
(bnc#1012628).
- usb: dwc2: host: Fix transaction errors in host mode
(bnc#1012628).
- usb: dwc2: hcd: Fix host channel halt flow (bnc#1012628).
- usb: dwc2: Fix interval type issue (bnc#1012628).
- xhci: zero usb device slot_id member when disabling and freeing
a xhci slot (bnc#1012628).
- usb: dwc3: Makefile: fix link error on randconfig (bnc#1012628).
- usb: dwc3: Update DWC_usb31 GTXFIFOSIZ reg fields (bnc#1012628).
- usb: dwc3: Add SoftReset PHY synchonization delay (bnc#1012628).
- ALSA: usb-audio: Add native DSD support for Luxman DA-06
(bnc#1012628).
- Bluetooth: btusb: Add USB ID 7392:a611 for Edimax EW-7611ULB
(bnc#1012628).
- scsi: zfcp: fix infinite iteration on ERP ready list
(bnc#1012628).
- scsi: sg: allocate with __GFP_ZERO in sg_build_indirect()
(bnc#1012628).
- s390: use expoline thunks in the BPF JIT (bnc#1012628).
- s390: extend expoline to BC instructions (bnc#1012628).
- s390: move spectre sysfs attribute code (bnc#1012628).
- s390/kernel: use expoline for indirect branches (bnc#1012628).
- s390/ftrace: use expoline for indirect branches (bnc#1012628).
- s390/lib: use expoline for indirect branches (bnc#1012628).
- s390/crc32-vx: use expoline for indirect branches (bnc#1012628).
- s390: move expoline assembler macros to a header (bnc#1012628).
- s390: correct module section names for expoline code revert
(bnc#1012628).
- s390: correct nospec auto detection init order (bnc#1012628).
- s390: add assembler macros for CPU alternatives (bnc#1012628).
- s390: add sysfs attributes for spectre (bnc#1012628).
- s390: report spectre mitigation via syslog (bnc#1012628).
- s390: add automatic detection of the spectre defense
(bnc#1012628).
- s390: move nobp parameter functions to nospec-branch.c
(bnc#1012628).
- powerpc/64s: Add support for a store forwarding barrier at
kernel entry/exit (bnc#1012628).
- powerpc: Move default security feature flags (bnc#1012628).
- powerpc/pseries: Fix clearing of security feature flags
(bnc#1012628).
- powerpc/64s: Wire up cpu_show_spectre_v2() (bnc#1012628).
- powerpc/64s: Wire up cpu_show_spectre_v1() (bnc#1012628).
- powerpc/pseries: Use the security flags in
pseries_setup_rfi_flush() (bnc#1012628).
- powerpc/powernv: Use the security flags in pnv_setup_rfi_flush()
(bnc#1012628).
- powerpc/64s: Enhance the information in cpu_show_meltdown()
(bnc#1012628).
- powerpc/64s: Move cpu_show_meltdown() (bnc#1012628).
- powerpc/powernv: Set or clear security feature flags
(bnc#1012628).
- powerpc/pseries: Set or clear security feature flags
(bnc#1012628).
- powerpc/pseries: Add new H_GET_CPU_CHARACTERISTICS flags
(bnc#1012628).
- powerpc: Add security feature flags for Spectre/Meltdown
(bnc#1012628).
- powerpc/rfi-flush: Always enable fallback flush on pseries
(bnc#1012628).
- ext2: fix a block leak (bnc#1012628).
- sparc: vio: use put_device() instead of kfree() (bnc#1012628).
- qed: Fix LL2 race during connection terminate (bnc#1012628).
- qed: Fix possibility of list corruption during rmmod flows
(bnc#1012628).
- qed: LL2 flush isles when connection is closed (bnc#1012628).
- net: ip6_gre: fix tunnel metadata device sharing (bnc#1012628).
- net: ip6_gre: Fix ip6erspan hlen calculation (bnc#1012628).
- net: ip6_gre: Split up ip6gre_changelink() (bnc#1012628).
- net: ip6_gre: Split up ip6gre_newlink() (bnc#1012628).
- net: ip6_gre: Split up ip6gre_tnl_change() (bnc#1012628).
- net: ip6_gre: Split up ip6gre_tnl_link_config() (bnc#1012628).
- net: ip6_gre: Fix headroom request in ip6erspan_tunnel_xmit()
(bnc#1012628).
- net: ip6_gre: Request headroom in __gre6_xmit() (bnc#1012628).
- vmxnet3: use DMA memory barriers where required (bnc#1012628).
- vmxnet3: set the DMA mask before the first DMA map operation
(bnc#1012628).
- cxgb4: fix offset in collecting TX rate limit info
(bnc#1012628).
- 3c59x: convert to generic DMA API (bnc#1012628).
- net: dsa: bcm_sf2: Fix IPv6 rule half deletion (bnc#1012628).
- net: dsa: bcm_sf2: Fix IPv6 rules and chain ID (bnc#1012628).
- net: dsa: Do not register devlink for unused ports
(bnc#1012628).
- net: dsa: bcm_sf2: Fix RX_CLS_LOC_ANY overwrite for last rule
(bnc#1012628).
- cxgb4: Correct ntuple mask validation for hash filters
(bnc#1012628).
- tuntap: fix use after free during release (bnc#1012628).
- tun: fix use after free for ptr_ring (bnc#1012628).
- tcp: purge write queue in tcp_connect_init() (bnc#1012628).
- sock_diag: fix use-after-free read in __sk_free (bnc#1012628).
- packet: in packet_snd start writing at link layer allocation
(bnc#1012628).
- net: test tailroom before appending to linear skb (bnc#1012628).
- net/smc: check for missing nlattrs in SMC_PNETID messages
(bnc#1012628).
- net: sched: red: avoid hashing NULL child (bnc#1012628).
- net/sched: fix refcnt leak in the error path of tcf_vlan_init()
(bnc#1012628).
- net/mlx4_core: Fix error handling in mlx4_init_port_info
(bnc#1012628).
- net: Fix a bug in removing queues from XPS map (bnc#1012628).
- net/mlx5: Fix build break when CONFIG_SMP=n (bnc#1012628).
- commit 9e125b1
-------------------------------------------------------------------
Thu May 24 17:24:46 CEST 2018 - tiwai@suse.de
- Bluetooth: Apply QCA Rome patches for some ATH3012 models
(bsc#1082504).
- commit e2f793c
-------------------------------------------------------------------
Wed May 23 18:14:54 CEST 2018 - msuchanek@suse.de
- mkspec: only build docs for default variant kernel.
- commit 045f5ac
-------------------------------------------------------------------
Tue May 22 21:50:37 CEST 2018 - jslaby@suse.cz
- Linux 4.16.11 (bnc#1012628).
- xhci: Fix USB3 NULL pointer dereference at logical disconnect
(bnc#1012628).
- usbip: usbip_host: refine probe and disconnect debug msgs to
be useful (bnc#1012628).
- usbip: usbip_host: delete device from busid_table after rebind
(bnc#1012628).
- usbip: usbip_host: run rebind from exit when module is removed
(bnc#1012628).
- usbip: usbip_host: fix NULL-ptr deref and use-after-free errors
(bnc#1012628).
- usbip: usbip_host: fix bad unlock balance during stub_probe()
(bnc#1012628).
- ALSA: usb: mixer: volume quirk for CM102-A+/102S+ (bnc#1012628).
- ALSA: hda/realtek - Clevo P950ER ALC1220 Fixup (bnc#1012628).
- ALSA: hda: Add Lenovo C50 All in one to the power_save blacklist
(bnc#1012628).
- ALSA: control: fix a redundant-copy issue (bnc#1012628).
- spi: pxa2xx: Allow 64-bit DMA (bnc#1012628).
- spi: bcm-qspi: Avoid setting MSPI_CDRAM_PCS for spi-nor master
(bnc#1012628).
- spi: bcm-qspi: Always read and set BSPI_MAST_N_BOOT_CTRL
(bnc#1012628).
- KVM: vmx: update sec exec controls for UMIP iff emulating UMIP
(bnc#1012628).
- KVM: arm/arm64: Properly protect VGIC locks from IRQs
(bnc#1012628).
- KVM: arm/arm64: VGIC/ITS: Promote irq_lock() in update_affinity
(bnc#1012628).
- KVM: arm/arm64: VGIC/ITS save/restore: protect kvm_read_guest()
calls (bnc#1012628).
- KVM: arm/arm64: VGIC/ITS: protect kvm_read_guest() calls with
SRCU lock (bnc#1012628).
- hwmon: (k10temp) Fix reading critical temperature register
(bnc#1012628).
- hwmon: (k10temp) Use API function to access System Management
Network (bnc#1012628).
- vfio: ccw: fix cleanup if cp_prefetch fails (bnc#1012628).
- tracing/x86/xen: Remove zero data size trace events
trace_xen_mmu_flush_tlb{_all} (bnc#1012628).
- vsprintf: Replace memory barrier with static_key for
random_ptr_key update (bnc#1012628).
- x86/amd_nb: Add support for Raven Ridge CPUs (bnc#1012628).
- tee: shm: fix use-after-free via temporarily dropped reference
(bnc#1012628).
- netfilter: nf_tables: free set name in error path (bnc#1012628).
- netfilter: nf_tables: can't fail after linking rule into active
rule list (bnc#1012628).
- netfilter: nf_tables: nf_tables_obj_lookup_byhandle() can be
static (bnc#1012628).
- ARM64: dts: marvell: armada-cp110: Add clocks for the xmdio node
(bnc#1012628).
- ARM64: dts: marvell: armada-cp110: Add mg_core_clk for ethernet
node (bnc#1012628).
- i2c: designware: fix poll-after-enable regression (bnc#1012628).
- mtd: rawnand: marvell: Fix read logic for layouts with ->nchunks
> 2 (bnc#1012628).
- powerpc/powernv: Fix NVRAM sleep in invalid context when
crashing (bnc#1012628).
- drm: Match sysfs name in link removal to link creation
(bnc#1012628).
- cpufreq: armada-37xx: driver relies on cpufreq-dt (bnc#1012628).
- lib/test_bitmap.c: fix bitmap optimisation tests to report
errors correctly (bnc#1012628).
- radix tree: fix multi-order iteration race (bnc#1012628).
- mm: don't allow deferred pages with NEED_PER_CPU_KM
(bnc#1012628).
- drm/i915/gen9: Add WaClearHIZ_WM_CHICKEN3 for bxt and glk
(bnc#1012628).
- s390/qdio: fix access to uninitialized qdio_q fields
(bnc#1012628).
- s390/cpum_sf: ensure sample frequency of perf event attributes
is non-zero (bnc#1012628).
- s390/qdio: don't release memory in qdio_setup_irq()
(bnc#1012628).
- s390: remove indirect branch from do_softirq_own_stack
(bnc#1012628).
- bcache: return 0 from bch_debug_init() if CONFIG_DEBUG_FS=n
(bnc#1012628).
- x86/pkeys: Override pkey when moving away from PROT_EXEC
(bnc#1012628).
- x86/pkeys: Do not special case protection key 0 (bnc#1012628).
- efi: Avoid potential crashes, fix the 'struct
efi_pci_io_protocol_32' definition for mixed mode (bnc#1012628).
- ARM: 8771/1: kprobes: Prohibit kprobes on do_undefinstr
(bnc#1012628).
- x86/apic/x2apic: Initialize cluster ID properly (bnc#1012628).
- x86/mm: Drop TS_COMPAT on 64-bit exec() syscall (bnc#1012628).
- tick/broadcast: Use for_each_cpu() specially on UP kernels
(bnc#1012628).
- ARM: 8769/1: kprobes: Fix to use get_kprobe_ctlblk after
irq-disabed (bnc#1012628).
- ARM: 8770/1: kprobes: Prohibit probing on optimized_callback
(bnc#1012628).
- ARM: 8772/1: kprobes: Prohibit kprobes on get_user functions
(bnc#1012628).
- Btrfs: fix xattr loss after power failure (bnc#1012628).
- Btrfs: send, fix invalid access to commit roots due to
concurrent snapshotting (bnc#1012628).
- btrfs: property: Set incompat flag if lzo/zstd compression is
set (bnc#1012628).
- btrfs: fix crash when trying to resume balance without the
resume flag (bnc#1012628).
- btrfs: Split btrfs_del_delalloc_inode into 2 functions
(bnc#1012628).
- btrfs: Fix delalloc inodes invalidation during transaction abort
(bnc#1012628).
- btrfs: fix reading stale metadata blocks after degraded raid1
mounts (bnc#1012628).
- x86/nospec: Simplify alternative_msr_write() (bnc#1012628).
- x86/bugs: Concentrate bug detection into a separate function
(bnc#1012628).
- x86/bugs: Concentrate bug reporting into a separate function
(bnc#1012628).
- x86/bugs: Read SPEC_CTRL MSR during boot and re-use reserved
bits (bnc#1012628).
- x86/bugs, KVM: Support the combination of guest and host IBRS
(bnc#1012628).
- x86/bugs: Expose /sys/../spec_store_bypass (bnc#1012628).
- x86/cpufeatures: Add X86_FEATURE_RDS (bnc#1012628).
- x86/bugs: Provide boot parameters for the
spec_store_bypass_disable mitigation (bnc#1012628).
- x86/bugs/intel: Set proper CPU features and setup RDS
(bnc#1012628).
- x86/bugs: Whitelist allowed SPEC_CTRL MSR values (bnc#1012628).
- x86/bugs/AMD: Add support to disable RDS on Fam[15,16,17]h if
requested (bnc#1012628).
- x86/KVM/VMX: Expose SPEC_CTRL Bit(2) to the guest (bnc#1012628).
- x86/speculation: Create spec-ctrl.h to avoid include hell
(bnc#1012628).
- prctl: Add speculation control prctls (bnc#1012628).
- x86/process: Allow runtime control of Speculative Store Bypass
(bnc#1012628).
- x86/speculation: Add prctl for Speculative Store Bypass
mitigation (bnc#1012628).
- nospec: Allow getting/setting on non-current task (bnc#1012628).
- proc: Provide details on speculation flaw mitigations
(bnc#1012628).
- seccomp: Enable speculation flaw mitigations (bnc#1012628).
- x86/bugs: Make boot modes __ro_after_init (bnc#1012628).
- prctl: Add force disable speculation (bnc#1012628).
- seccomp: Use PR_SPEC_FORCE_DISABLE (bnc#1012628).
- seccomp: Add filter flag to opt-out of SSB mitigation
(bnc#1012628).
- seccomp: Move speculation migitation control to arch code
(bnc#1012628).
- x86/speculation: Make "seccomp" the default mode for Speculative
Store Bypass (bnc#1012628).
- x86/bugs: Rename _RDS to _SSBD (bnc#1012628).
- proc: Use underscores for SSBD in 'status' (bnc#1012628).
- Documentation/spec_ctrl: Do some minor cleanups (bnc#1012628).
- x86/bugs: Fix __ssb_select_mitigation() return type
(bnc#1012628).
- x86/bugs: Make cpu_show_common() static (bnc#1012628).
- x86/bugs: Fix the parameters alignment and missing void
(bnc#1012628).
- x86/cpu: Make alternative_msr_write work for 32-bit code
(bnc#1012628).
- KVM: SVM: Move spec control call after restore of GS
(bnc#1012628).
- x86/speculation: Use synthetic bits for IBRS/IBPB/STIBP
(bnc#1012628).
- x86/cpufeatures: Disentangle MSR_SPEC_CTRL enumeration from IBRS
(bnc#1012628).
- x86/cpufeatures: Disentangle SSBD enumeration (bnc#1012628).
- x86/cpufeatures: Add FEATURE_ZEN (bnc#1012628).
- x86/speculation: Handle HT correctly on AMD (bnc#1012628).
- x86/bugs, KVM: Extend speculation control for VIRT_SPEC_CTRL
(bnc#1012628).
- x86/speculation: Add virtualized speculative store bypass
disable support (bnc#1012628).
- x86/speculation: Rework speculative_store_bypass_update()
(bnc#1012628).
- x86/bugs: Unify x86_spec_ctrl_{set_guest,restore_host}
(bnc#1012628).
- x86/bugs: Expose x86_spec_ctrl_base directly (bnc#1012628).
- x86/bugs: Remove x86_spec_ctrl_set() (bnc#1012628).
- x86/bugs: Rework spec_ctrl base and mask logic (bnc#1012628).
- x86/speculation, KVM: Implement support for
VIRT_SPEC_CTRL/LS_CFG (bnc#1012628).
- KVM: SVM: Implement VIRT_SPEC_CTRL support for SSBD
(bnc#1012628).
- x86/bugs: Rename SSBD_NO to SSB_NO (bnc#1012628).
- bpf: Prevent memory disambiguation attack (bnc#1012628).
- Refresh
patches.suse/0001-x86-speculation-Add-basic-IBRS-support-infrastructur.patch.
- Refresh
patches.suse/0002-x86-speculation-Add-inlines-to-control-Indirect-Bran.patch.
- commit f86282c
-------------------------------------------------------------------
Mon May 21 07:18:12 CEST 2018 - mkubecek@suse.cz
- Makefile: disable PIE before testing asm goto (bsc#1092456).
- commit 67bdb0d
-------------------------------------------------------------------
Mon May 21 06:59:03 CEST 2018 - mkubecek@suse.cz
- Update to 4.17-rc6
- commit 6912f6b
-------------------------------------------------------------------
Sun May 20 20:45:26 CEST 2018 - jslaby@suse.cz
- Linux 4.16.10 (bnc#1012628).
- proc: do not access cmdline nor environ from file-backed areas
(bnc#1012628).
- scsi: aacraid: Correct hba_send to include iu_type
(bnc#1012628).
- net/smc: keep clcsock reference in smc_tcp_listen_work()
(bnc#1012628).
- net: phy: sfp: fix the BR,min computation (bnc#1012628).
- net/mlx5: Fix mlx5_get_vector_affinity function (bnc#1012628).
- mlxsw: core: Fix an error handling path in
'mlxsw_core_bus_device_register()' (bnc#1012628).
- ipv4: reset fnhe_mtu_locked after cache route flushed
(bnc#1012628).
- hv_netvsc: Fix net device attach on older Windows hosts
(bnc#1012628).
- tipc: fix one byte leak in tipc_sk_set_orig_addr()
(bnc#1012628).
- tcp: restore autocorking (bnc#1012628).
- sctp: clear the new asoc's stream outcnt in sctp_stream_update
(bnc#1012628).
- nfp: flower: set tunnel ttl value to net default (bnc#1012628).
- net: systemport: Correclty disambiguate driver instances
(bnc#1012628).
- net/mlx5e: DCBNL fix min inline header size for dscp
(bnc#1012628).
- mlxsw: spectrum_switchdev: Do not remove mrouter port from
MDB's ports list (bnc#1012628).
- udp: fix SO_BINDTODEVICE (bnc#1012628).
- nsh: fix infinite loop (bnc#1012628).
- net/mlx5e: Allow offloading ipv4 header re-write for icmp
(bnc#1012628).
- ipv6: fix uninit-value in ip6_multipath_l3_keys() (bnc#1012628).
- hv_netvsc: set master device (bnc#1012628).
- net/mlx5: Avoid cleaning flow steering table twice during
error flow (bnc#1012628).
- net/mlx5e: TX, Use correct counter in dma_map error flow
(bnc#1012628).
- net: sched: fix error path in tcf_proto_create() when modules
are not configured (bnc#1012628).
- bonding: send learning packets for vlans on slave (bnc#1012628).
- bonding: do not allow rlb updates to invalid mac (bnc#1012628).
- tg3: Fix vunmap() BUG_ON() triggered from tg3_free_consistent()
(bnc#1012628).
- tcp: ignore Fast Open on repair mode (bnc#1012628).
- tcp_bbr: fix to zero idle_restart only upon S/ACKed data
(bnc#1012628).
- sctp: use the old asoc when making the cookie-ack chunk in
dupcook_d (bnc#1012628).
- sctp: remove sctp_chunk_put from fail_mark err path in
sctp_ulpevent_make_rcvmsg (bnc#1012628).
- sctp: handle two v4 addrs comparison in sctp_inet6_cmp_addr
(bnc#1012628).
- sctp: fix the issue that the cookie-ack with auth can't get
processed (bnc#1012628).
- sctp: delay the authentication for the duplicated cookie-echo
chunk (bnc#1012628).
- rds: do not leak kernel memory to user land (bnc#1012628).
- r8169: fix powering up RTL8168h (bnc#1012628).
- qmi_wwan: do not steal interfaces from class drivers
(bnc#1012628).
- openvswitch: Don't swap table in nlattr_set() after
OVS_ATTR_NESTED is found (bnc#1012628).
- net/tls: Fix connection stall on partial tls record
(bnc#1012628).
- net/tls: Don't recursively call push_record during
tls_write_space callbacks (bnc#1012628).
- net: support compat 64-bit time in {s,g}etsockopt (bnc#1012628).
- net/smc: restrict non-blocking connect finish (bnc#1012628).
- net_sched: fq: take care of throttled flows before reuse
(bnc#1012628).
- net sched actions: fix refcnt leak in skbmod (bnc#1012628).
- net/mlx5: E-Switch, Include VF RDMA stats in vport statistics
(bnc#1012628).
- net/mlx5e: Err if asked to offload TC match on frag being first
(bnc#1012628).
- net/mlx4_en: Verify coalescing parameters are in range
(bnc#1012628).
- net/mlx4_en: Fix an error handling path in
'mlx4_en_init_netdev()' (bnc#1012628).
- net: ethernet: ti: cpsw: fix packet leaking in dual_mac mode
(bnc#1012628).
- net: ethernet: sun: niu set correct packet size in skb
(bnc#1012628).
- llc: better deal with too small mtu (bnc#1012628).
- ipv4: fix memory leaks in udp_sendmsg, ping_v4_sendmsg
(bnc#1012628).
- ipv4: fix fnhe usage by non-cached routes (bnc#1012628).
- dccp: fix tasklet usage (bnc#1012628).
- bridge: check iface upper dev when setting master via ioctl
(bnc#1012628).
- 8139too: Use disable_irq_nosync() in rtl8139_poll_controller()
(bnc#1012628).
- commit 68e48d7
-------------------------------------------------------------------
Thu May 17 15:32:20 CEST 2018 - msuchanek@suse.de
- kernel-{binary,docs}.spec sort dependencies.
- commit d2ab971
-------------------------------------------------------------------
Thu May 17 15:23:27 CEST 2018 - mgalbraith@suse.de
- Fix config/x86_64/debug, turn PREEMPT_NONE off, and PREEMPT_DEBUG on.
- commit 3ec7274
-------------------------------------------------------------------
Wed May 16 12:28:23 CEST 2018 - jslaby@suse.cz
- Linux 4.16.9 (bnc#1012628).
- perf/x86: Fix possible Spectre-v1 indexing for
x86_pmu::event_map() (bnc#1012628).
- perf/core: Fix possible Spectre-v1 indexing for ->aux_pages[]
(bnc#1012628).
- perf/x86/msr: Fix possible Spectre-v1 indexing in the MSR driver
(bnc#1012628).
- perf/x86/cstate: Fix possible Spectre-v1 indexing for pkg_msr
(bnc#1012628).
- perf/x86: Fix possible Spectre-v1 indexing for hw_perf_event
cache_* (bnc#1012628).
- tracing/uprobe_event: Fix strncpy corner case (bnc#1012628).
- sched/autogroup: Fix possible Spectre-v1 indexing for
sched_prio_to_weight[] (bnc#1012628).
- sched/core: Fix possible Spectre-v1 indexing for
sched_prio_to_weight[] (bnc#1012628).
- swiotlb: silent unwanted warning "buffer is full" (bnc#1012628).
- smb3: directory sync should not return an error (bnc#1012628).
- nvme: Fix sync controller reset return (bnc#1012628).
- nvme: add quirk to force medium priority for SQ creation
(bnc#1012628).
- thermal: exynos: Propagate error value from tmu_read()
(bnc#1012628).
- thermal: exynos: Reading temperature makes sense only when
TMU is turned on (bnc#1012628).
- Bluetooth: btusb: Only check needs_reset_resume DMI table for
QCA rome chipsets (bnc#1012628).
- Bluetooth: btusb: Add Dell XPS 13 9360 to
btusb_needs_reset_resume_table (bnc#1012628).
- Revert "Bluetooth: btusb: Fix quirk for Atheros 1525/QCA6174"
(bnc#1012628).
- arm: dts: imx[35]*: declare flexcan devices to be compatible
to imx25's flexcan (bnc#1012628).
- cpufreq: schedutil: Avoid using invalid next_freq (bnc#1012628).
- PCI / PM: Check device_may_wakeup() in pci_enable_wake()
(bnc#1012628).
- PCI / PM: Always check PME wakeup capability for runtime wakeup
support (bnc#1012628).
- atm: zatm: Fix potential Spectre v1 (bnc#1012628).
- net: atm: Fix potential Spectre v1 (bnc#1012628).
- drm/atomic: Clean private obj old_state/new_state in
drm_atomic_state_default_clear() (bnc#1012628).
- drm/atomic: Clean old_state/new_state in
drm_atomic_state_default_clear() (bnc#1012628).
- drm/nouveau/ttm: don't dereference nvbo::cli, it can outlive
client (bnc#1012628).
- drm/nouveau: Fix deadlock in nv50_mstm_register_connector()
(bnc#1012628).
- drm/i915: Adjust eDP's logical vco in a reliable place
(bnc#1012628).
- drm/i915: Fix drm:intel_enable_lvds ERROR message in kernel log
(bnc#1012628).
- drm/ttm: Use GFP_TRANSHUGE_LIGHT for allocating huge pages
(bnc#1012628).
- drm/vc4: Fix scaling of uni-planar formats (bnc#1012628).
- mtd: rawnand: marvell: fix command xtype in BCH write hook
(bnc#1012628).
- mtd: rawnand: marvell: pass ms delay to wait_op (bnc#1012628).
- can: hi311x: Work around TX complete interrupt erratum
(bnc#1012628).
- can: hi311x: Acquire SPI lock on ->do_get_berr_counter
(bnc#1012628).
- can: kvaser_usb: Increase correct stats counter in
kvaser_usb_rx_can_msg() (bnc#1012628).
- can: flexcan: fix endianess detection (bnc#1012628).
- ceph: fix rsize/wsize capping in ceph_direct_read_write()
(bnc#1012628).
- mm, oom: fix concurrent munlock and oom reaper unmap, v3
(bnc#1012628).
- mm: sections are not offlined during memory hotremove
(bnc#1012628).
- z3fold: fix reclaim lock-ups (bnc#1012628).
- tracing: Fix regex_match_front() to not over compare the test
string (bnc#1012628).
- dm integrity: use kvfree for kvmalloc'd memory (bnc#1012628).
- libata: Apply NOLPM quirk for SanDisk SD7UB3Q*G1001 SSDs
(bnc#1012628).
- rfkill: gpio: fix memory leak in probe error path (bnc#1012628).
- gpio: fix error path in lineevent_create (bnc#1012628).
- gpio: fix aspeed_gpio unmask irq (bnc#1012628).
- gpioib: do not free unrequested descriptors (bnc#1012628).
- compat: fix 4-byte infoleak via uninitialized struct field
(bnc#1012628).
- bdi: Fix oops in wb_workfn() (bnc#1012628).
- bdi: Fix use after free bug in debugfs_remove() (bnc#1012628).
- bdi: wake up concurrent wb_shutdown() callers (bnc#1012628).
- tcp: fix TCP_REPAIR_QUEUE bound checking (bnc#1012628).
- i2c: dev: prevent ZERO_SIZE_PTR deref in i2cdev_ioctl_rdwr()
(bnc#1012628).
- perf: Remove superfluous allocation error check (bnc#1012628).
- memcg: fix per_node_info cleanup (bnc#1012628).
- bpf/tracing: fix a deadlock in perf_event_detach_bpf_prog
(bnc#1012628).
- inetpeer: fix uninit-value in inet_getpeer (bnc#1012628).
- soreuseport: initialise timewait reuseport field (bnc#1012628).
- ipv4: fix uninit-value in ip_route_output_key_hash_rcu()
(bnc#1012628).
- dccp: initialize ireq->ir_mark (bnc#1012628).
- net: fix uninit-value in __hw_addr_add_ex() (bnc#1012628).
- net: initialize skb->peeked when cloning (bnc#1012628).
- net: fix rtnh_ok() (bnc#1012628).
- netlink: fix uninit-value in netlink_sendmsg (bnc#1012628).
- crypto: af_alg - fix possible uninit-value in alg_bind()
(bnc#1012628).
- rds: tcp: must use spin_lock_irq* and not spin_lock_bh with
rds_tcp_conn_lock (bnc#1012628).
- kcm: Call strp_stop before strp_done in kcm_attach
(bnc#1012628).
- clk: ti: fix flag space conflict with clkctrl clocks
(bnc#1012628).
- netfilter: ebtables: don't attempt to allocate 0-sized compat
array (bnc#1012628).
- ipvs: fix rtnl_lock lockups caused by start_sync_thread
(bnc#1012628).
- commit 4f45b1e
-------------------------------------------------------------------
Wed May 16 12:09:13 CEST 2018 - msuchanek@suse.de
- macros.kernel-source: Fix building non-x86 KMPs
- commit 8631d05
-------------------------------------------------------------------
Tue May 15 17:50:59 CEST 2018 - jeffm@suse.com
- reiserfs: package in separate KMP (FATE#323394).
- commit d14f152
-------------------------------------------------------------------
Mon May 14 21:10:40 CEST 2018 - msuchanek@suse.de
- macros.kernel-source: ignore errors when using make to print kernel release
There is no way to handle the errors anyway and including the error into
package version does not give good results.
- commit 282e9a6
-------------------------------------------------------------------
Mon May 14 20:57:03 CEST 2018 - palcantara@suse.de
- cifs: smb2ops: Fix listxattr() when there are no EAs
(bsc#1091442).
- commit c6f0d32
-------------------------------------------------------------------
Mon May 14 07:51:55 CEST 2018 - mkubecek@suse.cz
- Update to 4.17-rc5
- commit 80e3a99
-------------------------------------------------------------------
Fri May 11 17:30:49 CEST 2018 - msuchanek@suse.de
- Revert "kernel-binary: do not package extract-cert when not signing modules"
This reverts commit 10a8bc496a553b8069d490a8ae7508bdb19f58d9.
- commit 1f7acca
-------------------------------------------------------------------
Wed May 9 11:59:30 CEST 2018 - jslaby@suse.cz
- Linux 4.16.8 (bnc#1012628).
- ACPI / button: make module loadable when booted in non-ACPI mode
(bnc#1012628).
- arm64: Add work around for Arm Cortex-A55 Erratum 1024718
(bnc#1012628).
- ALSA: hda - Fix incorrect usage of IS_REACHABLE() (bnc#1012628).
- ALSA: pcm: Check PCM state at xfern compat ioctl (bnc#1012628).
- ALSA: seq: Fix races at MIDI encoding in
snd_virmidi_output_trigger() (bnc#1012628).
- ALSA: dice: fix kernel NULL pointer dereference due to invalid
calculation for array index (bnc#1012628).
- ALSA: aloop: Mark paused device as inactive (bnc#1012628).
- ALSA: aloop: Add missing cable lock to ctl API callbacks
(bnc#1012628).
- errseq: Always report a writeback error once (bnc#1012628).
- tracepoint: Do not warn on ENOMEM (bnc#1012628).
- scsi: target: Fix fortify_panic kernel exception (bnc#1012628).
- Input: leds - fix out of bound access (bnc#1012628).
- Input: atmel_mxt_ts - add touchpad button mapping for Samsung
Chromebook Pro (bnc#1012628).
- swiotlb: fix inversed DMA_ATTR_NO_WARN test (bnc#1012628).
- rtlwifi: cleanup 8723be ant_sel definition (bnc#1012628).
- xfs: prevent creating negative-sized file via INSERT_RANGE
(bnc#1012628).
- tools: power/acpi, revert to LD = gcc (bnc#1012628).
- RDMA/cxgb4: release hw resources on device removal
(bnc#1012628).
- RDMA/ucma: Allow resolving address w/o specifying source address
(bnc#1012628).
- RDMA/mlx5: Fix multiple NULL-ptr deref errors in rereg_mr flow
(bnc#1012628).
- RDMA/mlx4: Add missed RSS hash inner header flag (bnc#1012628).
- RDMA/mlx5: Protect from shift operand overflow (bnc#1012628).
- NET: usb: qmi_wwan: add support for ublox R410M PID 0x90b2
(bnc#1012628).
- IB/mlx5: Use unlimited rate when static rate is not supported
(bnc#1012628).
- infiniband: mlx5: fix build errors when INFINIBAND_USER_ACCESS=m
(bnc#1012628).
- IB/hfi1: Fix handling of FECN marked multicast packet
(bnc#1012628).
- IB/hfi1: Fix loss of BECN with AHG (bnc#1012628).
- IB/hfi1: Fix NULL pointer dereference when invalid num_vls is
used (bnc#1012628).
- iw_cxgb4: Atomically flush per QP HW CQEs (bnc#1012628).
- btrfs: Take trans lock before access running trans in
check_delayed_ref (bnc#1012628).
- drm/vc4: Make sure vc4_bo_{inc,dec}_usecnt() calls are balanced
(bnc#1012628).
- drm/vmwgfx: Fix a buffer object leak (bnc#1012628).
- drm/bridge: vga-dac: Fix edid memory leak (bnc#1012628).
- test_firmware: fix setting old custom fw path back on exit,
second try (bnc#1012628).
- xhci: Fix use-after-free in xhci_free_virt_device (bnc#1012628).
- USB: serial: visor: handle potential invalid device
configuration (bnc#1012628).
- usb: dwc3: gadget: Fix list_del corruption in dwc3_ep_dequeue
(bnc#1012628).
- USB: Accept bulk endpoints with 1024-byte maxpacket
(bnc#1012628).
- USB: serial: option: reimplement interface masking
(bnc#1012628).
- USB: serial: option: adding support for ublox R410M
(bnc#1012628).
- usb: musb: host: fix potential NULL pointer dereference
(bnc#1012628).
- usb: musb: trace: fix NULL pointer dereference in musb_g_tx()
(bnc#1012628).
- platform/x86: asus-wireless: Fix NULL pointer dereference
(bnc#1012628).
- platform/x86: Kconfig: Fix dell-laptop dependency chain
(bnc#1012628).
- KVM: x86: remove APIC Timer periodic/oneshot spikes
(bnc#1012628).
- x86/tsc: Always unregister clocksource_tsc_early (bnc#1012628).
- x86/tsc: Fix mark_tsc_unstable() (bnc#1012628).
- irqchip/qcom: Fix check for spurious interrupts (bnc#1012628).
- clocksource: Allow clocksource_mark_unstable() on unregistered
clocksources (bnc#1012628).
- clocksource: Initialize cs->wd_list (bnc#1012628).
- clocksource: Consistent de-rate when marking unstable
(bnc#1012628).
- tracing: Fix bad use of igrab in trace_uprobe.c (bnc#1012628).
- Delete patches.suse/tools-power-acpi-revert-to-LD-gcc.patch.
- Update config files.
- commit 9269cc1
-------------------------------------------------------------------
Mon May 7 07:52:36 CEST 2018 - mkubecek@suse.cz
- Update to 4.17-rc4
- Eliminated 2 patches
- Update to 4.17-rc3
- Eliminated 2 patches
- commit a993a00
-------------------------------------------------------------------
Wed May 2 21:21:16 CEST 2018 - jslaby@suse.cz
- Linux 4.16.7 (bnc#1012628).
- ext4: prevent right-shifting extents beyond EXT_MAX_BLOCKS
(bnc#1012628).
- ext4: set h_journal if there is a failure starting a reserved
handle (bnc#1012628).
- ext4: add MODULE_SOFTDEP to ensure crc32c is included in the
initramfs (bnc#1012628).
- ext4: add validity checks for bitmap block numbers
(bnc#1012628).
- ext4: fix bitmap position validation (bnc#1012628).
- random: set up the NUMA crng instances after the CRNG is fully
initialized (bnc#1012628).
- random: fix possible sleeping allocation from irq context
(bnc#1012628).
- random: rate limit unseeded randomness warnings (bnc#1012628).
- usbip: usbip_event: fix to not print kernel pointer address
(bnc#1012628).
- usbip: usbip_host: fix to hold parent lock for device_attach()
calls (bnc#1012628).
- usbip: vhci_hcd: Fix usb device and sockfd leaks (bnc#1012628).
- usbip: vhci_hcd: check rhport before using in vhci_hub_control()
(bnc#1012628).
- Revert "xhci: plat: Register shutdown for xhci_plat"
(bnc#1012628).
- xhci: Fix Kernel oops in xhci dbgtty (bnc#1012628).
- xhci: Fix USB ports for Dell Inspiron 5775 (bnc#1012628).
- USB: serial: simple: add libtransistor console (bnc#1012628).
- USB: serial: ftdi_sio: use jtag quirk for Arrow USB Blaster
(bnc#1012628).
- USB: serial: cp210x: add ID for NI USB serial console
(bnc#1012628).
- serial: mvebu-uart: Fix local flags handling on termios update
(bnc#1012628).
- usb: typec: ucsi: Increase command completion timeout value
(bnc#1012628).
- usb: core: Add quirk for HP v222w 16GB Mini (bnc#1012628).
- USB: Increment wakeup count on remote wakeup (bnc#1012628).
- virtio: add ability to iterate over vqs (bnc#1012628).
- virtio_console: don't tie bufs to a vq (bnc#1012628).
- virtio_console: free buffers after reset (bnc#1012628).
- virtio_console: drop custom control queue cleanup (bnc#1012628).
- virtio_console: move removal code (bnc#1012628).
- virtio_console: reset on out of memory (bnc#1012628).
- drm/virtio: fix vq wait_event condition (bnc#1012628).
- tty: Don't call panic() at tty_ldisc_init() (bnc#1012628).
- tty: n_gsm: Fix long delays with control frame timeouts in
ADM mode (bnc#1012628).
- tty: n_gsm: Fix DLCI handling for ADM mode if debug & 2 is
not set (bnc#1012628).
- tty: Avoid possible error pointer dereference at
tty_ldisc_restore() (bnc#1012628).
- tty: Use __GFP_NOFAIL for tty_ldisc_get() (bnc#1012628).
- cifs: smbd: Avoid allocating iov on the stack (bnc#1012628).
- cifs: smbd: Don't use RDMA read/write when signing is used
(bnc#1012628).
- ALSA: dice: fix OUI for TC group (bnc#1012628).
- ALSA: dice: fix error path to destroy initialized stream data
(bnc#1012628).
- ALSA: hda - Skip jack and others for non-existing PCM streams
(bnc#1012628).
- ALSA: opl3: Hardening for potential Spectre v1 (bnc#1012628).
- ALSA: asihpi: Hardening for potential Spectre v1 (bnc#1012628).
- ALSA: hdspm: Hardening for potential Spectre v1 (bnc#1012628).
- ALSA: rme9652: Hardening for potential Spectre v1 (bnc#1012628).
- ALSA: control: Hardening for potential Spectre v1 (bnc#1012628).
- ALSA: pcm: Return negative delays from SNDRV_PCM_IOCTL_DELAY
(bnc#1012628).
- ALSA: core: Report audio_tstamp in snd_pcm_sync_ptr
(bnc#1012628).
- ALSA: seq: oss: Fix unbalanced use lock for synth MIDI device
(bnc#1012628).
- ALSA: seq: oss: Hardening for potential Spectre v1
(bnc#1012628).
- ALSA: hda: Hardening for potential Spectre v1 (bnc#1012628).
- ALSA: hda/realtek - Add some fixes for ALC233 (bnc#1012628).
- ALSA: hda/realtek - Update ALC255 depop optimize (bnc#1012628).
- ALSA: hda/realtek - change the location for one of two front
mics (bnc#1012628).
- mtd: spi-nor: cadence-quadspi: Fix page fault kernel panic
(bnc#1012628).
- mtd: cfi: cmdset_0001: Do not allow read/write to suspend
erase block (bnc#1012628).
- mtd: cfi: cmdset_0001: Workaround Micron Erase suspend bug
(bnc#1012628).
- mtd: cfi: cmdset_0002: Do not allow read/write to suspend
erase block (bnc#1012628).
- mtd: rawnand: tango: Fix struct clk memory leak (bnc#1012628).
- mtd: rawnand: marvell: fix the chip-select DT parsing logic
(bnc#1012628).
- kobject: don't use WARN for registration failures (bnc#1012628).
- scsi: sd_zbc: Avoid that resetting a zone fails sporadically
(bnc#1012628).
- scsi: sd: Defer spinning up drive while SANITIZE is in progress
(bnc#1012628).
- blk-mq: start request gstate with gen 1 (bnc#1012628).
- bfq-iosched: ensure to clear bic/bfqq pointers when preparing
request (bnc#1012628).
- block: do not use interruptible wait anywhere (bnc#1012628).
- vfio: ccw: process ssch with interrupts disabled (bnc#1012628).
- SMB311: Fix reconnect (bnc#1012628).
- ANDROID: binder: prevent transactions into own process
(bnc#1012628).
- PCI: aardvark: Fix logic in advk_pcie_{rd,wr}_conf()
(bnc#1012628).
- PCI: aardvark: Set PIO_ADDR_LS correctly in advk_pcie_rd_conf()
(bnc#1012628).
- PCI: aardvark: Use ISR1 instead of ISR0 interrupt in legacy
irq mode (bnc#1012628).
- PCI: aardvark: Fix PCIe Max Read Request Size setting
(bnc#1012628).
- ARM: amba: Make driver_override output consistent with other
buses (bnc#1012628).
- ARM: amba: Fix race condition with driver_override
(bnc#1012628).
- ARM: amba: Don't read past the end of sysfs "driver_override"
buffer (bnc#1012628).
- ARM: dts: Fix NAS4220B pin config (bnc#1012628).
- ARM: socfpga_defconfig: Remove QSPI Sector 4K size force
(bnc#1012628).
- KVM: arm/arm64: Close VMID generation race (bnc#1012628).
- slimbus: Fix out-of-bounds access in slim_slicesize()
(bnc#1012628).
- powerpc/mm: Flush cache on memory hot(un)plug (bnc#1012628).
- powerpc/mce: Fix a bug where mce loops on memory UE
(bnc#1012628).
- powerpc/powernv/npu: Do a PID GPU TLB flush when invalidating
a large address range (bnc#1012628).
- crypto: drbg - set freed buffers to NULL (bnc#1012628).
- ASoC: dmic: Fix clock parenting (bnc#1012628).
- ASoC: fsl_esai: Fix divisor calculation failure at lower ratio
(bnc#1012628).
- libceph: un-backoff on tick when we have a authenticated session
(bnc#1012628).
- libceph: reschedule a tick in finish_hunting() (bnc#1012628).
- libceph: validate con->state at the top of try_write()
(bnc#1012628).
- PCI / PM: Do not clear state_saved in pci_pm_freeze() when
smart suspend is set (bnc#1012628).
- virt: vbox: Move declarations of vboxguest private functions
to private header (bnc#1012628).
- virt: vbox: Add vbg_req_free() helper function (bnc#1012628).
- virt: vbox: Use __get_free_pages instead of kmalloc for DMA32
memory (bnc#1012628).
- fpga-manager: altera-ps-spi: preserve nCONFIG state
(bnc#1012628).
- module: Fix display of wrong module .text address (bnc#1012628).
- earlycon: Use a pointer table to fix __earlycon_table stride
(bnc#1012628).
- cpufreq: powernv: Fix hardlockup due to synchronous smp_call
in timer interrupt (bnc#1012628).
- rtc: opal: Fix OPAL RTC driver OPAL_BUSY loops (bnc#1012628).
- drm/edid: Reset more of the display info (bnc#1012628).
- drm/amdgpu: set COMPUTE_PGM_RSRC1 for SGPR/VGPR clearing shaders
(bnc#1012628).
- drm/i915/fbdev: Enable late fbdev initial configuration
(bnc#1012628).
- drm/i915/audio: set minimum CD clock to twice the BCLK
(bnc#1012628).
- drm/i915: Enable display WA#1183 from its correct spot
(bnc#1012628).
- drm/amd/display: Fix deadlock when flushing irq (bnc#1012628).
- drm/amd/display: Don't read EDID in atomic_check (bnc#1012628).
- drm/amd/display: Disallow enabling CRTC without primary plane
with FB (bnc#1012628).
- x86/ipc: Fix x32 version of shmid64_ds and msqid64_ds
(bnc#1012628).
- x86/smpboot: Don't use mwait_play_dead() on AMD systems
(bnc#1012628).
- x86/microcode/intel: Save microcode patch unconditionally
(bnc#1012628).
- x86/microcode: Do not exit early from __reload_late()
(bnc#1012628).
- tick/sched: Do not mess with an enqueued hrtimer (bnc#1012628).
- crypto: ccp - add check to get PSP master only when PSP is
detected (bnc#1012628).
- arm/arm64: KVM: Add PSCI version selection API (bnc#1012628).
- sparc64: Fix mistake in oradax license text (bnc#1012628).
- commit 938738d
-------------------------------------------------------------------
Wed May 2 15:13:49 CEST 2018 - msuchanek@suse.de
- kernel-binary: also default klp_symbols to 0 here.
- commit e35f14a
-------------------------------------------------------------------
Wed May 2 14:55:25 CEST 2018 - msuchanek@suse.de
- klp_symbols: make --klp-symbols argument semantic sane
It selects build of klp symbols and defaults to off
- commit 0e53042
-------------------------------------------------------------------
Wed May 2 09:13:10 CEST 2018 - jslaby@suse.cz
- tools: power/acpi, revert to LD = gcc (build fix).
- Delete
patches.suse/revert-tools-fix-cross-compile-var-clobbering.patch.
Replace by the upstream commit.
- commit ad991e8
-------------------------------------------------------------------
Mon Apr 30 22:33:51 CEST 2018 - jslaby@suse.cz
- Linux 4.16.6 (bnc#1012628).
- Revert "pinctrl: intel: Initialize GPIO properly when used
through irqchip" (bnc#1012628).
- drm: bridge: dw-hdmi: Fix overflow workaround for Amlogic
Meson GX SoCs (bnc#1012628).
- i40e: Fix attach VF to VM issue (bnc#1012628).
- tpm: cmd_ready command can be issued only after granting
locality (bnc#1012628).
- tpm: tpm-interface: fix tpm_transmit/_cmd kdoc (bnc#1012628).
- tpm: add retry logic (bnc#1012628).
- bonding: do not set slave_dev npinfo before slave_enable_netpoll
in bond_enslave (bnc#1012628).
- docs: ip-sysctl.txt: fix name of some ipv6 variables
(bnc#1012628).
- ipv6: add RTA_TABLE and RTA_PREFSRC to rtm_ipv6_policy
(bnc#1012628).
- ipv6: sr: fix NULL pointer dereference in seg6_do_srh_encap()-
v4 pkts (bnc#1012628).
- KEYS: DNS: limit the length of option strings (bnc#1012628).
- l2tp: check sockaddr length in pppol2tp_connect() (bnc#1012628).
- llc: delete timers synchronously in llc_sk_free() (bnc#1012628).
- net: af_packet: fix race in PACKET_{R|T}X_RING (bnc#1012628).
- net: fix deadlock while clearing neighbor proxy table
(bnc#1012628).
- net: mvpp2: Fix DMA address mask size (bnc#1012628).
- net: qmi_wwan: add Wistron Neweb D19Q1 (bnc#1012628).
- net/smc: fix shutdown in state SMC_LISTEN (bnc#1012628).
- net: stmmac: Disable ACS Feature for GMAC >= 4 (bnc#1012628).
- packet: fix bitfield update race (bnc#1012628).
- pppoe: check sockaddr length in pppoe_connect() (bnc#1012628).
- Revert "macsec: missing dev_put() on error in macsec_newlink()"
(bnc#1012628).
- sctp: do not check port in sctp_inet6_cmp_addr (bnc#1012628).
- strparser: Do not call mod_delayed_work with a timeout of
LONG_MAX (bnc#1012628).
- strparser: Fix incorrect strp->need_bytes value (bnc#1012628).
- tcp: clear tp->packets_out when purging write queue
(bnc#1012628).
- tcp: don't read out-of-bounds opsize (bnc#1012628).
- tcp: md5: reject TCP_MD5SIG or TCP_MD5SIG_EXT on established
sockets (bnc#1012628).
- team: avoid adding twice the same option to the event list
(bnc#1012628).
- team: fix netconsole setup over team (bnc#1012628).
- tipc: add policy for TIPC_NLA_NET_ADDR (bnc#1012628).
- vlan: Fix reading memory beyond skb->tail in
skb_vlan_tagged_multi (bnc#1012628).
- vmxnet3: fix incorrect dereference when rxvlan is disabled
(bnc#1012628).
- amd-xgbe: Add pre/post auto-negotiation phy hooks (bnc#1012628).
- amd-xgbe: Improve KR auto-negotiation and training
(bnc#1012628).
- amd-xgbe: Only use the SFP supported transceiver signals
(bnc#1012628).
- net: sched: ife: signal not finding metaid (bnc#1012628).
- net: sched: ife: handle malformed tlv length (bnc#1012628).
- net: sched: ife: check on metadata length (bnc#1012628).
- l2tp: hold reference on tunnels in netlink dumps (bnc#1012628).
- l2tp: hold reference on tunnels printed in pppol2tp proc file
(bnc#1012628).
- l2tp: hold reference on tunnels printed in l2tp/tunnels debugfs
file (bnc#1012628).
- l2tp: fix {pppol2tp, l2tp_dfs}_seq_stop() in case of seq_file
overflow (bnc#1012628).
- llc: hold llc_sap before release_sock() (bnc#1012628).
- llc: fix NULL pointer deref for SOCK_ZAPPED (bnc#1012628).
- s390/qeth: fix error handling in adapter command callbacks
(bnc#1012628).
- s390/qeth: avoid control IO completion stalls (bnc#1012628).
- s390/qeth: handle failure on workqueue creation (bnc#1012628).
- net: ethernet: ti: cpsw: fix tx vlan priority mapping
(bnc#1012628).
- net: validate attribute sizes in neigh_dump_table()
(bnc#1012628).
- bnxt_en: Fix memory fault in bnxt_ethtool_init() (bnc#1012628).
- virtio-net: add missing virtqueue kick when flushing packets
(bnc#1012628).
- VSOCK: make af_vsock.ko removable again (bnc#1012628).
- net: aquantia: Regression on reset with 1.x firmware
(bnc#1012628).
- tun: fix vlan packet truncation (bnc#1012628).
- net: aquantia: oops when shutdown on already stopped device
(bnc#1012628).
- virtio_net: split out ctrl buffer (bnc#1012628).
- virtio_net: fix adding vids on big-endian (bnc#1012628).
- Revert "mm/hmm: fix header file if/else/endif maze"
(bnc#1012628).
- commoncap: Handle memory allocation failure (bnc#1012628).
- scsi: mptsas: Disable WRITE SAME (bnc#1012628).
- cdrom: information leak in cdrom_ioctl_media_changed()
(bnc#1012628).
- fsnotify: Fix fsnotify_mark_connector race (bnc#1012628).
- m68k/mac: Don't remap SWIM MMIO region (bnc#1012628).
- block/swim: Check drive type (bnc#1012628).
- block/swim: Don't log an error message for an invalid ioctl
(bnc#1012628).
- block/swim: Remove extra put_disk() call from error path
(bnc#1012628).
- block/swim: Rename macros to avoid inconsistent inverted logic
(bnc#1012628).
- block/swim: Select appropriate drive on device open
(bnc#1012628).
- block/swim: Fix array bounds check (bnc#1012628).
- block/swim: Fix IO error at end of medium (bnc#1012628).
- tracing: Fix missing tab for hwlat_detector print format
(bnc#1012628).
- hwmon: (k10temp) Add temperature offset for Ryzen 2700X
(bnc#1012628).
- hwmon: (k10temp) Add support for AMD Ryzen w/ Vega graphics
(bnc#1012628).
- s390/cio: update chpid descriptor after resource accessibility
event (bnc#1012628).
- s390/dasd: fix IO error for newly defined devices (bnc#1012628).
- s390/uprobes: implement arch_uretprobe_is_alive() (bnc#1012628).
- s390/cpum_cf: rename IBM z13/z14 counter names (bnc#1012628).
- kprobes: Fix random address output of blacklist file
(bnc#1012628).
- ACPI / video: Only default only_lcd to true on Win8-ready
_desktops_ (bnc#1012628).
- commit 566acbc
-------------------------------------------------------------------
Mon Apr 30 06:59:21 CEST 2018 - mkubecek@suse.cz
- Update to 4.17-rc3
- Eliminated 1 patch
- Config changes:
- x86_64 and i386
- SND_SST_ATOM_HIFI2_PLATFORM_ACPI=m
- armv7hl
- CONFIG_NFT_REDIR_IPV4=m (sync with other configs)
- CONFIG_NFT_REDIR_IPV6=m (sync with other configs)
- commit 088acbb
-------------------------------------------------------------------
Sun Apr 29 17:06:22 CEST 2018 - afaerber@suse.de
- config: armv7hl: Update to 4.17-rc2
- commit e76ffdc
-------------------------------------------------------------------
Sun Apr 29 16:39:34 CEST 2018 - afaerber@suse.de
- config: armv6hl: Update to 4.17-rc2
- commit 7ac1254
-------------------------------------------------------------------
Sun Apr 29 16:31:51 CEST 2018 - afaerber@suse.de
- config: arm64: Update to 4.17-rc2
- commit 8535e20
-------------------------------------------------------------------
Fri Apr 27 08:43:38 CEST 2018 - msuchanek@suse.de
- kernel-binary: only install modules.fips on modular kernels.
- commit 2cb2bec
-------------------------------------------------------------------
Thu Apr 26 18:54:45 CEST 2018 - jslaby@suse.cz
- Linux 4.16.5 (bnc#1012628).
- cifs: smbd: Check for iov length on sending the last iov
(bnc#1012628).
- cifs: do not allow creating sockets except with SMB1 posix
exensions (bnc#1012628).
- btrfs: fix unaligned access in readdir (bnc#1012628).
- btrfs: Fix race condition between delayed refs and blockgroup
removal (bnc#1012628).
- x86/acpi: Prevent X2APIC id 0xffffffff from being accounted
(bnc#1012628).
- clocksource/imx-tpm: Correct -ETIME return condition check
(bnc#1012628).
- posix-cpu-timers: Ensure set_process_cpu_timer is always
evaluated (bnc#1012628).
- x86/tsc: Prevent 32bit truncation in calc_hpet_ref()
(bnc#1012628).
- drm/vc4: Fix memory leak during BO teardown (bnc#1012628).
- drm/i915/gvt: throw error on unhandled vfio ioctls
(bnc#1012628).
- drm/i915/gvt: Add drm_format_mod update (bnc#1012628).
- drm/i915/bios: filter out invalid DDC pins from VBT child
devices (bnc#1012628).
- drm/i915/audio: Fix audio detection issue on GLK (bnc#1012628).
- drm/i915: Do no use kfree() to free a kmem_cache_alloc()
return value (bnc#1012628).
- drm/i915: Fix LSPCON TMDS output buffer enabling from low-power
state (bnc#1012628).
- alarmtimer: Init nanosleep alarm timer on stack (bnc#1012628).
- mm,vmscan: Allow preallocating memory for register_shrinker()
(bnc#1012628).
- netfilter: x_tables: cap allocations at 512 mbyte (bnc#1012628).
- netfilter: x_tables: add counters allocation wrapper
(bnc#1012628).
- netfilter: compat: prepare xt_compat_init_offsets to return
errors (bnc#1012628).
- netfilter: compat: reject huge allocation requests
(bnc#1012628).
- netfilter: x_tables: limit allocation requests for blob rule
heads (bnc#1012628).
- perf: Fix sample_max_stack maximum check (bnc#1012628).
- perf: Return proper values for user stack errors (bnc#1012628).
- RDMA/mlx5: Fix NULL dereference while accessing XRC_TGT QPs
(bnc#1012628).
- Revert "KVM: X86: Fix SMRAM accessing even if VM is shutdown"
(bnc#1012628).
- mac80211_hwsim: fix use-after-free bug in hwsim_exit_net
(bnc#1012628).
- Refresh
patches.suse/btrfs-fs-super.c-add-new-super-block-devices-super_block_d.patch.
- commit 16c5ff9
-------------------------------------------------------------------
Wed Apr 25 15:25:17 CEST 2018 - tiwai@suse.de
- Revert "ath10k: send (re)assoc peer command when NSS changed"
(bsc#1090458).
- commit 3f3a85c
-------------------------------------------------------------------
Tue Apr 24 19:54:23 CEST 2018 - msuchanek@suse.de
- split-modules: use MAKE_ARGS
- commit d8fe174
-------------------------------------------------------------------
Tue Apr 24 17:45:15 CEST 2018 - mcgrof@suse.com
- xfs: set format back to extents if xfs_bmap_extents_to_btree (bsc#1090717, CVE-2018-10323).
- xfs: set format back to extents if xfs_bmap_extents_to_btree
(bsc#1090717, CVE-2018-10323).
- commit ddcd6f2
-------------------------------------------------------------------
Tue Apr 24 16:27:11 CEST 2018 - msuchanek@suse.de
- kernel-binary: pass MAKE_ARGS to install script as well.
- commit ce62ae7
-------------------------------------------------------------------
Tue Apr 24 14:23:23 CEST 2018 - mkubecek@suse.cz
- Update config files.
- commit becf16d
-------------------------------------------------------------------
Tue Apr 24 13:58:15 CEST 2018 - msuchanek@suse.de
- kernel-binary: pass ARCH= to kernel build
Recent kernel does not save CONFIG_64BIT so it has to be specified by
arch.
- commit fb21b73
-------------------------------------------------------------------
Tue Apr 24 13:57:55 CEST 2018 - tiwai@suse.de
- ALSA: usb-audio: Skip broken EU on Dell dock USB-audio
(bsc#1090658).
- commit 5639170
-------------------------------------------------------------------
Tue Apr 24 10:50:13 CEST 2018 - mkubecek@suse.cz
- config: fix i386 configs
Since mainline commit f467c5640c29 ("kconfig: only write '# CONFIG_FOO is
not set' for visible symbols"), make silentoldconfig (or make syncconfig as
it is called now) doesn't add CONFIG_64BIT line into i386 configs if called
with ARCH=i386.
During the build, it's called without ARCH=i386 so that it requests this
option to be entered manually and the build fails. Long term solution would
probably be passing ARCH=%{cpu_arch} to all make commands; for now, just
add CONFIG_64BIT line to i386/pae to fix the build as is.
- commit d9a33ad
-------------------------------------------------------------------
Tue Apr 24 10:49:53 CEST 2018 - jslaby@suse.cz
- Linux 4.16.4 (bnc#1012628).
- Bluetooth: hci_bcm: Add irq_polarity module option
(bnc#1012628).
- cpufreq: CPPC: Use transition_delay_us depending
transition_latency (bnc#1012628).
- cpufreq: armada-37xx: Fix clock leak (bnc#1012628).
- ubifs: Check ubifs_wbuf_sync() return code (bnc#1012628).
- ubi: fastmap: Don't flush fastmap work on detach (bnc#1012628).
- ubi: Fix error for write access (bnc#1012628).
- ubi: Reject MLC NAND (bnc#1012628).
- mm/ksm.c: fix inconsistent accounting of zero pages
(bnc#1012628).
- mm/hmm: fix header file if/else/endif maze (bnc#1012628).
- mm/hmm: hmm_pfns_bad() was accessing wrong struct (bnc#1012628).
- mm: hwpoison: disable memory error handling on 1GB hugepage
(bnc#1012628).
- task_struct: only use anon struct under randstruct plugin
(bnc#1012628).
- fs/reiserfs/journal.c: add missing resierfs_warning() arg
(bnc#1012628).
- ipc/shm: fix use-after-free of shm file via remap_file_pages()
(bnc#1012628).
- mm, slab: reschedule cache_reap() on the same CPU (bnc#1012628).
- usb: musb: gadget: misplaced out of bounds check (bnc#1012628).
- phy: allwinner: sun4i-usb: poll vbus changes on A23/A33 when
driving VBUS (bnc#1012628).
- usb: gadget: udc: core: update usb_ep_queue() documentation
(bnc#1012628).
- ARM64: dts: meson: reduce odroid-c2 eMMC maximum rate
(bnc#1012628).
- KVM: arm/arm64: vgic-its: Fix potential overrun in
vgic_copy_lpi_list (bnc#1012628).
- ARM: EXYNOS: Fix coupled CPU idle freeze on Exynos4210
(bnc#1012628).
- arm: dts: mt7623: fix USB initialization fails on bananapi-r2
(bnc#1012628).
- ARM: dts: at91: at91sam9g25: fix mux-mask pinctrl property
(bnc#1012628).
- ARM: dts: exynos: Fix IOMMU support for GScaler devices on
Exynos5250 (bnc#1012628).
- ARM: dts: at91: sama5d4: fix pinctrl compatible string
(bnc#1012628).
- spi: atmel: init FIFOs before spi enable (bnc#1012628).
- spi: Fix scatterlist elements size in spi_map_buf (bnc#1012628).
- spi: Fix unregistration of controller with fixed SPI bus number
(bnc#1012628).
- media: rc: oops in ir_timer_keyup after device unplug
(bnc#1012628).
- media: atomisp_fops.c: disable atomisp_compat_ioctl32
(bnc#1012628).
- media: vivid: check if the cec_adapter is valid (bnc#1012628).
- media: vb2: core: Finish buffers at the end of the stream
(bnc#1012628).
- media: vsp1: Fix BRx conditional path in WPF (bnc#1012628).
- x86/xen: Delay get_cpu_cap until stack canary is established
(bnc#1012628).
- regmap: Fix reversed bounds check in regmap_raw_write()
(bnc#1012628).
- ACPI / video: Add quirk to force acpi-video backlight on
Samsung 670Z5E (bnc#1012628).
- ACPI / hotplug / PCI: Check presence of slot itself in
get_slot_status() (bnc#1012628).
- acpi, nfit: rework NVDIMM leaf method detection (bnc#1012628).
- USB: gadget: f_midi: fixing a possible double-free in f_midi
(bnc#1012628).
- USB:fix USB3 devices behind USB3 hubs not resuming at hibernate
thaw (bnc#1012628).
- usb: dwc3: prevent setting PRTCAP to OTG from debugfs
(bnc#1012628).
- usb: dwc3: pci: Properly cleanup resource (bnc#1012628).
- usb: dwc3: gadget: never call ->complete() from ->ep_queue()
(bnc#1012628).
- cifs: fix memory leak in SMB2_open() (bnc#1012628).
- fix smb3-encryption breakage when CONFIG_DEBUG_SG=y
(bnc#1012628).
- Tree connect for SMB3.1.1 must be signed for non-encrypted
shares (bnc#1012628).
- cifs: smbd: avoid reconnect lockup (bnc#1012628).
- cifs: smbd: disconnect transport on RDMA errors (bnc#1012628).
- smb3: Fix root directory when server returns inode number of
zero (bnc#1012628).
- HID: i2c-hid: fix size check and type usage (bnc#1012628).
- i2c: i801: Save register SMBSLVCMD value only once
(bnc#1012628).
- i2c: i801: Restore configuration at shutdown (bnc#1012628).
- CIFS: refactor crypto shash/sdesc allocation&free (bnc#1012628).
- CIFS: add sha512 secmech (bnc#1012628).
- CIFS: implement v3.11 preauth integrity (bnc#1012628).
- CIFS: fix sha512 check in cifs_crypto_secmech_release
(bnc#1012628).
- powerpc/64s: Fix pkey support in dt_cpu_ftrs, add CPU_FTR_PKEY
bit (bnc#1012628).
- powerpc/powernv: Handle unknown OPAL errors in
opal_nvram_write() (bnc#1012628).
- powerpc/eeh: Fix race with driver un/bind (bnc#1012628).
- powerpc/64s: Fix dt_cpu_ftrs to have restore_cpu clear unwanted
LPCR bits (bnc#1012628).
- powerpc/64: Call H_REGISTER_PROC_TBL when running as a HPT
guest on POWER9 (bnc#1012628).
- powerpc/64: Fix smp_wmb barrier definition use use lwsync
consistently (bnc#1012628).
- powerpc/kprobes: Fix call trace due to incorrect preempt count
(bnc#1012628).
- powerpc/kexec_file: Fix error code when trying to load kdump
kernel (bnc#1012628).
- powerpc/powernv: define a standard delay for OPAL_BUSY type
retry loops (bnc#1012628).
- powerpc/powernv: Fix OPAL NVRAM driver OPAL_BUSY loops
(bnc#1012628).
- powerpc/mm/radix: Fix checkstops caused by invalid tlbiel
(bnc#1012628).
- ceph: always update atime/mtime/ctime for new inode
(bnc#1012628).
- HID: Fix hid_report_len usage (bnc#1012628).
- HID: core: Fix size as type u32 (bnc#1012628).
- soc: mediatek: fix the mistaken pointer accessed when subdomains
are added (bnc#1012628).
- ASoC: ssm2602: Replace reg_default_raw with reg_default
(bnc#1012628).
- ASoC: topology: Fix kcontrol name string handling (bnc#1012628).
- thunderbolt: Wait a bit longer for ICM to authenticate the
active NVM (bnc#1012628).
- thunderbolt: Serialize PCIe tunnel creation with PCI rescan
(bnc#1012628).
- thunderbolt: Resume control channel after hibernation image
is created (bnc#1012628).
- thunderbolt: Handle connecting device in place of host properly
(bnc#1012628).
- thunderbolt: Prevent crash when ICM firmware is not running
(bnc#1012628).
- irqchip/gic: Take lock when updating irq type (bnc#1012628).
- random: use a tighter cap in credit_entropy_bits_safe()
(bnc#1012628).
- extcon: intel-cht-wc: Set direction and drv flags for V5 boost
GPIO (bnc#1012628).
- block: use 32-bit blk_status_t on Alpha (bnc#1012628).
- jbd2: if the journal is aborted then don't allow update of
the log tail (bnc#1012628).
- ext4: shutdown should not prevent get_write_access
(bnc#1012628).
- ext4: eliminate sleep from shutdown ioctl (bnc#1012628).
- ext4: pass -ESHUTDOWN code to jbd2 layer (bnc#1012628).
- ext4: don't update checksum of new initialized bitmaps
(bnc#1012628).
- ext4: protect i_disksize update by i_data_sem in direct write
path (bnc#1012628).
- ext4: fix offset overflow on 32-bit archs in ext4_iomap_begin()
(bnc#1012628 bsc#1079747).
- ext4: limit xattr size to INT_MAX (bnc#1012628).
- ext4: fail ext4_iget for root directory if unallocated
(bnc#1012628).
- ext4: always initialize the crc32c checksum driver
(bnc#1012628).
- ext4: don't allow r/w mounts if metadata blocks overlap the
superblock (bnc#1012628).
- ext4: move call to ext4_error() into ext4_xattr_check_block()
(bnc#1012628).
- ext4: add bounds checking to ext4_xattr_find_entry()
(bnc#1012628).
- ext4: add extra checks to ext4_xattr_block_get() (bnc#1012628).
- ext4: force revalidation of directory pointer after seekdir(2)
(bnc#1012628).
- dm: backfill abnormal IO support to non-splitting IO submission
(bnc#1012628).
- dm crypt: limit the number of allocated pages (bnc#1012628).
- RDMA/ucma: Don't allow setting RDMA_OPTION_IB_PATH without an
RDMA device (bnc#1012628).
- RDMA/mlx5: Protect from NULL pointer derefence (bnc#1012628).
- RDMA/rxe: Fix an out-of-bounds read (bnc#1012628).
- RDMA/core: Avoid that ib_drain_qp() triggers an out-of-bounds
stack access (bnc#1012628).
- xprtrdma: Fix latency regression on NUMA NFS/RDMA clients
(bnc#1012628).
- xprtrdma: Fix corner cases when handling device removal
(bnc#1012628).
- ALSA: pcm: Avoid potential races between OSS ioctls and
read/write (bnc#1012628).
- ALSA: pcm: Return -EBUSY for OSS ioctls changing busy streams
(bnc#1012628).
- ALSA: pcm: Fix mutex unbalance in OSS emulation ioctls
(bnc#1012628).
- ALSA: pcm: Fix UAF at PCM release via PCM timer access
(bnc#1012628).
- ALSA: pcm: Fix endless loop for XRUN recovery in OSS emulation
(bnc#1012628).
- IB/srp: Fix srp_abort() (bnc#1012628).
- IB/srp: Fix completion vector assignment algorithm
(bnc#1012628).
- IB/srpt: Fix an out-of-bounds stack access in
srpt_zerolength_write() (bnc#1012628).
- drivers/infiniband/core/verbs.c: fix build with gcc-4.4.4
(bnc#1012628).
- drivers/infiniband/ulp/srpt/ib_srpt.c: fix build with gcc-4.4.4
(bnc#1012628).
- dm raid: fix nosync status (bnc#1012628).
- dmaengine: at_xdmac: fix rare residue corruption (bnc#1012628).
- cxl: Fix possible deadlock when processing page faults from
cxllib (bnc#1012628).
- tpm: self test failure should not cause suspend to fail
(bnc#1012628).
- libnvdimm, dimm: fix dpa reservation vs uninitialized label area
(bnc#1012628).
- libnvdimm, namespace: use a safe lookup for dimm device name
(bnc#1012628).
- vsprintf: Do not preprocess non-dereferenced pointers for
bprintf (%px and %pK) (bnc#1012628).
- nfit, address-range-scrub: fix scrub in-progress reporting
(bnc#1012628).
- nfit: skip region registration for incomplete control regions
(bnc#1012628).
- ring-buffer: Check if memory is available before allocation
(bnc#1012628).
- um: Compile with modern headers (bnc#1012628).
- um: Use POSIX ucontext_t instead of struct ucontext
(bnc#1012628).
- iommu/vt-d: Fix a potential memory leak (bnc#1012628).
- mmc: core: Prevent bus reference leak in mmc_blk_init()
(bnc#1012628).
- mmc: jz4740: Fix race condition in IRQ mask update
(bnc#1012628).
- mmc: tmio: Fix error handling when issuing CMD23 (bnc#1012628).
- Revert "drm/amd/display: fix dereferencing possible ERR_PTR()"
(bnc#1012628).
- drm/amd/display: HDMI has no sound after Panel power off/on
(bnc#1012628).
- trace_uprobe: Use %lx to display offset (bnc#1012628).
- PCI: Mark Broadcom HT1100 and HT2000 Root Port Extended Tags
as broken (bnc#1012628).
- clk: mvebu: armada-38x: add support for missing clocks
(bnc#1012628).
- clk: fix false-positive Wmaybe-uninitialized warning
(bnc#1012628).
- clk: mediatek: fix PWM clock source by adding a fixed-factor
clock (bnc#1012628).
- clk: bcm2835: De-assert/assert PLL reset signal when appropriate
(bnc#1012628).
- clk: tegra: Mark HCLK, SCLK and EMC as critical (bnc#1012628).
- pwm: rcar: Fix a condition to prevent mismatch value setting
to duty (bnc#1012628).
- pwm: mediatek: Fix up PWM4 and PWM5 malfunction on MT7623
(bnc#1012628).
- pwm: mediatek: Improve precision in rate calculation
(bnc#1012628).
- thermal: imx: Fix race condition in imx_thermal_probe()
(bnc#1012628).
- dt-bindings: clock: mediatek: add binding for fixed-factor
clock axisel_d4 (bnc#1012628).
- watchdog: f71808e_wdt: Fix WD_EN register read (bnc#1012628).
- drm/amdgpu: Add an ATPX quirk for hybrid laptop (bnc#1012628).
- drm/amdgpu: Fix always_valid bos multiple LRU insertions
(bnc#1012628).
- drm/amdgpu/sdma: fix mask in emit_pipeline_sync (bnc#1012628).
- drm/amdgpu: Fix PCIe lane width calculation (bnc#1012628).
- drm/amdgpu/si: implement get/set pcie_lanes asic callback
(bnc#1012628).
- drm/rockchip: Clear all interrupts before requesting the IRQ
(bnc#1012628).
- drm/radeon: add PX quirk for Asus K73TK (bnc#1012628).
- drm/radeon: Fix PCIe lane width calculation (bnc#1012628).
- ALSA: line6: Use correct endpoint type for midi output
(bnc#1012628).
- ALSA: rawmidi: Fix missing input substream checks in compat
ioctls (bnc#1012628).
- ALSA: hda - New VIA controller suppor no-snoop path
(bnc#1012628).
- ALSA: hda/realtek - set PINCFG_HEADSET_MIC to parse_flags
(bnc#1012628).
- ALSA: hda/realtek - adjust the location of one mic
(bnc#1012628).
- random: fix crng_ready() test (bnc#1012628).
- random: use a different mixing algorithm for
add_device_randomness() (bnc#1012628).
- random: crng_reseed() should lock the crng instance that it
is modifying (bnc#1012628).
- random: add new ioctl RNDRESEEDCRNG (bnc#1012628).
- HID: i2c-hid: Fix resume issue on Raydium touchscreen device
(bnc#1012628).
- HID: input: fix battery level reporting on BT mice
(bnc#1012628).
- HID: hidraw: Fix crash on HIDIOCGFEATURE with a destroyed device
(bnc#1012628).
- HID: wacom: bluetooth: send exit report for recent Bluetooth
devices (bnc#1012628).
- s390: add support for IBM z14 Model ZR1 (bnc#1012628).
- MIPS: uaccess: Add micromips clobbers to bzero invocation
(bnc#1012628).
- MIPS: memset.S: EVA & fault support for small_memset
(bnc#1012628).
- MIPS: memset.S: Fix return of __clear_user from Lpartial_fixup
(bnc#1012628).
- MIPS: memset.S: Fix clobber of v1 in last_fixup (bnc#1012628).
- powerpc/eeh: Fix enabling bridge MMIO windows (bnc#1012628).
- powerpc/xive: Fix trying to "push" an already active pool VP
(bnc#1012628).
- powerpc/lib: Fix off-by-one in alternate feature patching
(bnc#1012628).
- udf: Fix leak of UTF-16 surrogates into encoded strings
(bnc#1012628).
- fanotify: fix logic of events on child (bnc#1012628).
- mmc: sdhci-pci: Only do AMD tuning for HS200 (bnc#1012628).
- drm/i915: Fix hibernation with ACPI S0 target state
(bnc#1012628).
- drm/i915: Correctly handle limited range YCbCr data on VLV/CHV
(bnc#1012628).
- jffs2_kill_sb(): deal with failed allocations (bnc#1012628).
- hypfs_kill_super(): deal with failed allocations (bnc#1012628).
- orangefs_kill_sb(): deal with allocation failures (bnc#1012628).
- rpc_pipefs: fix double-dput() (bnc#1012628).
- Don't leak MNT_INTERNAL away from internal mounts (bnc#1012628).
- libnvdimm, dimm: handle EACCES failures from label reads
(bnc#1012628).
- device-dax: allow MAP_SYNC to succeed (bnc#1012628).
- autofs: mount point create should honour passed in mode
(bnc#1012628).
- mm/filemap.c: fix NULL pointer in page_cache_tree_insert()
(bnc#1012628).
- drm/i915/gvt: init mmio by lri command in vgpu inhibit context
(bnc#1012628).
- HID: i2c-hid: fix inverted return value from i2c_hid_command()
(bnc#1012628).
- writeback: safer lock nesting (bnc#1012628).
- Delete
patches.suse/ext4-llseek-do-not-crop-offset-on-32bit.patch.
- commit 2ebc2ec
-------------------------------------------------------------------
Tue Apr 24 08:34:08 CEST 2018 - mkubecek@suse.cz
- Documentation: typec.rst: Use literal-block element with
ascii art.
- commit 1aebada
-------------------------------------------------------------------
Mon Apr 23 21:19:28 CEST 2018 - jeffm@suse.com
- README.BRANCH: Added Michal Kubecek as co-maintainer.
- commit 53ecad7
-------------------------------------------------------------------
Mon Apr 23 12:35:25 CEST 2018 - mkubecek@suse.cz
- Update to 4.17-rc2
- Eliminated 2 patches
- Config changes:
- s390x:
- KEXEC_FILE=y
- commit 8aad964
-------------------------------------------------------------------
Fri Apr 20 08:00:04 CEST 2018 - mkubecek@suse.cz
- Update to 4.17-rc1.
- Eliminated 55 patches (51 stable 4.16.x)
- ARM configs need updating.
- Config changes:
- x86:
- ACPI_TAD=m
- s390:
- EXPOLINE_FULL=y
- VT=y
- CONSOLE_TRANSLATIONS=y
- VT_CONSOLE=y
- VT_HW_CONSOLE_BINDING=n
- DUMMY_CONSOLE_COLUMNS=80
- DUMMY_CONSOLE_ROWS=25
- SPEAKUP=n
- Network:
- NF_TABLES_INET=y
- NF_TABLES_NETDEV=y
- NF_TABLES_ARP=y
- NF_TABLES_BRIDGE=y
- NET_EMATCH_IPT=m
- ICE=m
- RSI_COEX=y
- IEEE802154_MCR20A=m
- INFINIBAND_EXP_LEGACY_VERBS_NEW_UAPI=n
- Filesystems:
- OVERLAY_FS_XINO_AUTO=n
- PSTORE_DEFLATE_COMPRESS=m
- PSTORE_LZ4HC_COMPRESS=m
- PSTORE_842_COMPRESS=n
- PSTORE_DEFLATE_COMPRESS_DEFAULT=deflate
- Crypto:
- CRYPTO_CFB=m
- CRYPTO_SM4=m
- CRYPTO_SPECK=m
- CRYPTO_DEV_CHELSIO_TLS=m
- Input:
- JOYSTICK_PXRC=m
- HID_ELAN=m
- HID_GOOGLE_HAMMER=m
- Sound:
- SND_SOC_INTEL_CHT_BSW_NAU8824_MACH=m
- SND_SOC_INTEL_KBL_DA7219_MAX98357A_MACH=m
- SND_SOC_AK4458=n
- SND_SOC_AK5558=n
- SND_SOC_BD28623=n
- SND_SOC_MAX9867=n
- SND_SOC_PCM1789_I2C=n
- SND_SOC_TDA7419=m
- SND_SOC_MAX9759=n
- USB:
- TYPEC_MUX_PI3USB30532=m
- USB_ROLES_INTEL_XHCI=m
- GPIO:
- GPIO_104_DIO_48E=m
- GPIO_104_IDIO_16=m
- GPIO_104_IDI_48=m
- GPIO_GPIO_MM=m
- GPIO_WINBOND=m
- GPIO_WS16C48=m
- Media:
- CEC_PIN_ERROR_INJ=n
- CXD2880_SPI_DRV=m
- MTK_MMC=n
- IIO:
- 104_QUAD_8=m
- CIO_DAC=n
- AD5272=m
- MCP4018=m
- MLX90632=m
- Other:
- THERMAL_STATISTICS=y
- EBC_C384_WDT=m
- LEDS_MLXREG=m
- COMMON_CLK_SI544=n
- STX104=n
- LV0104CS=n
- Remote controlers:
- IR_IMON_DECODER=m
- IR_IMON_RAW=m
- INTEL_TH_ACPI=m
- Debugging:
- DEBUG_RWSEMS=n
- commit 4e61ecf
-------------------------------------------------------------------
Fri Apr 20 07:55:45 CEST 2018 - mkubecek@suse.cz
- config: enable TCP_MD5SIG (bsc#1090162)
- commit 1cfc938
-------------------------------------------------------------------
Fri Apr 20 07:30:52 CEST 2018 - mkubecek@suse.cz
- x86/power/64: Fix page-table setup for temporary text mapping
(https://patchwork.kernel.org/patch/10342491/).
- x86/ldt: Fix support_pte_mask filtering in map_ldt_struct()
(https://patchwork.kernel.org/patch/10342491/).
- commit 3c56473
-------------------------------------------------------------------
Fri Apr 20 00:42:19 CEST 2018 - msuchanek@suse.de
- HID: redragon: Fix modifier keys for Redragon Asura Keyboard
(https://build.opensuse.org/request/show/597583).
Update config files.
- commit e9bd8ea
-------------------------------------------------------------------
Thu Apr 19 22:52:31 CEST 2018 - jslaby@suse.cz
- Linux 4.16.3 (bnc#1012628).
- cdc_ether: flag the Cinterion AHS8 modem by gemalto as WWAN
(bnc#1012628).
- rds: MP-RDS may use an invalid c_path (bnc#1012628).
- slip: Check if rstate is initialized before uncompressing
(bnc#1012628).
- vhost: fix vhost_vq_access_ok() log check (bnc#1012628).
- l2tp: fix races in tunnel creation (bnc#1012628).
- l2tp: fix race in duplicate tunnel detection (bnc#1012628).
- ip_gre: clear feature flags when incompatible o_flags are set
(bnc#1012628).
- vhost: Fix vhost_copy_to_user() (bnc#1012628).
- lan78xx: Correctly indicate invalid OTP (bnc#1012628).
- sparc64: Properly range check DAX completion index
(bnc#1012628).
- media: v4l2-compat-ioctl32: don't oops on overlay (bnc#1012628).
- media: v4l: vsp1: Fix header display list status check in
continuous mode (bnc#1012628).
- ipmi: Fix some error cleanup issues (bnc#1012628).
- parisc: Fix out of array access in match_pci_device()
(bnc#1012628).
- parisc: Fix HPMC handler by increasing size to multiple of 16
bytes (bnc#1012628).
- iwlwifi: add a bunch of new 9000 PCI IDs (bnc#1012628).
- Drivers: hv: vmbus: do not mark HV_PCIE as perf_device
(bnc#1012628).
- PCI: hv: Serialize the present and eject work items
(bnc#1012628).
- PCI: hv: Fix 2 hang issues in hv_compose_msi_msg()
(bnc#1012628).
- KVM: PPC: Book3S HV: trace_tlbie must not be called in realmode
(bnc#1012628).
- perf intel-pt: Fix overlap detection to identify consecutive
buffers correctly (bnc#1012628).
- perf intel-pt: Fix sync_switch (bnc#1012628).
- perf intel-pt: Fix error recovery from missing TIP packet
(bnc#1012628).
- perf intel-pt: Fix timestamp following overflow (bnc#1012628).
- perf/core: Fix use-after-free in uprobe_perf_close()
(bnc#1012628).
- radeon: hide pointless #warning when compile testing
(bnc#1012628).
- x86/mce/AMD: Pass the bank number to smca_get_bank_type()
(bnc#1012628).
- x86/mce/AMD, EDAC/mce_amd: Enumerate Reserved SMCA bank type
(bnc#1012628).
- x86/mce/AMD: Get address from already initialized block
(bnc#1012628).
- ath9k: Protect queue draining by rcu_read_lock() (bnc#1012628).
- x86/uapi: Fix asm/bootparam.h userspace compilation errors
(bnc#1012628).
- x86/apic: Fix signedness bug in APIC ID validity checks
(bnc#1012628).
- sunrpc: remove incorrect HMAC request initialization
(bnc#1012628).
- f2fs: fix heap mode to reset it back (bnc#1012628).
- block: Change a rcu_read_{lock,unlock}_sched() pair into
rcu_read_{lock,unlock}() (bnc#1012628).
- nvme: Skip checking heads without namespaces (bnc#1012628).
- lib: fix stall in __bitmap_parselist() (bnc#1012628).
- zboot: fix stack protector in compressed boot phase
(bnc#1012628).
- blk-mq: Directly schedule q->timeout_work when aborting a
request (bnc#1012628).
- blk-mq: order getting budget and driver tag (bnc#1012628).
- blk-mq: make sure that correct hctx->next_cpu is set
(bnc#1012628).
- blk-mq: don't keep offline CPUs mapped to hctx 0 (bnc#1012628).
- ovl: Set d->last properly during lookup (bnc#1012628).
- ovl: fix lookup with middle layer opaque dir and absolute path
redirects (bnc#1012628).
- ovl: set i_ino to the value of st_ino for NFS export
(bnc#1012628).
- ovl: set lower layer st_dev only if setting lower st_ino
(bnc#1012628).
- xen: xenbus_dev_frontend: Fix XS_TRANSACTION_END handling
(bnc#1012628).
- hugetlbfs: fix bug in pgoff overflow checking (bnc#1012628).
- nfsd: fix incorrect umasks (bnc#1012628).
- scsi: scsi_dh: Don't look for NULL devices handlers by name
(bnc#1012628).
- scsi: qla2xxx: Fix small memory leak in qla2x00_probe_one on
probe failure (bnc#1012628).
- Revert "scsi: core: return BLK_STS_OK for DID_OK in
__scsi_error_from_host_byte()" (bnc#1012628).
- apparmor: fix logging of the existence test for signals
(bnc#1012628).
- apparmor: fix display of .ns_name for containers (bnc#1012628).
- apparmor: fix resource audit messages when auditing peer
(bnc#1012628).
- block/loop: fix deadlock after loop_set_status (bnc#1012628).
- nfit: fix region registration vs block-data-window ranges
(bnc#1012628).
- s390/qdio: don't retry EQBS after CCQ 96 (bnc#1012628).
- s390/qdio: don't merge ERROR output buffers (bnc#1012628).
- s390/ipl: ensure loadparm valid flag is set (bnc#1012628).
- s390/compat: fix setup_frame32 (bnc#1012628).
- get_user_pages_fast(): return -EFAULT on access_ok failure
(bnc#1012628).
- mm/gup_benchmark: handle gup failures (bnc#1012628).
- getname_kernel() needs to make sure that ->name != ->iname in
long case (bnc#1012628).
- Bluetooth: Fix connection if directed advertising and privacy
is used (bnc#1012628).
- Bluetooth: hci_bcm: Treat Interrupt ACPI resources as always
being active-low (bnc#1012628).
- rtl8187: Fix NULL pointer dereference in priv->conf_mutex
(bnc#1012628).
- Refresh patches.suse/0001-AppArmor-basic-networking-rules.patch.
- commit 771261a
-------------------------------------------------------------------
Thu Apr 19 17:47:45 CEST 2018 - tiwai@suse.de
- resource: fix integer overflow at reallocation (bsc#1086739).
- commit 4cf2593
-------------------------------------------------------------------
Thu Apr 19 17:26:11 CEST 2018 - tiwai@suse.de
- Update tags of upstreamed patches
Refresh
patches.suse/Revert-drm-amd-display-disable-CRTCs-with-NULL-FB.patch
patches.suse/media-v4l2-core-fix-size-of-devnode_nums-bitarray.patch
patches.suse/swiotlb-Fix-unexpected-swiotlb_alloc_coherent-failur.patch
- commit e2aa76d
-------------------------------------------------------------------
Thu Apr 19 15:42:41 CEST 2018 - msuchanek@suse.de
- objtool, perf: Fix GCC 8 -Wrestrict error (bsc#1084620).
- commit 0c6114f
-------------------------------------------------------------------
Wed Apr 18 14:16:28 CEST 2018 - msuchanek@suse.de
- supported.conf: update from openSUSE-15.0
- commit 4ef3f17
-------------------------------------------------------------------
Mon Apr 16 13:45:34 CEST 2018 - tiwai@suse.de
- Revert "drm/amd/display: disable CRTCs with NULL FB on their
primary plane (V2)" (bsc#1089615, bsc#1088902).
- commit e881e16
-------------------------------------------------------------------
Mon Apr 16 11:22:14 CEST 2018 - mbrugger@suse.com
- arm64: Update config files. (bsc#1089764)
Increase NR_CPUS to 384
- commit 6f06d9e
-------------------------------------------------------------------
Thu Apr 12 14:50:41 CEST 2018 - jslaby@suse.cz
- Linux 4.16.2 (bnc#1012628).
- nfp: use full 40 bits of the NSP buffer address (bnc#1012628).
- net_sched: fix a missing idr_remove() in u32_delete_key()
(bnc#1012628).
- vti6: better validate user provided tunnel names (bnc#1012628).
- ip6_tunnel: better validate user provided tunnel names
(bnc#1012628).
- ip6_gre: better validate user provided tunnel names
(bnc#1012628).
- ipv6: sit: better validate user provided tunnel names
(bnc#1012628).
- ip_tunnel: better validate user provided tunnel names
(bnc#1012628).
- net: fool proof dev_valid_name() (bnc#1012628).
- vlan: also check phy_driver ts_info for vlan's real device
(bnc#1012628).
- sky2: Increase D3 delay to sky2 stops working after suspend
(bnc#1012628).
- sctp: sctp_sockaddr_af must check minimal addr length for
AF_INET6 (bnc#1012628).
- sctp: do not leak kernel memory to user space (bnc#1012628).
- pptp: remove a buggy dst release in pptp_connect()
(bnc#1012628).
- net/sched: fix NULL dereference in the error path of
tcf_bpf_init() (bnc#1012628).
- net/ipv6: Increment OUTxxx counters after netfilter hook
(bnc#1012628).
- net: dsa: Discard frames from unused ports (bnc#1012628).
- arp: fix arp_filter on l3slave devices (bnc#1012628).
- sparc64: Oracle DAX driver depends on SPARC64 (bnc#1012628).
- commit 8ea896b
-------------------------------------------------------------------
Wed Apr 11 11:59:12 CEST 2018 - jslaby@suse.cz
- Update config files.
s390x/vanilla fails to build without this.
- commit e8d83e8
-------------------------------------------------------------------
Tue Apr 10 20:01:19 CEST 2018 - tiwai@suse.de
- swiotlb: Fix unexpected swiotlb_alloc_coherent() failures
(bsc#1088658, bsc#1088902).
- commit 096b538
-------------------------------------------------------------------
Tue Apr 10 17:03:50 CEST 2018 - msuchanek@suse.de
- rpm/package-descriptions: fix typo in kernel-azure
- Add azure kernel description.
- commit af0f13e
-------------------------------------------------------------------
Mon Apr 9 12:28:40 CEST 2018 - tiwai@suse.de
- media: v4l2-core: fix size of devnode_nums[] bitarray
(bsc#1088640).
- commit 6fcb3b5
-------------------------------------------------------------------
Mon Apr 9 08:15:40 CEST 2018 - jslaby@suse.cz
- Linux 4.16.1 (bnc#1012628).
- signal: Correct the offset of si_pkey and si_lower in struct
siginfo on m68k (bnc#1012628).
- Fix slab name "biovec-(1<<(21-12))" (bnc#1012628).
- vt: change SGR 21 to follow the standards (bnc#1012628).
- Input: i8042 - enable MUX on Sony VAIO VGN-CS series to fix
touchpad (bnc#1012628).
- Input: i8042 - add Lenovo ThinkPad L460 to i8042 reset list
(bnc#1012628).
- Input: ALPS - fix TrackStick detection on Thinkpad L570 and
Latitude 7370 (bnc#1012628).
- Revert "base: arch_topology: fix section mismatch build
warnings" (bnc#1012628).
- staging: comedi: ni_mio_common: ack ai fifo error interrupts
(bnc#1012628).
- siox: fix possible buffer overflow in device_add_store
(bnc#1012628).
- Btrfs: fix unexpected cow in run_delalloc_nocow (bnc#1012628).
- Bluetooth: hci_bcm: Add 6 new ACPI HIDs (bnc#1012628).
- crypto: x86/cast5-avx - fix ECB encryption when long sg follows
short one (bnc#1012628).
- crypto: arm,arm64 - Fix random regeneration of S_shipped
(bnc#1012628).
- crypto: ccp - return an actual key size from RSA max_size
callback (bnc#1012628).
- crypto: caam - Fix null dereference at error path (bnc#1012628).
- crypto: ahash - Fix early termination in hash walk
(bnc#1012628).
- crypto: talitos - fix IPsec cipher in length (bnc#1012628).
- crypto: testmgr - Fix incorrect values in PKCS#1 test vector
(bnc#1012628).
- crypto: inside-secure - fix clock management (bnc#1012628).
- crypto: talitos - don't persistently map req_ctx->hw_context
and req_ctx->buf (bnc#1012628).
- crypto: ccp - Fill the result buffer only on digest, finup,
and final ops (bnc#1012628).
- crypto: lrw - Free rctx->ext with kzfree (bnc#1012628).
- parport_pc: Add support for WCH CH382L PCI-E single parallel
port card (bnc#1012628).
- media: usbtv: prevent double free in error case (bnc#1012628).
- /dev/mem: Avoid overwriting "err" in read_mem() (bnc#1012628).
- mei: remove dev_err message on an unsupported ioctl
(bnc#1012628).
- serial: 8250: Add Nuvoton NPCM UART (bnc#1012628).
- USB: serial: cp210x: add ELDAT Easywave RX09 id (bnc#1012628).
- USB: serial: ftdi_sio: add support for Harman
FirmwareHubEmulator (bnc#1012628).
- USB: serial: ftdi_sio: add RT Systems VX-8 cable (bnc#1012628).
- bitmap: fix memset optimization on big-endian systems
(bnc#1012628).
- commit 4bf9d1e
-------------------------------------------------------------------
Fri Apr 6 10:06:22 CEST 2018 - agraf@suse.de
- armv6hl: Disable uacces with memcpy (boo#1080435)
- commit 2592f12
-------------------------------------------------------------------
Thu Apr 5 00:15:45 CEST 2018 - rgoldwyn@suse.com
- apparmor: Check all profiles attached to the label (bsc#1085996).
- commit b249c9e
-------------------------------------------------------------------
Wed Apr 4 15:34:30 CEST 2018 - jslaby@suse.cz
- Revert "tools: fix cross-compile var clobbering" (build fix).
- commit 590ff92
-------------------------------------------------------------------
Mon Apr 2 16:19:10 CEST 2018 - jeffm@suse.com
- Update to 4.16-final.
- commit 1b10c5b
-------------------------------------------------------------------
Sat Mar 31 21:42:52 CEST 2018 - jslaby@suse.cz
- Linux 4.15.15 (bnc#1012628).
- team: Fix double free in error path (bnc#1012628).
- skbuff: Fix not waking applications when errors are enqueued
(bnc#1012628).
- qede: Fix qedr link update (bnc#1012628).
- net: systemport: Rewrite __bcm_sysport_tx_reclaim()
(bnc#1012628).
- net: Only honor ifindex in IP_PKTINFO if non-0 (bnc#1012628).
- netlink: avoid a double skb free in genlmsg_mcast()
(bnc#1012628).
- net/iucv: Free memory obtained by kzalloc (bnc#1012628).
- net: fec: Fix unbalanced PM runtime calls (bnc#1012628).
- net: ethernet: ti: cpsw: add check for in-band mode setting
with RGMII PHY interface (bnc#1012628).
- commit 4904fc3
-------------------------------------------------------------------
Sat Mar 31 21:40:22 CEST 2018 - jslaby@suse.cz
- net: ethernet: arc: Fix a potential memory leak if an optional
regulator is deferred (bnc#1012628).
- l2tp: do not accept arbitrary sockets (bnc#1012628).
- ipv6: fix access to non-linear packet in
ndisc_fill_redirect_hdr_option() (bnc#1012628).
- dccp: check sk for closed state in dccp_sendmsg() (bnc#1012628).
- dpaa_eth: remove duplicate increment of the tx_errors counter
(bnc#1012628).
- dpaa_eth: increment the RX dropped counter when needed
(bnc#1012628).
- dpaa_eth: remove duplicate initialization (bnc#1012628).
- dpaa_eth: fix error in dpaa_remove() (bnc#1012628).
- soc/fsl/qbman: fix issue in qman_delete_cgr_safe()
(bnc#1012628).
- s390/qeth: on channel error, reject further cmd requests
(bnc#1012628).
- s390/qeth: lock read device while queueing next buffer
(bnc#1012628).
- s390/qeth: when thread completes, wake up all waiters
(bnc#1012628).
- s390/qeth: free netdevice when removing a card (bnc#1012628).
- net: Fix hlist corruptions in inet_evict_bucket() (bnc#1012628).
- net: use skb_to_full_sk() in skb_update_prio() (bnc#1012628).
- ieee802154: 6lowpan: fix possible NULL deref in
lowpan_device_event() (bnc#1012628).
- sch_netem: fix skb leak in netem_enqueue() (bnc#1012628).
- kcm: lock lower socket in kcm_attach (bnc#1012628).
- test_rhashtable: add test case for rhltable with duplicate
objects (bnc#1012628).
- rhashtable: Fix rhlist duplicates insertion (bnc#1012628).
- ppp: avoid loop in xmit recursion detection code (bnc#1012628).
- net sched actions: return explicit error when tunnel_key mode
is not specified (bnc#1012628).
- ipv6: Reflect MTU changes on PMTU of exceptions for MTU-less
routes (bnc#1012628).
- net: phy: Tell caller result of phy_change() (bnc#1012628).
- mlxsw: spectrum_buffers: Set a minimum quota for CPU port
traffic (bnc#1012628).
- ipv6: sr: fix scheduling in RCU when creating seg6 lwtunnel
state (bnc#1012628).
- ipv6: sr: fix NULL pointer dereference when setting encap
source address (bnc#1012628).
- ipv6: old_dport should be a __be16 in __ip6_datagram_connect()
(bnc#1012628).
- net: ipv6: keep sk status consistent after datagram connect
failure (bnc#1012628).
- macvlan: filter out unsupported feature flags (bnc#1012628).
- devlink: Remove redundant free on error path (bnc#1012628).
- net: phy: relax error checking when creating sysfs link
netdev->phydev (bnc#1012628).
- sysfs: symlink: export sysfs_create_link_nowarn() (bnc#1012628).
- qed: Fix non TCP packets should be dropped on iWARP ll2
connection (bnc#1012628).
- tcp: purge write queue upon aborting the connection
(bnc#1012628).
- qed: Fix MPA unalign flow in case header is split across two
packets (bnc#1012628).
- openvswitch: meter: fix the incorrect calculation of max delta_t
(bnc#1012628).
- net: dsa: Fix dsa_is_user_port() test inversion (bnc#1012628).
- commit 0e7b541
-------------------------------------------------------------------
Thu Mar 29 09:56:18 CEST 2018 - jslaby@suse.cz
- Linux 4.15.14 (bnc#1012628).
- MIPS: ralink: Remove ralink_halt() (bnc#1012628).
- MIPS: ralink: Fix booting on MT7621 (bnc#1012628).
- MIPS: lantiq: Fix Danube USB clock (bnc#1012628).
- MIPS: lantiq: Enable AHB Bus for USB (bnc#1012628).
- MIPS: lantiq: ase: Enable MFD_SYSCON (bnc#1012628).
- iio: chemical: ccs811: Corrected firmware boot/application
mode transition (bnc#1012628).
- iio: st_pressure: st_accel: pass correct platform data to init
(bnc#1012628).
- iio: adc: meson-saradc: unlock on error in meson_sar_adc_lock()
(bnc#1012628).
- ALSA: usb-audio: Fix parsing descriptor of UAC2 processing unit
(bnc#1012628).
- ALSA: aloop: Sync stale timer before release (bnc#1012628).
- ALSA: aloop: Fix access to not-yet-ready substream via cable
(bnc#1012628).
- ALSA: hda - Force polling mode on CFL for fixing codec
communication (bnc#1012628).
- ALSA: hda/realtek - Fix speaker no sound after system resume
(bnc#1012628).
- ALSA: hda/realtek - Fix Dell headset Mic can't record
(bnc#1012628).
- ALSA: hda/realtek - Always immediately update mute LED with
pin VREF (bnc#1012628).
- mmc: core: Fix tracepoint print of blk_addr and blksz
(bnc#1012628).
- mmc: core: Disable HPI for certain Micron (Numonyx) eMMC cards
(bnc#1012628).
- mmc: block: fix updating ext_csd caches on ioctl call
(bnc#1012628).
- mmc: dw_mmc: Fix the DTO/CTO timeout overflow calculation for
32-bit systems (bnc#1012628).
- mmc: dw_mmc: exynos: fix the suspend/resume issue for exynos5433
(bnc#1012628).
- mmc: dw_mmc: fix falling from idmac to PIO mode when
dw_mci_reset occurs (bnc#1012628).
- PCI: Add function 1 DMA alias quirk for Highpoint RocketRAID
644L (bnc#1012628).
- ahci: Add PCI-id for the Highpoint Rocketraid 644L card
(bnc#1012628).
- lockdep: fix fs_reclaim warning (bnc#1012628).
- clk: bcm2835: Fix ana->maskX definitions (bnc#1012628).
- clk: bcm2835: Protect sections updating shared registers
(bnc#1012628).
- clk: sunxi-ng: a31: Fix CLK_OUT_* clock ops (bnc#1012628).
- RDMA/mlx5: Fix crash while accessing garbage pointer and freed
memory (bnc#1012628).
- Drivers: hv: vmbus: Fix ring buffer signaling (bnc#1012628).
- pinctrl: samsung: Validate alias coming from DT (bnc#1012628).
- Bluetooth: btusb: Remove Yoga 920 from the
btusb_needs_reset_resume_table (bnc#1012628).
- Bluetooth: btusb: Add Dell OptiPlex 3060 to
btusb_needs_reset_resume_table (bnc#1012628).
- libata: fix length validation of ATAPI-relayed SCSI commands
(bnc#1012628).
- libata: remove WARN() for DMA or PIO command without data
(bnc#1012628).
- libata: don't try to pass through NCQ commands to non-NCQ
devices (bnc#1012628).
- libata: Apply NOLPM quirk to Crucial MX100 512GB SSDs
(bnc#1012628).
- libata: disable LPM for Crucial BX100 SSD 500GB drive
(bnc#1012628).
- libata: Enable queued TRIM for Samsung SSD 860 (bnc#1012628).
- libata: Apply NOLPM quirk to Crucial M500 480 and 960GB SSDs
(bnc#1012628).
- libata: Make Crucial BX100 500GB LPM quirk apply to all firmware
versions (bnc#1012628).
- libata: Modify quirks for MX100 to limit NCQ_TRIM quirk to
MU01 version (bnc#1012628).
- sched, cgroup: Don't reject lower cpu.max on ancestors
(bnc#1012628).
- cgroup: fix rule checking for threaded mode switching
(bnc#1012628).
- nfsd: remove blocked locks on client teardown (bnc#1012628).
- media: tegra-cec: reset rx_buf_cnt when start bit detected
(bnc#1012628).
- hugetlbfs: check for pgoff value overflow (bnc#1012628).
- h8300: remove extraneous __BIG_ENDIAN definition (bnc#1012628).
- mm/vmalloc: add interfaces to free unmapped page table
(bnc#1012628).
- x86/mm: implement free pmd/pte page interfaces (bnc#1012628).
- mm/khugepaged.c: convert VM_BUG_ON() to collapse fail
(bnc#1012628).
- mm/thp: do not wait for lock_page() in deferred_split_scan()
(bnc#1012628).
- mm/shmem: do not wait for lock_page() in
shmem_unused_huge_shrink() (bnc#1012628).
- Revert "mm: page_alloc: skip over regions of invalid pfns
where possible" (bnc#1012628).
- drm/vmwgfx: Fix black screen and device errors when running
without fbdev (bnc#1012628).
- drm/vmwgfx: Fix a destoy-while-held mutex problem (bnc#1012628).
- drm/radeon: Don't turn off DP sink when disconnected
(bnc#1012628).
- drm/amd/display: We shouldn't set format_default on plane as
atomic driver (bnc#1012628).
- drm/amd/display: Add one to EDID's audio channel count when
passing to DC (bnc#1012628).
- drm: Reject getfb for multi-plane framebuffers (bnc#1012628).
- drm: udl: Properly check framebuffer mmap offsets (bnc#1012628).
- mm/vmscan: wake up flushers for legacy cgroups too
(bnc#1012628).
- module: propagate error in modules_open() (bnc#1012628).
- acpi, numa: fix pxm to online numa node associations
(bnc#1012628).
- ACPI / watchdog: Fix off-by-one error at resource assignment
(bnc#1012628).
- libnvdimm, {btt, blk}: do integrity setup before add_disk()
(bnc#1012628).
- brcmfmac: fix P2P_DEVICE ethernet address generation
(bnc#1012628).
- rtlwifi: rtl8723be: Fix loss of signal (bnc#1012628).
- tracing: probeevent: Fix to support minus offset from symbol
(bnc#1012628).
- mtdchar: fix usage of mtd_ooblayout_ecc() (bnc#1012628).
- mtd: nand: fsl_ifc: Fix nand waitfunc return value
(bnc#1012628).
- mtd: nand: fsl_ifc: Fix eccstat array overflow for IFC ver >=
2.0.0 (bnc#1012628).
- mtd: nand: fsl_ifc: Read ECCSTAT0 and ECCSTAT1 registers for
IFC 2.0 (bnc#1012628).
- can: peak/pcie_fd: fix echo_skb is occupied! bug (bnc#1012628).
- can: peak/pcie_fd: remove useless code when interface starts
(bnc#1012628).
- can: ifi: Repair the error handling (bnc#1012628).
- can: ifi: Check core revision upon probe (bnc#1012628).
- can: cc770: Fix stalls on rt-linux, remove redundant IRQ ack
(bnc#1012628).
- can: cc770: Fix queue stall & dropped RTR reply (bnc#1012628).
- can: cc770: Fix use after free in cc770_tx_interrupt()
(bnc#1012628).
- tty: vt: fix up tabstops properly (bnc#1012628).
- selftests/x86/ptrace_syscall: Fix for yet more glibc
interference (bnc#1012628).
- x86/vsyscall/64: Use proper accessor to update P4D entry
(bnc#1012628).
- x86/efi: Free efi_pgd with free_pages() (bnc#1012628).
- posix-timers: Protect posix clock array access against
speculation (bnc#1012628).
- kvm/x86: fix icebp instruction handling (bnc#1012628).
- x86/build/64: Force the linker to use 2MB page size
(bnc#1012628).
- x86/boot/64: Verify alignment of the LOAD segment (bnc#1012628).
- hwmon: (k10temp) Only apply temperature offset if result is
positive (bnc#1012628).
- hwmon: (k10temp) Add temperature offset for Ryzen 1900X
(bnc#1012628).
- perf/x86/intel/uncore: Fix Skylake UPI event format
(bnc#1012628).
- perf stat: Fix CVS output format for non-supported counters
(bnc#1012628).
- perf/core: Fix ctx_event_type in ctx_resched() (bnc#1012628).
- trace/bpf: remove helper bpf_perf_prog_read_value from
tracepoint type programs (bnc#1012628).
- perf/x86/intel: Don't accidentally clear high bits in
bdw_limit_period() (bnc#1012628).
- perf/x86/intel/uncore: Fix multi-domain PCI CHA enumeration
bug on Skylake servers (bnc#1012628).
- iio: ABI: Fix name of timestamp sysfs file (bnc#1012628).
- iio: imu: st_lsm6dsx: fix endianness in
st_lsm6dsx_read_oneshot() (bnc#1012628).
- iio: imu: st_lsm6dsx: introduce conf_lock mutex (bnc#1012628).
- staging: android: ion: Zero CMA allocated memory (bnc#1012628).
- kbuild: disable clang's default use of -fmerge-all-constants
(bnc#1012628).
- bpf: skip unnecessary capability check (bnc#1012628).
- bpf, x64: increase number of passes (bnc#1012628).
- commit def7e44
-------------------------------------------------------------------
Wed Mar 28 02:56:01 CEST 2018 - neilb@suse.com
- staging: ncpfs: memory corruption in ncp_read_kernel()
(bsc#1086162, CVE-2018-8822).
- commit a967c04
-------------------------------------------------------------------
Tue Mar 27 16:22:18 CEST 2018 - bp@suse.de
- x86/entry/64: Don't use IST entry for #BP stack (bsc#1087088).
- commit 67a9975
-------------------------------------------------------------------
Mon Mar 26 16:57:24 CEST 2018 - tiwai@suse.de
- brcmsmac: allocate ucode with GFP_KERNEL (bsc#1085174).
- commit 580a38a
-------------------------------------------------------------------
Mon Mar 26 09:52:25 CEST 2018 - jslaby@suse.cz
- Update vanilla config files.
- commit 9beaab6
-------------------------------------------------------------------
Mon Mar 26 04:09:50 CEST 2018 - bpoirier@suse.com
- net: phy: mdio-bcm-unimac: fix potential NULL dereference in
unimac_mdio_probe() (bsc#1084829 CVE-2018-8043).
- commit 7b5f96b
-------------------------------------------------------------------
Mon Mar 26 03:09:53 CEST 2018 - jeffm@suse.com
- Update to 4.16-rc7.
- Eliminated 1 patch.
- commit 7a36f2f
-------------------------------------------------------------------
Sun Mar 25 10:33:26 CEST 2018 - jslaby@suse.cz
- Linux 4.15.13 (bnc#1012628).
- RDMA/ucma: Don't allow join attempts for unsupported AF family
(bnc#1012628).
- RDMA/ucma: Fix access to non-initialized CM_ID object
(bnc#1012628).
- clk: migrate the count of orphaned clocks at init (bnc#1012628).
- RDMA/core: Do not use invalid destination in determining port
reuse (bnc#1012628).
- serial: 8250_pci: Don't fail on multiport card class
(bnc#1012628).
- RDMA/vmw_pvrdma: Fix usage of user response structures in ABI
file (bnc#1012628).
- IB/mlx5: Fix out-of-bounds read in create_raw_packet_qp_rq
(bnc#1012628).
- IB/mlx5: Fix integer overflows in mlx5_ib_create_srq
(bnc#1012628).
- dmaengine: ti-dma-crossbar: Fix event mapping for
TPCC_EVT_MUX_60_63 (bnc#1012628).
- hwrng: core - Clean up RNG list when last hwrng is unregistered
(bnc#1012628).
- crypto: artpec6 - set correct iv size for gcm(aes)
(bnc#1012628).
- clk: si5351: Rename internal plls to avoid name collisions
(bnc#1012628).
- clk: axi-clkgen: Correctly handle nocount bit in recalc_rate()
(bnc#1012628).
- clk: Don't touch hardware when reparenting during registration
(bnc#1012628).
- clk: at91: pmc: Wait for clocks when resuming (bnc#1012628).
- nfsd4: permit layoutget of executable-only files (bnc#1012628).
- ARM: dts: aspeed-evb: Add unit name to memory node
(bnc#1012628).
- RDMA/ocrdma: Fix permissions for OCRDMA_RESET_STATS
(bnc#1012628).
- scsi: lpfc: Fix issues connecting with nvme initiator
(bnc#1012628).
- scsi: lpfc: Fix SCSI LUN discovery when SCSI and NVME enabled
(bnc#1012628).
- soc: qcom: smsm: fix child-node lookup (bnc#1012628).
- ip_gre: fix potential memory leak in erspan_rcv (bnc#1012628).
- ip_gre: fix error path when erspan_rcv failed (bnc#1012628).
- ip6_vti: adjust vti mtu according to mtu of lower device
(bnc#1012628).
- iommu/vt-d: clean up pr_irq if request_threaded_irq fails
(bnc#1012628).
- pinctrl: rockchip: enable clock when reading pin direction
register (bnc#1012628).
- pinctrl: Really force states during suspend/resume
(bnc#1012628).
- clk: use round rate to bail out early in set_rate (bnc#1012628).
- dt-bindings: display: panel: Fix compatible string for Toshiba
LT089AC29000 (bnc#1012628).
- clk: check ops pointer on clock register (bnc#1012628).
- media: davinci: fix a debug printk (bnc#1012628).
- PCI: rcar: Handle rcar_pcie_parse_request_of_pci_ranges()
failures (bnc#1012628).
- PCI: endpoint: Fix find_first_zero_bit() usage (bnc#1012628).
- PCI: designware-ep: Fix ->get_msi() to check MSI_EN bit
(bnc#1012628).
- coresight: Fix disabling of CoreSight TPIU (bnc#1012628).
- pty: cancel pty slave port buf's work in tty_release
(bnc#1012628).
- drm/omap: DMM: Check for DMM readiness after successful
transaction commit (bnc#1012628).
- mmc: sdhci-xenon: wait 5ms after set 1.8V signal enable
(bnc#1012628).
- omapdrm: panel: fix compatible vendor string for td028ttec1
(bnc#1012628).
- vgacon: Set VGA struct resource types (bnc#1012628).
- PCI/ASPM: Calculate LTR_L1.2_THRESHOLD from device
characteristics (bnc#1012628).
- bpf/cgroup: fix a verification error for a CGROUP_DEVICE type
prog (bnc#1012628).
- iser-target: avoid reinitializing rdma contexts for isert
commands (bnc#1012628).
- IB/umem: Fix use of npages/nmap fields (bnc#1012628).
- RDMA/cma: Use correct size when writing netlink stats
(bnc#1012628).
- IB/ipoib: Avoid memory leak if the SA returns a different DGID
(bnc#1012628).
- rtc: ac100: Fix multiple race conditions (bnc#1012628).
- media: s5p-mfc: Fix lock contention - request_firmware() once
(bnc#1012628).
- sfp: fix non-detection of PHY (bnc#1012628).
- sfp: fix EEPROM reading in the case of non-SFF8472 SFPs
(bnc#1012628).
- net: phy: meson-gxl: check phy_write return value (bnc#1012628).
- /dev/mem: Add bounce buffer for copy-out (bnc#1012628).
- mmc: block: fix logical error to avoid memory leak
(bnc#1012628).
- mmc: avoid removing non-removable hosts during suspend
(bnc#1012628).
- drm/tilcdc: ensure nonatomic iowrite64 is not used
(bnc#1012628).
- dmaengine: zynqmp_dma: Fix race condition in the probe
(bnc#1012628).
- platform/chrome: Use proper protocol transfer function
(bnc#1012628).
- watchdog: Fix kref imbalance seen if handle_boot_enabled=0
(bnc#1012628).
- watchdog: Fix potential kref imbalance when opening watchdog
(bnc#1012628).
- cros_ec: fix nul-termination for firmware build info
(bnc#1012628).
- serial: 8250_dw: Disable clock on error (bnc#1012628).
- tty: goldfish: Enable 'earlycon' only if built-in (bnc#1012628).
- qmi_wwan: set FLAG_SEND_ZLP to avoid network initiated
disconnect (bnc#1012628).
- media: [RESEND] media: dvb-frontends: Add delay to Si2168
restart (bnc#1012628).
- ath10k: handling qos at STA side based on AP WMM enable/disable
(bnc#1012628).
- media: bt8xx: Fix err 'bt878_probe()' (bnc#1012628).
- rtlwifi: always initialize variables given to RT_TRACE()
(bnc#1012628).
- rtlwifi: rtl_pci: Fix the bug when inactiveps is enabled
(bnc#1012628).
- libbpf: prefer global symbols as bpf program name source
(bnc#1012628).
- spi: sh-msiof: Avoid writing to registers from
spi_master.setup() (bnc#1012628).
- tcp: allow TLP in ECN CWR (bnc#1012628).
- hv_netvsc: Fix the TX/RX buffer default sizes (bnc#1012628).
- hv_netvsc: Fix the receive buffer size limit (bnc#1012628).
- RDMA/iwpm: Fix uninitialized error code in iwpm_send_mapinfo()
(bnc#1012628).
- IB/ipoib: Warn when one port fails to initialize (bnc#1012628).
- drm/msm: fix leak in failed get_pages (bnc#1012628).
- media: c8sectpfe: fix potential NULL pointer dereference in
c8sectpfe_timer_interrupt (bnc#1012628).
- cpufreq: longhaul: Revert transition_delay_us to 200 ms
(bnc#1012628).
- Bluetooth: btqcomsmd: Fix skb double free corruption
(bnc#1012628).
- Bluetooth: hci_qca: Avoid setup failure on missing rampatch
(bnc#1012628).
- drm/amdgpu: use polling mem to set SDMA3 wptr for VF
(bnc#1012628).
- staging: android: ashmem: Fix possible deadlock in ashmem_ioctl
(bnc#1012628).
- scsi: megaraid_sas: Do not use 32-bit atomic request descriptor
for Ventura controllers (bnc#1012628).
- commit 950fc49
-------------------------------------------------------------------
Fri Mar 23 18:13:59 CET 2018 - agraf@suse.de
- armv7hl: Disable uacces with memcpy (boo#1080435)
- commit a3fb408
-------------------------------------------------------------------
Wed Mar 21 19:24:22 CET 2018 - jslaby@suse.cz
- Linux 4.15.12 (bnc#1012628).
- phy: phy-brcm-usb-init: Power down USB 3.0 PHY when XHCI
disabled (bnc#1012628).
- phy: phy-brcm-usb-init: DRD mode can cause crash on startup
(bnc#1012628).
- phy: phy-brcm-usb-init: Some Low Speed keyboards fail on 7271
(bnc#1012628).
- phy: phy-brcm-usb: Fix two DT properties to match bindings doc
(bnc#1012628).
- usb: gadget: udc: renesas_usb3: fix oops in
renesas_usb3_remove() (bnc#1012628).
- usb: dwc3: of-simple: fix oops by unbalanced clk disable call
(bnc#1012628).
- usb: dwc3: core: Power-off core/PHYs on system_suspend in host
mode (bnc#1012628).
- usb: dwc3: Fix GDBGFIFOSPACE_TYPE values (bnc#1012628).
- USB: gadget: udc: Add missing platform_device_put() on error
in bdc_pci_probe() (bnc#1012628).
- dt-bindings: usb: fix the STM32F7 DWC2 OTG HS core binding
(bnc#1012628).
- usb: dwc2: fix STM32F7 USB OTG HS compatible (bnc#1012628).
- scsi: qla2xxx: Fix crashes in qla2x00_probe_one on probe failure
(bnc#1012628).
- scsi: qla2xxx: Fix logo flag for qlt_free_session_done()
(bnc#1012628).
- scsi: qla2xxx: Fix NULL pointer access for fcport structure
(bnc#1012628).
- scsi: qla2xxx: Fix smatch warning in
qla25xx_delete_{rsp|req}_que (bnc#1012628).
- btrfs: Fix memory barriers usage with device stats counters
(bnc#1012628).
- btrfs: remove spurious WARN_ON(ref->count < 0) in
find_parent_nodes (bnc#1012628).
- btrfs: Fix use-after-free when cleaning up fs_devs with a
single stale device (bnc#1012628).
- btrfs: alloc_chunk: fix DUP stripe size handling (bnc#1012628).
- btrfs: add missing initialization in btrfs_check_shared
(bnc#1012628).
- btrfs: Fix NULL pointer exception in find_bio_stripe
(bnc#1012628).
- xfs: preserve i_rdev when recycling a reclaimable inode
(bnc#1012628).
- nvme: fix subsystem multiple controllers support check
(bnc#1012628).
- irqchip/gic-v3-its: Ensure nr_ites >= nr_lpis (bnc#1012628).
- RDMAVT: Fix synchronization around percpu_ref (bnc#1012628).
- fs/aio: Use RCU accessors for kioctx_table->table[]
(bnc#1012628).
- fs/aio: Add explicit RCU grace period when freeing kioctx
(bnc#1012628).
- lock_parent() needs to recheck if dentry got __dentry_kill'ed
under it (bnc#1012628).
- KVM: arm/arm64: vgic: Don't populate multiple LRs with the
same vintid (bnc#1012628).
- kvm: arm/arm64: vgic-v3: Tighten synchronization for guests
using v2 on v3 (bnc#1012628).
- KVM: arm/arm64: Reset mapped IRQs on VM reset (bnc#1012628).
- KVM: arm/arm64: Reduce verbosity of KVM init log (bnc#1012628).
- fs: Teach path_connected to handle nfs filesystems with multiple
roots (bnc#1012628).
- drm/amdgpu/dce: Don't turn off DP sink when disconnected
(bnc#1012628).
- drm/radeon: fix prime teardown order (bnc#1012628).
- drm/amdgpu: fix prime teardown order (bnc#1012628).
- drm/nouveau/mmu: ALIGN_DOWN correct variable (bnc#1012628).
- drm/nouveau/bl: Fix oops on driver unbind (bnc#1012628).
- ALSA: seq: Clear client entry before deleting else at closing
(bnc#1012628).
- ALSA: seq: Fix possible UAF in snd_seq_check_queue()
(bnc#1012628).
- ALSA: hda - Revert power_save option default value
(bnc#1012628).
- ALSA: pcm: Fix UAF in snd_pcm_oss_get_formats() (bnc#1012628).
- parisc: Handle case where flush_cache_range is called with no
context (bnc#1012628).
- x86/mm: Fix vmalloc_fault to use pXd_large (bnc#1012628).
- KVM: x86: Fix device passthrough when SME is active
(bnc#1012628).
- x86/speculation: Remove Skylake C2 from Speculation Control
microcode blacklist (bnc#1012628).
- x86/speculation, objtool: Annotate indirect calls/jumps for
objtool on 32-bit kernels (bnc#1012628).
- x86/vm86/32: Fix POPF emulation (bnc#1012628).
- selftests/x86/entry_from_vm86: Add test cases for POPF
(bnc#1012628).
- selftests/x86/entry_from_vm86: Exit with 1 if we fail
(bnc#1012628).
- x86/cpufeatures: Add Intel PCONFIG cpufeature (bnc#1012628).
- x86/cpufeatures: Add Intel Total Memory Encryption cpufeature
(bnc#1012628).
- commit 9f942ce
-------------------------------------------------------------------
Mon Mar 19 20:55:59 CET 2018 - jslaby@suse.cz
- Update config files (4.15.11).
- commit a1db525
-------------------------------------------------------------------
Mon Mar 19 20:44:19 CET 2018 - jslaby@suse.cz
- Linux 4.15.11 (bnc#1012628).
- drm/i915/glk: Disable Guc and HuC on GLK (bnc#1012628).
- dmaengine: qcom_hidma: check pending interrupts (bnc#1012628).
- IB/mlx5: revisit -Wmaybe-uninitialized warning (bnc#1012628).
- ima: relax requiring a file signature for new files with zero
length (bnc#1012628).
- locking/locktorture: Fix num reader/writer corner cases
(bnc#1012628).
- rcutorture/configinit: Fix build directory error message
(bnc#1012628).
- ipvlan: add L2 check for packets arriving via virtual devices
(bnc#1012628).
- Fix misannotated out-of-line _copy_to_user() (bnc#1012628).
- mmc: mmc_test: Ensure command queue is disabled for testing
(bnc#1012628).
- ASoC: nuc900: Fix a loop timeout test (bnc#1012628).
- crypto: caam/qi - use correct print specifier for size_t
(bnc#1012628).
- mac80211: remove BUG() when interface type is invalid
(bnc#1012628).
- mac80211_hwsim: enforce PS_MANUAL_POLL to be set after
PS_ENABLED (bnc#1012628).
- agp/intel: Flush all chipset writes after updating the GGTT
(bnc#1012628).
- arm64: dts: renesas: salvator-common: Add EthernetAVB PHY reset
(bnc#1012628).
- powerpc/64: Don't trace irqs-off at interrupt return to
soft-disabled context (bnc#1012628).
- powerpc/modules: Don't try to restore r2 after a sibling call
(bnc#1012628).
- drm/amdkfd: Fix memory leaks in kfd topology (bnc#1012628).
- veth: set peer GSO values (bnc#1012628).
- net: sched: drop qdisc_reset from dev_graft_qdisc (bnc#1012628).
- virtio_net: Disable interrupts if napi_complete_done rescheduled
napi (bnc#1012628).
- media: davinci: vpif_capture: add NULL check on devm_kzalloc
return value (bnc#1012628).
- media: cpia2: Fix a couple off by one bugs (bnc#1012628).
- dm raid: fix raid set size revalidation (bnc#1012628).
- media: vsp1: Prevent suspending and resuming DRM pipelines
(bnc#1012628).
- staging: fsl-dpaa2/eth: Fix access to FAS field (bnc#1012628).
- clk: renesas: r8a77970: Add LVDS clock (bnc#1012628).
- scsi: dh: add new rdac devices (bnc#1012628).
- scsi: devinfo: apply to HP XP the same flags as Hitachi VSP
(bnc#1012628).
- scsi: core: scsi_get_device_flags_keyed(): Always return device
flags (bnc#1012628).
- bnxt_en: Don't print "Link speed -1 no longer supported"
messages (bnc#1012628).
- spi: sun6i: disable/unprepare clocks on remove (bnc#1012628).
- tools/usbip: fixes build with musl libc toolchain (bnc#1012628).
- ath10k: fix invalid STS_CAP_OFFSET_MASK (bnc#1012628).
- mwifiex: cfg80211: do not change virtual interface during scan
processing (bnc#1012628).
- clk: qcom: msm8916: fix mnd_width for codec_digcodec
(bnc#1012628).
- bnxt_en: Uninitialized variable in bnxt_tc_parse_actions()
(bnc#1012628).
- drm/amdgpu: fix amdgpu_sync_resv v2 (bnc#1012628).
- drm/amdgpu:fix virtual dce bug (bnc#1012628).
- iwlwifi: mvm: avoid dumping assert log when device is stopped
(bnc#1012628).
- perf annotate: Fix objdump comment parsing for Intel mov
dissassembly (bnc#1012628).
- perf annotate: Fix unnecessary memory allocation for s390x
(bnc#1012628).
- pinctrl: sh-pfc: r8a7795-es1: Fix MOD_SEL1 bit[25:24] to 0x3
when using STP_ISEN_1_D (bnc#1012628).
- pinctrl: sh-pfc: r8a7791: Add can_clk function (bnc#1012628).
- drm/sun4i: Fix format mask in DE2 driver (bnc#1012628).
- pwm: stmpe: Fix wrong register offset for hwpwm=2 case
(bnc#1012628).
- scsi: ses: don't ask for diagnostic pages repeatedly during
probe (bnc#1012628).
- scsi: lpfc: Fix crash during driver unload with running nvme
traffic (bnc#1012628).
- drm/amdgpu:fix random missing of FLR NOTIFY (bnc#1012628).
- KVM: X86: Restart the guest when insn_len is zero and SEV is
enabled (bnc#1012628).
- cpufreq: Fix governor module removal race (bnc#1012628).
- ath10k: update tdls teardown state to target (bnc#1012628).
- iio: health: max30102: Add power enable parameter to get_temp
function (bnc#1012628).
- iio: adc: ina2xx: Shift bus voltage register to mask flag bits
(bnc#1012628).
- drm/etnaviv: make THERMAL selectable (bnc#1012628).
- power: supply: ab8500_charger: Bail out in case of error in
'ab8500_charger_init_hw_registers()' (bnc#1012628).
- power: supply: ab8500_charger: Fix an error handling path
(bnc#1012628).
- power: supply: sbs-message: double left shift bug in
sbsm_select() (bnc#1012628).
- bpf: fix stack state printing in verifier log (bnc#1012628).
- leds: pm8058: Silence pointer to integer size warning
(bnc#1012628).
- xfrm: Fix xfrm_replay_overflow_offload_esn (bnc#1012628).
- userns: Don't fail follow_automount based on s_user_ns
(bnc#1012628).
- mtd: nand: ifc: update bufnum mask for ver >= 2.0.0
(bnc#1012628).
- ARM: dts: omap3-n900: Fix the audio CODEC's reset pin
(bnc#1012628).
- ARM: dts: am335x-pepper: Fix the audio CODEC's reset pin
(bnc#1012628).
- net: thunderx: Set max queue count taking XDP_TX into account
(bnc#1012628).
- mtd: nand: fix interpretation of NAND_CMD_NONE in
nand_command[_lp]() (bnc#1012628).
- gpiolib: don't allow OPEN_DRAIN & OPEN_SOURCE flags
simultaneously (bnc#1012628).
- net: xfrm: allow clearing socket xfrm policies (bnc#1012628).
- perf report: Fix -D output for user metadata events
(bnc#1012628).
- rtc: brcmstb-waketimer: fix error handling in
brcmstb_waketmr_probe() (bnc#1012628).
- net: ieee802154: adf7242: Fix bug if defined DEBUG
(bnc#1012628).
- drm/vblank: Fix vblank timestamp debugs (bnc#1012628).
- test_firmware: fix setting old custom fw path back on exit
(bnc#1012628).
- crypto: cavium - fix memory leak on info (bnc#1012628).
- crypto: keywrap - Add missing ULL suffixes for 64-bit constants
(bnc#1012628).
- crypto: ecc - Fix NULL pointer deref. on no default_rng
(bnc#1012628).
- crypto: chelsio - Fix an error code in chcr_hash_dma_map()
(bnc#1012628).
- sched: Stop resched_cpu() from sending IPIs to offline CPUs
(bnc#1012628).
- sched: Stop switched_to_rt() from sending IPIs to offline CPUs
(bnc#1012628).
- dt-bindings: serial: Add common rs485 binding for RTS polarity
(bnc#1012628).
- USB: ledtrig-usbport: fix of-node leak (bnc#1012628).
- typec: tcpm: fusb302: Resolve out of order messaging events
(bnc#1012628).
- staging: rtl8822be: fix missing null check on dev_alloc_skb
return (bnc#1012628).
- drm/amdgpu: fix get_max_engine_clock_in_mhz (bnc#1012628).
- ARM: dts: exynos: Correct Trats2 panel reset line (bnc#1012628).
- clk: meson: gxbb: fix wrong clock for SARADC/SANA (bnc#1012628).
- ARM: dts: koelsch: Move cec_clock to root node (bnc#1012628).
- iwlwifi: mvm: rs: don't override the rate history in the search
cycle (bnc#1012628).
- HID: elo: clear BTN_LEFT mapping (bnc#1012628).
- KVM: PPC: Book3S HV: Fix typo in kvmppc_hv_get_dirty_log_radix()
(bnc#1012628).
- video/hdmi: Allow "empty" HDMI infoframes (bnc#1012628).
- dma-buf/fence: Fix lock inversion within dma-fence-array
(bnc#1012628).
- drm/edid: set ELD connector type in drm_edid_to_eld()
(bnc#1012628).
- KVM: PPC: Book3S HV: Avoid shifts by negative amounts
(bnc#1012628).
- HID: multitouch: Only look at non touch fields in first packet
of a frame (bnc#1012628).
- spi: imx: Fix failure path leak on GPIO request error correctly
(bnc#1012628).
- drm/panel: rpi-touchscreen: propagate errors in
rpi_touchscreen_i2c_read() (bnc#1012628).
- gfs2: Fixes to "Implement iomap for block_map" (2)
(bnc#1012628).
- gfs2: Clean up {lookup,fillup}_metapath (bnc#1012628).
- Revert "btrfs: use proper endianness accessors for super_copy"
(bnc#1012628).
- dm mpath: fix passing integrity data (bnc#1012628).
- earlycon: add reg-offset to physical address before mapping
(bnc#1012628).
- serial: core: mark port as initialized in autoconfig
(bnc#1012628).
- serial: 8250_pci: Add Brainboxes UC-260 4 port serial device
(bnc#1012628).
- usb: dwc3: Fix lock-up on ID change during system suspend/resume
(bnc#1012628).
- usb: gadget: f_fs: Fix use-after-free in ffs_fs_kill_sb()
(bnc#1012628).
- usb: usbmon: Read text within supplied buffer size
(bnc#1012628).
- usb: quirks: add control message delay for 1b1c:1b20
(bnc#1012628).
- usbip: vudc: fix null pointer dereference on udc->lock
(bnc#1012628).
- USB: storage: Add JMicron bridge 152d:2567 to unusual_devs.h
(bnc#1012628).
- scsi: sd_zbc: Fix potential memory leak (bnc#1012628).
- staging: android: ashmem: Fix lockdep issue during llseek
(bnc#1012628).
- staging: comedi: fix comedi_nsamples_left (bnc#1012628).
- uas: fix comparison for error code (bnc#1012628).
- tty/serial: atmel: add new version check for usart
(bnc#1012628).
- serial: sh-sci: prevent lockup on full TTY buffers
(bnc#1012628).
- xhci: fix endpoint context tracer output (bnc#1012628).
- xhci: Fix front USB ports on ASUS PRIME B350M-A (bnc#1012628).
- usb: host: xhci-rcar: add support for r8a77965 (bnc#1012628).
- ASoC: rt5651: Fix regcache sync errors on resume (bnc#1012628).
- ASoC: wm_adsp: For TLV controls only register TLV get/set
(bnc#1012628).
- ASoC: sgtl5000: Fix suspend/resume (bnc#1012628).
- ASoC: sun4i-i2s: Fix RX slot number of SUN8I (bnc#1012628).
- x86: Treat R_X86_64_PLT32 as R_X86_64_PC32 (bnc#1012628).
- commit 5c89418
-------------------------------------------------------------------
Mon Mar 19 14:10:36 CET 2018 - mkubecek@suse.cz
- Update
patches.kernel.org/4.15.10-113-netfilter-ebtables-CONFIG_COMPAT-don-t-trust-.patch
references (add CVE-2018-1068).
- Update
patches.suse/netfilter-ebtables-fix-erroneous-reject-of-last-rule.patch
references (add CVE-2018-1068).
- commit 3127e9f
-------------------------------------------------------------------
Mon Mar 19 11:40:13 CET 2018 - msuchanek@suse.de
- kernel-*.spec: remove remaining occurences of %release from dependencies
There is a mix of %release and %source_rel in manually added
dependencies and the %release dependencies tend to fail due to rebuild
sync issues. So get rid of them.
- commit b4ec514
-------------------------------------------------------------------
Mon Mar 19 03:15:02 CET 2018 - jeffm@suse.com
- Update to 4.16-rc6.
- commit a98eb00
-------------------------------------------------------------------
Mon Mar 19 03:14:22 CET 2018 - jeffm@suse.com
- Refresh patches.suse/kernel-add-release-status-to-kernel-build.patch.
- commit cf5ff13
-------------------------------------------------------------------
Thu Mar 15 21:31:17 CET 2018 - jslaby@suse.cz
- Linux 4.15.10 (bnc#1012628).
- RDMA/ucma: Limit possible option size (bnc#1012628).
- RDMA/ucma: Check that user doesn't overflow QP state
(bnc#1012628).
- RDMA/mlx5: Fix integer overflow while resizing CQ (bnc#1012628).
- IB/uverbs: Improve lockdep_check (bnc#1012628).
- mac80211_hwsim: don't use WQ_MEM_RECLAIM (bnc#1012628).
- net/smc: fix NULL pointer dereference on sock_create_kern()
error path (bnc#1012628).
- regulator: stm32-vrefbuf: fix check on ready flag (bnc#1012628).
- drm/i915: Check for fused or unused pipes (bnc#1012628).
- drm/i915/audio: fix check for av_enc_map overflow (bnc#1012628).
- drm/i915: Fix rsvd2 mask when out-fence is returned
(bnc#1012628).
- drm/i915: Clear the in-use marker on execbuf failure
(bnc#1012628).
- drm/i915: Disable DC states around GMBUS on GLK (bnc#1012628).
- drm/i915: Update watermark state correctly in
sanitize_watermarks (bnc#1012628).
- drm/i915: Try EDID bitbanging on HDMI after failed read
(bnc#1012628).
- drm/i915/perf: fix perf stream opening lock (bnc#1012628).
- scsi: core: Avoid that ATA error handling can trigger a kernel
hang or oops (bnc#1012628).
- scsi: qla2xxx: Fix NULL pointer crash due to active timer for
ABTS (bnc#1012628).
- drm/i915: Always call to intel_display_set_init_power() in
resume_early (bnc#1012628).
- workqueue: Allow retrieval of current task's work struct
(bnc#1012628).
- drm: Allow determining if current task is output poll worker
(bnc#1012628).
- drm/nouveau: Fix deadlock on runtime suspend (bnc#1012628).
- drm/radeon: Fix deadlock on runtime suspend (bnc#1012628).
- drm/amdgpu: Fix deadlock on runtime suspend (bnc#1012628).
- drm/nouveau: prefer XBGR2101010 for addfb ioctl (bnc#1012628).
- drm/amd/powerplay/smu7: allow mclk switching with no displays
(bnc#1012628).
- drm/amd/powerplay/vega10: allow mclk switching with no displays
(bnc#1012628).
- Revert "drm/radeon/pm: autoswitch power state when in balanced
mode" (bnc#1012628).
- drm/amd/display: check for ipp before calling cursor operations
(bnc#1012628).
- drm/radeon: insist on 32-bit DMA for Cedar on PPC64/PPC64LE
(bnc#1012628).
- drm/amd/powerplay: fix power over limit on Fiji (bnc#1012628).
- drm/amd/display: Default HDMI6G support to true. Log VBIOS
table error (bnc#1012628).
- drm/amdgpu: used cached pcie gen info for SI (v2) (bnc#1012628).
- drm/amdgpu: Notify sbios device ready before send request
(bnc#1012628).
- drm/radeon: fix KV harvesting (bnc#1012628).
- drm/amdgpu: fix KV harvesting (bnc#1012628).
- drm/amdgpu:Correct max uvd handles (bnc#1012628).
- drm/amdgpu:Always save uvd vcpu_bo in VM Mode (bnc#1012628).
- ovl: redirect_dir=nofollow should not follow redirect for
opaque lower (bnc#1012628).
- MIPS: BMIPS: Do not mask IPIs during suspend (bnc#1012628).
- MIPS: ath25: Check for kzalloc allocation failure (bnc#1012628).
- MIPS: OCTEON: irq: Check for null return on kzalloc allocation
(bnc#1012628).
- PCI: dwc: Fix enumeration end when reaching root subordinate
(bnc#1012628).
- Input: matrix_keypad - fix race when disabling interrupts
(bnc#1012628).
- Revert "Input: synaptics - Lenovo Thinkpad T460p devices should
use RMI" (bnc#1012628).
- bug: use %pB in BUG and stack protector failure (bnc#1012628).
- lib/bug.c: exclude non-BUG/WARN exceptions from report_bug()
(bnc#1012628).
- mm/memblock.c: hardcode the end_pfn being -1 (bnc#1012628).
- loop: Fix lost writes caused by missing flag (bnc#1012628).
- virtio_ring: fix num_free handling in error case (bnc#1012628).
- KVM: s390: fix memory overwrites when not using SCA entries
(bnc#1012628).
- arm64: mm: fix thinko in non-global page table attribute check
(bnc#1012628).
- IB/core: Fix missing RDMA cgroups release in case of failure
to register device (bnc#1012628).
- Revert "nvme: create 'slaves' and 'holders' entries for hidden
controllers" (bnc#1012628).
- kbuild: Handle builtin dtb file names containing hyphens
(bnc#1012628).
- dm bufio: avoid false-positive Wmaybe-uninitialized warning
(bnc#1012628).
- IB/mlx5: Fix incorrect size of klms in the memory region
(bnc#1012628).
- bcache: fix crashes in duplicate cache device register
(bnc#1012628).
- bcache: don't attach backing with duplicate UUID (bnc#1012628).
- x86/MCE: Save microcode revision in machine check records
(bnc#1012628).
- x86/MCE: Serialize sysfs changes (bnc#1012628).
- perf tools: Fix trigger class trigger_on() (bnc#1012628).
- x86/spectre_v2: Don't check microcode versions when running
under hypervisors (bnc#1012628).
- ALSA: hda/realtek - Add support headset mode for DELL WYSE
(bnc#1012628).
- ALSA: hda/realtek - Add headset mode support for Dell laptop
(bnc#1012628).
- ALSA: hda/realtek: Limit mic boost on T480 (bnc#1012628).
- ALSA: hda/realtek - Fix dock line-out volume on Dell Precision
7520 (bnc#1012628).
- ALSA: hda/realtek - Make dock sound work on ThinkPad L570
(bnc#1012628).
- ALSA: seq: Don't allow resizing pool in use (bnc#1012628).
- ALSA: seq: More protection for concurrent write and ioctl races
(bnc#1012628).
- ALSA: hda - Fix a wrong FIXUP for alc289 on Dell machines
(bnc#1012628).
- ALSA: hda: add dock and led support for HP EliteBook 820 G3
(bnc#1012628).
- ALSA: hda: add dock and led support for HP ProBook 640 G2
(bnc#1012628).
- scsi: qla2xxx: Fix NULL pointer crash due to probe failure
(bnc#1012628).
- scsi: qla2xxx: Fix recursion while sending terminate exchange
(bnc#1012628).
- dt-bindings: Document mti,mips-cpc binding (bnc#1012628).
- MIPS: CPC: Map registers using DT in
mips_cpc_default_phys_base() (bnc#1012628).
- nospec: Kill array_index_nospec_mask_check() (bnc#1012628).
- nospec: Include <asm/barrier.h> dependency (bnc#1012628).
- x86/entry: Reduce the code footprint of the 'idtentry' macro
(bnc#1012628).
- x86/entry/64: Use 'xorl' for faster register clearing
(bnc#1012628).
- x86/mm: Remove stale comment about KMEMCHECK (bnc#1012628).
- x86/asm: Improve how GEN_*_SUFFIXED_RMWcc() specify clobbers
(bnc#1012628).
- x86/IO-APIC: Avoid warning in 32-bit builds (bnc#1012628).
- x86/LDT: Avoid warning in 32-bit builds with older gcc
(bnc#1012628).
- x86-64/realmode: Add instruction suffix (bnc#1012628).
- Revert "x86/retpoline: Simplify vmexit_fill_RSB()"
(bnc#1012628).
- x86/speculation: Use IBRS if available before calling into
firmware (bnc#1012628).
- x86/retpoline: Support retpoline builds with Clang
(bnc#1012628).
- x86/speculation, objtool: Annotate indirect calls/jumps for
objtool (bnc#1012628).
- x86/speculation: Move firmware_restrict_branch_speculation_*()
from C to CPP (bnc#1012628).
- x86/paravirt, objtool: Annotate indirect calls (bnc#1012628).
- x86/boot, objtool: Annotate indirect jump in
secondary_startup_64() (bnc#1012628).
- x86/mm/sme, objtool: Annotate indirect call in
sme_encrypt_execute() (bnc#1012628).
- objtool: Use existing global variables for options
(bnc#1012628).
- objtool: Add retpoline validation (bnc#1012628).
- objtool: Add module specific retpoline rules (bnc#1012628).
- objtool, retpolines: Integrate objtool with retpoline support
more closely (bnc#1012628).
- objtool: Fix another switch table detection issue (bnc#1012628).
- objtool: Fix 32-bit build (bnc#1012628).
- x86/kprobes: Fix kernel crash when probing .entry_trampoline
code (bnc#1012628).
- watchdog: hpwdt: SMBIOS check (bnc#1012628).
- watchdog: hpwdt: Check source of NMI (bnc#1012628).
- watchdog: hpwdt: fix unused variable warning (bnc#1012628).
- watchdog: hpwdt: Remove legacy NMI sourcing (bnc#1012628).
- netfilter: add back stackpointer size checks (bnc#1012628).
- netfilter: ipt_CLUSTERIP: fix a race condition of proc file
creation (bnc#1012628).
- netfilter: xt_hashlimit: fix lock imbalance (bnc#1012628).
- netfilter: x_tables: fix missing timer initialization in xt_LED
(bnc#1012628).
- netfilter: nat: cope with negative port range (bnc#1012628).
- netfilter: IDLETIMER: be syzkaller friendly (bnc#1012628).
- netfilter: bridge: ebt_among: add missing match size checks
(bnc#1012628).
- netfilter: ipv6: fix use-after-free Write in
nf_nat_ipv6_manip_pkt (bnc#1012628).
- netfilter: use skb_to_full_sk in ip6_route_me_harder
(bnc#1012628).
- tpm_tis: Move ilb_base_addr to tpm_tis_data (bnc#1012628).
- tpm: Keep CLKRUN enabled throughout the duration of
transmit_cmd() (bnc#1012628).
- tpm: delete the TPM_TIS_CLK_ENABLE flag (bnc#1012628).
- tpm: remove unused variables (bnc#1012628).
- tpm: only attempt to disable the LPC CLKRUN if is already
enabled (bnc#1012628).
- x86/xen: Calculate __max_logical_packages on PV domains
(bnc#1012628).
- scsi: qla2xxx: Fix system crash for Notify ack timeout handling
(bnc#1012628).
- scsi: qla2xxx: Fix gpnid error processing (bnc#1012628).
- scsi: qla2xxx: Move session delete to driver work queue
(bnc#1012628).
- scsi: qla2xxx: Skip IRQ affinity for Target QPairs
(bnc#1012628).
- scsi: qla2xxx: Fix re-login for Nport Handle in use
(bnc#1012628).
- scsi: qla2xxx: Retry switch command on time out (bnc#1012628).
- scsi: qla2xxx: Serialize GPNID for multiple RSCN (bnc#1012628).
- scsi: qla2xxx: Fix login state machine stuck at GPDB
(bnc#1012628).
- scsi: qla2xxx: Fix NPIV host cleanup in target mode
(bnc#1012628).
- scsi: qla2xxx: Relogin to target port on a cable swap
(bnc#1012628).
- scsi: qla2xxx: Fix Relogin being triggered too fast
(bnc#1012628).
- scsi: qla2xxx: Fix PRLI state check (bnc#1012628).
- scsi: qla2xxx: Fix abort command deadlock due to spinlock
(bnc#1012628).
- scsi: qla2xxx: Replace fcport alloc with qla2x00_alloc_fcport
(bnc#1012628).
- scsi: qla2xxx: Fix scan state field for fcport (bnc#1012628).
- scsi: qla2xxx: Clear loop id after delete (bnc#1012628).
- scsi: qla2xxx: Defer processing of GS IOCB calls (bnc#1012628).
- scsi: qla2xxx: Remove aborting ELS IOCB call issued as part
of timeout (bnc#1012628).
- scsi: qla2xxx: Fix system crash in qlt_plogi_ack_unref
(bnc#1012628).
- scsi: qla2xxx: Fix memory leak in dual/target mode
(bnc#1012628).
- NFS: Fix an incorrect type in struct nfs_direct_req
(bnc#1012628).
- pNFS: Prevent the layout header refcount going to zero in
pnfs_roc() (bnc#1012628).
- NFS: Fix unstable write completion (bnc#1012628).
- Refresh
patches.suse/0001-x86-speculation-Add-basic-IBRS-support-infrastructur.patch.
- Refresh
patches.suse/0002-x86-speculation-Add-inlines-to-control-Indirect-Bran.patch.
- Refresh
patches.suse/0005-x86-enter-Use-IBRS-on-syscall-and-interrupts.patch.
- commit 5e4329c
-------------------------------------------------------------------
Thu Mar 15 20:05:02 CET 2018 - tiwai@suse.de
- Bluebooth: btusb: Fix quirk for Atheros 1525/QCA6174
(bsc#1082504).
- commit 8413b00
-------------------------------------------------------------------
Thu Mar 15 20:03:41 CET 2018 - tiwai@suse.de
- Bluebooth: btusb: Fix quirk for Atheros 1525/QCA6174
(bsc#1082504).
- commit e8a80ec
-------------------------------------------------------------------
Thu Mar 15 09:46:28 CET 2018 - mkubecek@suse.cz
- netfilter: ebtables: fix erroneous reject of last rule
(bsc#1085107).
- netfilter: ebtables: CONFIG_COMPAT: don't trust userland offsets
(bsc#1085107).
- commit bfb5701
-------------------------------------------------------------------
Wed Mar 14 19:07:43 CET 2018 - jeffm@suse.com
- config: sync i386/default
Some options had been removed completely.
- commit 95f48d7
-------------------------------------------------------------------
Wed Mar 14 15:18:32 CET 2018 - jeffm@suse.com
- rpm/kernel-source.spec.in: Add check-module-license to Sources
The package builds in the build service but the script won't make it
into the SRPM if it's not in the Sources list.
- commit 031ed9e
-------------------------------------------------------------------
Wed Mar 14 14:02:01 CET 2018 - tiwai@suse.de
- brcmsmac: allocate ucode with GFP_KERNEL (bsc#1085174).
- commit 8e06b20
-------------------------------------------------------------------
Wed Mar 14 11:01:05 CET 2018 - tiwai@suse.de
- mac80211_hwsim: fix possible memory leak in hwsim_new_radio_nl()
(CVE-2018-8087,bsc#1085053).
- commit cddf6d5
-------------------------------------------------------------------
Tue Mar 13 18:08:11 CET 2018 - msuchanek@suse.de
- mkspec: fix perl warning
- commit f15670f
-------------------------------------------------------------------
Mon Mar 12 14:27:50 CET 2018 - jeffm@suse.com
- Update to 4.16-rc5.
- Eliminated 1 patch.
- commit 0dfffad
-------------------------------------------------------------------
Sun Mar 11 23:30:25 CET 2018 - jslaby@suse.cz
- Linux 4.15.9 (bnc#1012628).
- bpf: fix mlock precharge on arraymaps (bnc#1012628).
- bpf: fix memory leak in lpm_trie map_free callback function
(bnc#1012628).
- bpf: fix rcu lockdep warning for lpm_trie map_free callback
(bnc#1012628).
- bpf, x64: implement retpoline for tail call (bnc#1012628).
- bpf, arm64: fix out of bounds access in tail call (bnc#1012628).
- bpf: add schedule points in percpu arrays management
(bnc#1012628).
- bpf: allow xadd only on aligned memory (bnc#1012628).
- bpf, ppc64: fix out of bounds access in tail call (bnc#1012628).
- scsi: mpt3sas: fix oops in error handlers after shutdown/unload
(bnc#1012628).
- scsi: mpt3sas: wait for and flush running commands on
shutdown/unload (bnc#1012628).
- KVM: x86: fix backward migration with async_PF (bnc#1012628).
- Refresh
patches.suse/0002-x86-speculation-Add-inlines-to-control-Indirect-Bran.patch.
- commit 23fae4b
-------------------------------------------------------------------
Sun Mar 11 12:25:57 CET 2018 - afaerber@suse.de
- config: armv7hl: Update to 4.16-rc4
- commit ad451a4
-------------------------------------------------------------------
Sun Mar 11 12:09:45 CET 2018 - afaerber@suse.de
- config: armv6hl: Update to 4.16-rc4
- commit 6c846e1
-------------------------------------------------------------------
Sat Mar 10 16:25:53 CET 2018 - tiwai@suse.de
- Refresh to upstream patch (bsc#1083694)
patches.suse/Documentation-sphinx-Fix-Directive-import-error.patch
- commit 2d62679
-------------------------------------------------------------------
Sat Mar 10 00:09:47 CET 2018 - jeffm@suse.com
- kernel: add release status to kernel build (bsc#FATE#325281).
- commit c51605f
-------------------------------------------------------------------
Fri Mar 9 23:45:18 CET 2018 - jeffm@suse.com
- rpm: use %_sourcedir prefix for release-projects
- rpm: set SUSE_KERNEL_RELEASED based on project name
Set SUSE_KERNEL_RELEASED in the config only if the project name matches
a list of projects that are part of official release channels. This
list of projects is maintained per-branch.
- commit a391a5b
-------------------------------------------------------------------
Fri Mar 9 22:24:07 CET 2018 - jeffm@suse.com
- config: added new 'kvmsmall' flavor
This flavor is an unreleased internal configuration intended for kernel
developers to use in simple virtual machines. It contains only the
device drivers necessary to use a KVM virtual machine *without* device
passthrough enabled. Common local and network file systems are enabled.
All device mapper targets are enabled. Only the network and graphics
drivers for devices that qemu emulates are enabled. Many subsystems
enabled in the default kernel are entirely disabled. This kernel is
meant to be small and to build very quickly. There will be no kABI
stability and its configuration may be changed arbitrarily.
- commit 088f1da
-------------------------------------------------------------------
Fri Mar 9 22:20:06 CET 2018 - jeffm@suse.com
- config: convert kvmsmall to fragment config
- commit 7a5941a
-------------------------------------------------------------------
Fri Mar 9 22:14:02 CET 2018 - jeffm@suse.com
- config: disabled some more options for kvmsmall
Disable CAN, BT, rare partition types, unused mouse protocols, UIO,
STM, and others.
- commit 4707d44
-------------------------------------------------------------------
Fri Mar 9 21:20:15 CET 2018 - jeffm@suse.com
- config: added new 'kvmsmall' flavor
This flavor is intended for kernel developers to use in simple virtual
machines. It contains only the device drivers necessary to use a
KVM virtual machine *without* device passthrough enabled. Common
local and network file systems are enabled. All device mapper targets
are enabled. Only the network and graphics drivers for devices that qemu
emulates are enabled. Many subsystems enabled in the default kernel
are entirely disabled. This kernel is meant to be small and to build
very quickly.
- commit 3c99d1f
-------------------------------------------------------------------
Fri Mar 9 19:59:56 CET 2018 - jslaby@suse.cz
- Linux 4.15.8 (bnc#1012628).
- platform/x86: dell-laptop: fix kbd_get_state's request value
(bnc#1012628).
- md: only allow remove_and_add_spares when no sync_thread running
(bnc#1012628).
- powerpc/64s/radix: Boot-time NULL pointer protection using a
guard-PID (bnc#1012628).
- ARM: dts: LogicPD Torpedo: Fix I2C1 pinmux (bnc#1012628).
- ARM: dts: LogicPD SOM-LV: Fix I2C1 pinmux (bnc#1012628).
- ACPI / bus: Parse tables as term_list for Dell XPS 9570 and
Precision M5530 (bnc#1012628).
- KVM/x86: remove WARN_ON() for when vm_munmap() fails
(bnc#1012628).
- KVM: x86: fix vcpu initialization with userspace lapic
(bnc#1012628).
- KVM/VMX: Optimize vmx_vcpu_run() and svm_vcpu_run() by marking
the RDMSR path as unlikely() (bnc#1012628).
- KVM: x86: move LAPIC initialization after VMCS creation
(bnc#1012628).
- KVM/x86: Remove indirect MSR op calls from SPEC_CTRL
(bnc#1012628).
- KVM: mmu: Fix overlap between public and private memslots
(bnc#1012628).
- KVM: X86: Fix SMRAM accessing even if VM is shutdown
(bnc#1012628).
- ARM: kvm: fix building with gcc-8 (bnc#1012628).
- ARM: mvebu: Fix broken PL310_ERRATA_753970 selects
(bnc#1012628).
- ARM: dts: rockchip: Remove 1.8 GHz operation point from phycore
som (bnc#1012628).
- ARM: orion: fix orion_ge00_switch_board_info initialization
(bnc#1012628).
- x86/mm: Fix {pmd,pud}_{set,clear}_flags() (bnc#1012628).
- nospec: Allow index argument to have const-qualified type
(bnc#1012628).
- KVM: s390: consider epoch index on TOD clock syncs
(bnc#1012628).
- KVM: s390: consider epoch index on hotplugged CPUs
(bnc#1012628).
- KVM: s390: provide only a single function for setting the tod
(fix SCK) (bnc#1012628).
- KVM: s390: take care of clock-comparator sign control
(bnc#1012628).
- EDAC, sb_edac: Fix out of bound writes during DIMM configuration
on KNL (bnc#1012628).
- media: m88ds3103: don't call a non-initalized function
(bnc#1012628).
- blk-mq: don't call io sched's .requeue_request when requeueing
rq to ->dispatch (bnc#1012628).
- tcp: revert F-RTO extension to detect more spurious timeouts
(bnc#1012628).
- tcp: revert F-RTO middle-box workaround (bnc#1012628).
- s390/qeth: fix IPA command submission race (bnc#1012628).
- s390/qeth: fix IP address lookup for L3 devices (bnc#1012628).
- Revert "s390/qeth: fix using of ref counter for rxip addresses"
(bnc#1012628).
- s390/qeth: fix double-free on IP add/remove race (bnc#1012628).
- s390/qeth: fix IP removal on offline cards (bnc#1012628).
- s390/qeth: fix overestimated count of buffer elements
(bnc#1012628).
- s390/qeth: fix SETIP command handling (bnc#1012628).
- s390/qeth: fix underestimated count of buffer elements
(bnc#1012628).
- l2tp: fix tunnel lookup use-after-free race (bnc#1012628).
- l2tp: fix race in pppol2tp_release with session object destroy
(bnc#1012628).
- l2tp: fix races with tunnel socket close (bnc#1012628).
- l2tp: don't use inet_shutdown on ppp session destroy
(bnc#1012628).
- l2tp: don't use inet_shutdown on tunnel destroy (bnc#1012628).
- tcp: tracepoint: only call trace_tcp_send_reset with full socket
(bnc#1012628).
- net: phy: Restore phy_resume() locking assumption (bnc#1012628).
- net/mlx5: Fix error handling when adding flow rules
(bnc#1012628).
- cxgb4: fix trailing zero in CIM LA dump (bnc#1012628).
- virtio-net: disable NAPI only when enabled during XDP set
(bnc#1012628).
- tuntap: disable preemption during XDP processing (bnc#1012628).
- tuntap: correctly add the missing XDP flush (bnc#1012628).
- tcp: purge write queue upon RST (bnc#1012628).
- netlink: put module reference if dump start fails (bnc#1012628).
- mlxsw: spectrum_router: Do not unconditionally clear route
offload indication (bnc#1012628).
- cls_u32: fix use after free in u32_destroy_key() (bnc#1012628).
- amd-xgbe: Restore PCI interrupt enablement setting on resume
(bnc#1012628).
- tls: Use correct sk->sk_prot for IPV6 (bnc#1012628).
- net/mlx5e: Verify inline header size do not exceed SKB linear
size (bnc#1012628).
- bridge: Fix VLAN reference count problem (bnc#1012628).
- sctp: fix dst refcnt leak in sctp_v6_get_dst() (bnc#1012628).
- net: ipv4: Set addr_type in hash_keys for forwarded case
(bnc#1012628).
- mlxsw: spectrum_router: Fix error path in mlxsw_sp_vr_create
(bnc#1012628).
- sctp: do not pr_err for the duplicated node in transport rhlist
(bnc#1012628).
- net/sched: cls_u32: fix cls_u32 on filter replace (bnc#1012628).
- net_sched: gen_estimator: fix broken estimators based on percpu
stats (bnc#1012628).
- net/mlx5e: Fix loopback self test when GRO is off (bnc#1012628).
- doc: Change the min default value of tcp_wmem/tcp_rmem
(bnc#1012628).
- tcp_bbr: better deal with suboptimal GSO (bnc#1012628).
- rxrpc: Fix send in rxrpc_send_data_packet() (bnc#1012628).
- tcp: Honor the eor bit in tcp_mtu_probe (bnc#1012628).
- net: phy: fix phy_start to consider PHY_IGNORE_INTERRUPT
(bnc#1012628).
- net/mlx5e: Specify numa node when allocating drop rq
(bnc#1012628).
- mlxsw: spectrum_switchdev: Check success of FDB add operation
(bnc#1012628).
- sctp: fix dst refcnt leak in sctp_v4_get_dst (bnc#1012628).
- net/mlx5e: Fix TCP checksum in LRO buffers (bnc#1012628).
- udplite: fix partial checksum initialization (bnc#1012628).
- sctp: verify size of a new chunk in _sctp_make_chunk()
(bnc#1012628).
- ppp: prevent unregistered channels from connecting to PPP units
(bnc#1012628).
- net: sched: report if filter is too large to dump (bnc#1012628).
- netlink: ensure to loop over all netns in
genlmsg_multicast_allns() (bnc#1012628).
- net: ipv4: don't allow setting net.ipv4.route.min_pmtu below 68
(bnc#1012628).
- net: fix race on decreasing number of TX queues (bnc#1012628).
- net: ethernet: ti: cpsw: fix net watchdog timeout (bnc#1012628).
- net: amd-xgbe: fix comparison to bitshift when dealing with
a mask (bnc#1012628).
- ipv6 sit: work around bogus gcc-8 -Wrestrict warning
(bnc#1012628).
- hdlc_ppp: carrier detect ok, don't turn off negotiation
(bnc#1012628).
- fib_semantics: Don't match route with mismatching tclassid
(bnc#1012628).
- bridge: check brport attr show in brport_show (bnc#1012628).
- x86/cpu_entry_area: Sync cpu_entry_area to initial_page_table
(bnc#1012628).
- x86/platform/intel-mid: Handle Intel Edison reboot correctly
(bnc#1012628).
- x86/xen: Zero MSR_IA32_SPEC_CTRL before suspend (bnc#1012628).
- direct-io: Fix sleep in atomic due to sync AIO (bnc#1012628).
- dax: fix vma_is_fsdax() helper (bnc#1012628).
- cpufreq: s3c24xx: Fix broken s3c_cpufreq_init() (bnc#1012628).
- vfio: disable filesystem-dax page pinning (bnc#1012628).
- block: pass inclusive 'lend' parameter to
truncate_inode_pages_range (bnc#1012628).
- block: kyber: fix domain token leak during requeue
(bnc#1012628).
- block: fix the count of PGPGOUT for WRITE_SAME (bnc#1012628).
- btrfs: use proper endianness accessors for super_copy
(bnc#1012628).
- parisc: Hide virtual kernel memory layout (bnc#1012628).
- parisc: Fix ordering of cache and TLB flushes (bnc#1012628).
- parisc: Reduce irq overhead when run in qemu (bnc#1012628).
- parisc: Use cr16 interval timers unconditionally on qemu
(bnc#1012628).
- timers: Forward timer base before migrating timers
(bnc#1012628).
- mmc: dw_mmc: Fix out-of-bounds access for slot's caps
(bnc#1012628).
- mmc: dw_mmc: Factor out dw_mci_init_slot_caps (bnc#1012628).
- mmc: dw_mmc: Avoid accessing registers in runtime suspended
state (bnc#1012628).
- mmc: dw_mmc-k3: Fix out-of-bounds access through DT alias
(bnc#1012628).
- mmc: sdhci-pci: Fix S0i3 for Intel BYT-based controllers
(bnc#1012628).
- ALSA: hda - Fix pincfg at resume on Lenovo T470 dock
(bnc#1012628).
- ALSA: hda: Add a power_save blacklist (bnc#1012628).
- ALSA: x86: Fix missing spinlock and mutex initializations
(bnc#1012628).
- ALSA: control: Fix memory corruption risk in snd_ctl_elem_read
(bnc#1012628).
- ALSA: usb-audio: Add a quirck for B&W PX headphones
(bnc#1012628).
- tpm_tis: fix potential buffer overruns caused by bit glitches
on the bus (bnc#1012628).
- tpm_i2c_nuvoton: fix potential buffer overruns caused by bit
glitches on the bus (bnc#1012628).
- tpm_i2c_infineon: fix potential buffer overruns caused by bit
glitches on the bus (bnc#1012628).
- tpm: fix potential buffer overruns caused by bit glitches on
the bus (bnc#1012628).
- tpm: st33zp24: fix potential buffer overruns caused by bit
glitches on the bus (bnc#1012628).
- ixgbe: fix crash in build_skb Rx code path (bnc#1012628).
- Bluetooth: btusb: Use DMI matching for QCA reset_resume quirking
(bnc#1012628).
- powerpc/pseries: Enable RAS hotplug events later (bnc#1012628).
- platform/x86: dell-laptop: Allocate buffer on heap rather than
globally (bnc#1012628).
- ipmi_si: Fix error handling of platform device (bnc#1012628).
- hrtimer: Ensure POSIX compliance (relative CLOCK_REALTIME
hrtimers) (bnc#1012628).
- vsprintf: avoid misleading "(null)" for %px (bnc#1012628).
- commit 67f0889
-------------------------------------------------------------------
Thu Mar 8 22:34:05 CET 2018 - msuchanek@suse.de
- mkspec: do not build dtbs for architectures with no kernel.
- commit 8394abf
-------------------------------------------------------------------
Thu Mar 8 16:52:18 CET 2018 - jeffm@suse.com
- kconfig: move SUSE options from init/Kconfig to init/Kconfig.suse
- commit b7f69ff
-------------------------------------------------------------------
Wed Mar 7 18:39:49 CET 2018 - afaerber@suse.de
- config: arm64: Update to 4.16-rc4
- commit 0bb9ed4
-------------------------------------------------------------------
Wed Mar 7 16:31:26 CET 2018 - tiwai@suse.de
- rpm/kernel-binary.spec.in: Check module licenses (bsc#1083215,bsc#1083527)
- commit e41de0c
-------------------------------------------------------------------
Wed Mar 7 16:09:53 CET 2018 - tiwai@suse.de
- x86/kvm/vmx: do not use vm-exit instruction length for fast
MMIO when running nested (bsc#1081431).
- commit 4e5b14d
-------------------------------------------------------------------
Tue Mar 6 14:08:41 CET 2018 - jslaby@suse.cz
- Update config files.
Enable module signing (bnc#1082905):
* CONFIG_MODULE_SIG=y
* # CONFIG_MODULE_SIG_FORCE is not set
* # CONFIG_MODULE_SIG_ALL is not set
* # CONFIG_MODULE_SIG_SHA1 is not set
* # CONFIG_MODULE_SIG_SHA224 is not set
* CONFIG_MODULE_SIG_SHA256=y
* # CONFIG_MODULE_SIG_SHA384 is not set
* # CONFIG_MODULE_SIG_SHA512 is not set
* CONFIG_MODULE_SIG_HASH="sha256"
* CONFIG_MODULE_SIG_KEY="certs/signing_key.pem"
* CONFIG_SECONDARY_TRUSTED_KEYRING=y
* CONFIG_SYSTEM_BLACKLIST_KEYRING=y
* CONFIG_SYSTEM_BLACKLIST_HASH_LIST=""
This commit synchronizes these options with SLE15.
We do not add patches for loading keys from the shim layer (as in
SLE15) for the time being. They were rejected multiple times in
upstream and we do not want to forward-port them infinitely. This only
means that loading KMPs with none/invalid signatures generates this:
<module_name>: loading out-of-tree module taints kernel.
<module_name>: module verification failed: signature and/or required key missing - tainting kernel
But the modules load fine after that as we have MODULE_SIG_FORCE set
to 'n'.
Tested in qemu+OVMF and bare metal and everything looks fine.
- commit 12abbef
-------------------------------------------------------------------
Mon Mar 5 15:44:09 CET 2018 - msuchanek@suse.de
- rpm: provide %name%-srchash = <kernel-source commit hash> (FATE#325312).
- Also use for kernel-obs-build dependency.
- commit b6fccdf
-------------------------------------------------------------------
Mon Mar 5 01:51:15 CET 2018 - jeffm@suse.com
- Refresh
patches.suse/0001-x86-speculation-Add-basic-IBRS-support-infrastructur.patch.
- Refresh
patches.suse/0002-x86-speculation-Add-inlines-to-control-Indirect-Bran.patch.
- Refresh
patches.suse/0005-x86-enter-Use-IBRS-on-syscall-and-interrupts.patch.
- commit 1ba5305
-------------------------------------------------------------------
Mon Mar 5 01:49:13 CET 2018 - jeffm@suse.com
- Update to 4.16-rc4.
- IBRS patches need review.
- commit 061459a
-------------------------------------------------------------------
Sun Mar 4 13:36:38 CET 2018 - msuchanek@suse.de
- arch-symbols: use bash as interpreter since the script uses bashism.
- commit 4cdfb23
-------------------------------------------------------------------
Fri Mar 2 14:05:08 CET 2018 - msuchanek@suse.de
- kernel-binary: do not BuildIgnore m4.
It is actually needed for regenerating zconf when it is not up-to-date
due to merge.
- commit 967b28b
-------------------------------------------------------------------
Fri Mar 2 13:43:16 CET 2018 - mkubecek@suse.cz
- rpm/kernel-binary.spec.in: add build requirement for flex
In addition to bison, we also need flex for "make oldconfig".
- commit 83d831c
-------------------------------------------------------------------
Fri Mar 2 13:33:50 CET 2018 - mkubecek@suse.cz
- rpm/kernel-binary.spec.in: remove m4 from BuildIgnore list
As bison depends on m4, we cannot set !BuildIgnore for it any more.
- commit d7695e1
-------------------------------------------------------------------
Fri Mar 2 12:52:26 CET 2018 - tiwai@suse.de
- Documentation/sphinx: Fix Directive import error (bsc#1083694).
- commit 7f94eb1
-------------------------------------------------------------------
Wed Feb 28 16:00:41 CET 2018 - msuchanek@suse.de
- bs-upload-kernel: do not set %opensuse_bs
Since SLE15 it is not set in the distribution project so do not set it
for kernel projects either.
- commit d696aa0
-------------------------------------------------------------------
Wed Feb 28 13:17:31 CET 2018 - jslaby@suse.cz
- Linux 4.15.7 (bnc#1012628).
- microblaze: fix endian handling (bnc#1012628).
- drm/i915/breadcrumbs: Ignore unsubmitted signalers
(bnc#1012628).
- arm64: __show_regs: Only resolve kernel symbols when running
at EL1 (bnc#1012628).
- drm/amdgpu: add new device to use atpx quirk (bnc#1012628).
- drm/amdgpu: Avoid leaking PM domain on driver unbind (v2)
(bnc#1012628).
- drm/amdgpu: add atpx quirk handling (v2) (bnc#1012628).
- drm/amdgpu: only check mmBIF_IOV_FUNC_IDENTIFIER on tonga/fiji
(bnc#1012628).
- drm/amdgpu: Add dpm quirk for Jet PRO (v2) (bnc#1012628).
- drm/amdgpu: fix VA hole handling on Vega10 v3 (bnc#1012628).
- drm/amdgpu: disable MMHUB power gating on raven (bnc#1012628).
- drm: Handle unexpected holes in color-eviction (bnc#1012628).
- drm/atomic: Fix memleak on ERESTARTSYS during non-blocking
commits (bnc#1012628).
- drm/cirrus: Load lut in crtc_commit (bnc#1012628).
- usb: renesas_usbhs: missed the "running" flag in usb_dmac with
rx path (bnc#1012628).
- usb: gadget: f_fs: Use config_ep_by_speed() (bnc#1012628).
- usb: gadget: f_fs: Process all descriptors during bind
(bnc#1012628).
- Revert "usb: musb: host: don't start next rx urb if current
one failed" (bnc#1012628).
- usb: ldusb: add PIDs for new CASSY devices supported by this
driver (bnc#1012628).
- usb: phy: mxs: Fix NULL pointer dereference on i.MX23/28
(bnc#1012628).
- usb: dwc3: ep0: Reset TRB counter for ep0 IN (bnc#1012628).
- usb: dwc3: gadget: Set maxpacket size for ep0 IN (bnc#1012628).
- usb: host: ehci: use correct device pointer for dma ops
(bnc#1012628).
- drm/edid: Add 6 bpc quirk for CPT panel in Asus UX303LA
(bnc#1012628).
- Add delay-init quirk for Corsair K70 RGB keyboards
(bnc#1012628).
- arm64: cpufeature: Fix CTR_EL0 field definitions (bnc#1012628).
- arm64: Disable unhandled signal log messages by default
(bnc#1012628).
- arm64: Remove unimplemented syscall log message (bnc#1012628).
- usb: ohci: Proper handling of ed_rm_list to handle race
condition between usb_kill_urb() and finish_unlinks()
(bnc#1012628).
- ohci-hcd: Fix race condition caused by ohci_urb_enqueue()
and io_watchdog_func() (bnc#1012628).
- net: thunderbolt: Run disconnect flow asynchronously when
logout is received (bnc#1012628).
- net: thunderbolt: Tear down connection properly on suspend
(bnc#1012628).
- PCI/cxgb4: Extend T3 PCI quirk to T4+ devices (bnc#1012628).
- irqchip/mips-gic: Avoid spuriously handling masked interrupts
(bnc#1012628).
- irqchip/gic-v3: Use wmb() instead of smb_wmb() in
gic_raise_softirq() (bnc#1012628).
- uapi/if_ether.h: move __UAPI_DEF_ETHHDR libc define
(bnc#1012628).
- mm: don't defer struct page initialization for Xen pv guests
(bnc#1012628).
- mm, swap, frontswap: fix THP swap if frontswap enabled
(bnc#1012628).
- x86/oprofile: Fix bogus GCC-8 warning in nmi_setup()
(bnc#1012628).
- x86/apic/vector: Handle vector release on CPU unplug correctly
(bnc#1012628).
- Kbuild: always define endianess in kconfig.h (bnc#1012628).
- iio: adis_lib: Initialize trigger before requesting interrupt
(bnc#1012628).
- iio: buffer: check if a buffer has been set up when poll is
called (bnc#1012628).
- iio: srf08: fix link error "devm_iio_triggered_buffer_setup"
undefined (bnc#1012628).
- iio: adc: stm32: fix stm32h7_adc_enable error handling
(bnc#1012628).
- RDMA/uverbs: Sanitize user entered port numbers prior to access
it (bnc#1012628).
- RDMA/uverbs: Fix circular locking dependency (bnc#1012628).
- RDMA/uverbs: Fix bad unlock balance in ib_uverbs_close_xrcd
(bnc#1012628).
- RDMA/uverbs: Protect from command mask overflow (bnc#1012628).
- RDMA/uverbs: Protect from races between lookup and destroy of
uobjects (bnc#1012628).
- genirq/matrix: Handle CPU offlining proper (bnc#1012628).
- extcon: int3496: process id-pin first so that we start with
the right status (bnc#1012628).
- PKCS#7: fix certificate blacklisting (bnc#1012628).
- PKCS#7: fix certificate chain verification (bnc#1012628).
- X.509: fix NULL dereference when restricting key with
unsupported_sig (bnc#1012628).
- X.509: fix BUG_ON() when hash algorithm is unsupported
(bnc#1012628).
- i2c: bcm2835: Set up the rising/falling edge delays
(bnc#1012628).
- i2c: designware: must wait for enable (bnc#1012628).
- cfg80211: fix cfg80211_beacon_dup (bnc#1012628).
- MIPS: Drop spurious __unused in struct compat_flock
(bnc#1012628).
- scsi: ibmvfc: fix misdefined reserved field in
ibmvfc_fcp_rsp_info (bnc#1012628).
- xtensa: fix high memory/reserved memory collision (bnc#1012628).
- MIPS: boot: Define __ASSEMBLY__ for its.S build (bnc#1012628).
- kconfig.h: Include compiler types to avoid missed struct
attributes (bnc#1012628).
- arm64: mm: don't write garbage into TTBR1_EL1 register
(bnc#1012628).
- netfilter: drop outermost socket lock in getsockopt()
(bnc#1012628).
- commit 48cfb35
-------------------------------------------------------------------
Wed Feb 28 05:01:08 CET 2018 - jeffm@suse.com
- kernel: add product-identifying information to kernel build (FATE#325281).
- commit 450b8db
-------------------------------------------------------------------
Tue Feb 27 17:11:36 CET 2018 - msuchanek@suse.de
- Revert "rpm/kernel-binary.spec.in: Also require m4 for build."
This reverts commit 0d7b4b3f948c2efb67b7d1b95b5e1dcae225991c.
- commit f5686d2
-------------------------------------------------------------------
Tue Feb 27 09:53:18 CET 2018 - tiwai@suse.de
- kernel-binary: do not package extract-cert when not signing modules
(boo#1080250).
- commit 10a8bc4
-------------------------------------------------------------------
Mon Feb 26 23:13:22 CET 2018 - jeffm@suse.com
- Update to 4.16-rc3.
- Eliminated 2 patches.
- commit a1d0a5c
-------------------------------------------------------------------
Mon Feb 26 23:07:17 CET 2018 - jeffm@suse.com
- Update to 4.16-rc2.
- Eliminated 1 patch.
- Config changes:
- i386:
- X86_MINIMUM_CPU_FAMILY (set automatically by oldconfig).
- commit 70f217f
-------------------------------------------------------------------
Mon Feb 26 23:01:57 CET 2018 - jeffm@suse.com
- Update to 4.16-rc1.
- Eliminated 624 patches (mostly 4.15.x).
- ARM configs need updating.
- Config changes:
- General:
- CC_STACKPROTECTOR_REGULAR=y
- x86:
- ACPI_SPCR_TABLE=y
- ACER_WIRELESS=m
- GPD_POCKET_FAN=m
- INTEL_CHTDC_TI_PWRBTN=m
- MELLANOX_PLATFORM=y
- MLXREG_HOTPLUG=m
- JAILHOUSE_GUEST=y
- i386:
- MLX_PLATFORM=m
- DEFERRED_STRUCT_PAGE_INIT=y
- powerpc:
- PPC_MEM_KEYS=y
- OCXL=m
- PPC_IRQ_SOFT_MASK_DEBUG=n
- s390:
- KERNEL_NOBP=y
- EXPOLINE=y
- EXPOLINE_FULL=y
- PCI:
- PCIE_CADENCE_HOST=y
- PCIE_CADENCE_EP=y
- Network:
- NF_FLOW_TABLE=m
- NF_FLOW_TABLE_IPV4=m
- NF_FLOW_TABLE_IPV6=m
- IP6_NF_MATCH_SRH=m
- BT_HCIBTUSB_AUTOSUSPEND=y
- NET_VENDOR_CORTINA=y
- GEMINI_ETHERNET=m
- NET_VENDOR_SOCIONEXT=y
- NETDEVSIM=n
- NFT_FLOW_OFFLOAD=m
- NF_FLOW_TABLE_INET=m
- Block:
- BLK_DEV_NULL_BLK_FAULT_INJECTION=n
- SATA_MOBILE_LPM_POLICY=0
- DM_UNSTRIPED=m
- MMC_SDHCI_F_SDH30=n
- Misc:
- MISC_RTSX_PCI=m
- MISC_RTSX_USB=m
- HW_RANDOM_TPM=y (from m)
- I2C_GPIO_FAULT_INJECTOR=n
- PINCTRL_AXP209=m
- GPIO_WINBOND=m
- GPIO_PCIE_IDIO_24=m
- SENSORS_W83773G=m
- MFD_CROS_EC_CHARDEV=n
- RAVE_SP_CORE=n
CAVIUM_PTP=m
- Graphics:
- DRM_PANEL_ILITEK_IL9322=n
- TINYDRM_ILI9225=n
- TINYDRM_ST7735R=n
- Sound:
- SND_SOC_INTEL_SST_TOPLEVEL=y
- SND_SST_ATOM_HIFI2_PLATFORM_PCI=m
- SND_SOC_INTEL_BYT_MAX98090_MACH=m
- SND_SOC_INTEL_BYT_RT5640_MACH=m
- SND_SOC_MAX98373=n
- SND_SOC_PCM186X_I2C=n
- SND_SOC_PCM186X_SPI=n
- SND_SOC_TAS6424=n
- SND_SOC_TLV320AIC32X4_I2C=n
- SND_SOC_TLV320AIC32X4_SPI=n
- SND_SOC_TSCS42XX=n
- SOUNDWIRE=y
- SOUNDWIRE_INTEL=m
- Input:
- HID_JABRA=n
- USB:
- USB_XHCI_DBGCAP=n
- LEDS:
- LEDS_LM3692X=n
- LEDS_TRIGGER_NETDEV=m
- LEDS_LP8860=n
- RTC:
- RTC_DRV_CROS_EC=n
- VIRT:
- VBOXGUEST=m
- VIRTIO_MENU=y
- KVM_AMD_SEV=y
- Filesystems:
- CIFS_SMB_DIRECT=n (still experimental)
- Other:
- UNISYSSPAR=n
- XILINX_VCU=n
- IIO_BUFFER_HW_CONSUMER=n
- SD_ADC_MODULATOR=n
- OF_FPGA_REGION=n
- SIOX=n
- SLIMBUS=n
- BPF_KPROBE_OVERRIDE=n
- FPGA_BRIDGE=n
- Sensors:
- ST_UVIS25=n
- ZOPT2201=n
- Media:
- MT76x2E=m
- LIRC=y
- DVB_MMAP=n (still experimental)
- DVB_ULE_DEBUG=n
- Testing:
- FAIL_FUNCTION=n
- RUNTIME_TESTING_MENU=y
- FIND_BIT_BENCHMARK=n
- Crypto:
- CHELSIO_IPSEC_INLINE=n
- CRYPTO_DEV_SP_PSP=y
- commit 955d7ce
-------------------------------------------------------------------
Sun Feb 25 19:49:03 CET 2018 - jslaby@suse.cz
- bpf: cpumap: use GFP_KERNEL instead of GFP_ATOMIC in
__cpu_map_entry_alloc() (git-fixes).
- commit b050949
-------------------------------------------------------------------
Sun Feb 25 19:48:38 CET 2018 - jslaby@suse.cz
- ptr_ring: prevent integer overflow when calculating size
(git-fixes).
- commit f95a8d4
-------------------------------------------------------------------
Sun Feb 25 19:37:06 CET 2018 - jslaby@suse.cz
- Linux 4.15.6 (bnc#1012628).
- vmalloc: fix __GFP_HIGHMEM usage for vmalloc_32 on 32b systems
(bnc#1012628).
- mei: me: add cannon point device ids for 4th device
(bnc#1012628).
- mei: me: add cannon point device ids (bnc#1012628).
- crypto: s5p-sss - Fix kernel Oops in AES-ECB mode (bnc#1012628).
- usbip: keep usbip_device sockfd state in sync with tcp_socket
(bnc#1012628).
- xhci: fix xhci debugfs errors in xhci_stop (bnc#1012628).
- xhci: xhci debugfs device nodes weren't removed after device
plugged out (bnc#1012628).
- xhci: Fix xhci debugfs devices node disappearance after
hibernation (bnc#1012628).
- xhci: Fix NULL pointer in xhci debugfs (bnc#1012628).
- staging: iio: ad5933: switch buffer mode to software
(bnc#1012628).
- staging: iio: adc: ad7192: fix external frequency setting
(bnc#1012628).
- staging: fsl-mc: fix build testing on x86 (bnc#1012628).
- binder: replace "%p" with "%pK" (bnc#1012628).
- binder: check for binder_thread allocation failure in
binder_poll() (bnc#1012628).
- staging: android: ashmem: Fix a race condition in pin ioctls
(bnc#1012628).
- ANDROID: binder: synchronize_rcu() when using POLLFREE
(bnc#1012628).
- ANDROID: binder: remove WARN() for redundant txn error
(bnc#1012628).
- dn_getsockoptdecnet: move nf_{get/set}sockopt outside sock lock
(bnc#1012628).
- arm64: dts: add #cooling-cells to CPU nodes (bnc#1012628).
- ARM: 8743/1: bL_switcher: add MODULE_LICENSE tag (bnc#1012628).
- video: fbdev/mmp: add MODULE_LICENSE (bnc#1012628).
- ASoC: ux500: add MODULE_LICENSE tag (bnc#1012628).
- soc: qcom: rmtfs_mem: add missing
MODULE_DESCRIPTION/AUTHOR/LICENSE (bnc#1012628).
- net_sched: gen_estimator: fix lockdep splat (bnc#1012628).
- net: avoid skb_warn_bad_offload on IS_ERR (bnc#1012628).
- rds: tcp: atomically purge entries from rds_tcp_conn_list
during netns delete (bnc#1012628).
- rds: tcp: correctly sequence cleanup on netns deletion
(bnc#1012628).
- netfilter: xt_RATEEST: acquire xt_rateest_mutex for hash insert
(bnc#1012628).
- netfilter: xt_cgroup: initialize info->priv in
cgroup_mt_check_v1() (bnc#1012628).
- netfilter: on sockopt() acquire sock lock only in the required
scope (bnc#1012628).
- netfilter: ipt_CLUSTERIP: fix out-of-bounds accesses in
clusterip_tg_check() (bnc#1012628).
- netfilter: x_tables: avoid out-of-bounds reads in
xt_request_find_{match|target} (bnc#1012628).
- netfilter: x_tables: fix int overflow in xt_alloc_table_info()
(bnc#1012628).
- kcov: detect double association with a single task
(bnc#1012628).
- KVM: x86: fix escape of guest dr6 to the host (bnc#1012628).
- blk_rq_map_user_iov: fix error override (bnc#1012628).
- staging: android: ion: Switch from WARN to pr_warn
(bnc#1012628).
- staging: android: ion: Add __GFP_NOWARN for system contig heap
(bnc#1012628).
- crypto: x86/twofish-3way - Fix %rbp usage (bnc#1012628).
- media: pvrusb2: properly check endpoint types (bnc#1012628).
- selinux: skip bounded transition processing if the policy
isn't loaded (bnc#1012628).
- selinux: ensure the context is NUL terminated in
security_context_to_sid_core() (bnc#1012628).
- ptr_ring: try vmalloc() when kmalloc() fails (bnc#1012628).
- ptr_ring: fail early if queue occupies more than
KMALLOC_MAX_SIZE (bnc#1012628).
- tun: fix tun_napi_alloc_frags() frag allocator (bnc#1012628).
- commit 71fd692
-------------------------------------------------------------------
Thu Feb 22 22:47:39 CET 2018 - jslaby@suse.cz
- powerpc/pseries: Add empty update_numa_cpu_lookup_table()
for NUMA=n (git-fixes).
- commit 4a82466
-------------------------------------------------------------------
Thu Feb 22 22:01:26 CET 2018 - jslaby@suse.cz
- Linux 4.15.5 (bnc#1012628).
- scsi: smartpqi: allow static build ("built-in") (bnc#1012628).
- IB/umad: Fix use of unprotected device pointer (bnc#1012628).
- IB/qib: Fix comparison error with qperf compare/swap test
(bnc#1012628).
- IB/mlx4: Fix incorrectly releasing steerable UD QPs when have
only ETH ports (bnc#1012628).
- IB/core: Fix two kernel warnings triggered by rxe registration
(bnc#1012628).
- IB/core: Fix ib_wc structure size to remain in 64 bytes boundary
(bnc#1012628).
- IB/core: Avoid a potential OOPs for an unused optional parameter
(bnc#1012628).
- selftests: seccomp: fix compile error seccomp_bpf (bnc#1012628).
- kselftest: fix OOM in memory compaction test (bnc#1012628).
- RDMA/rxe: Fix a race condition related to the QP error state
(bnc#1012628).
- RDMA/rxe: Fix a race condition in rxe_requester() (bnc#1012628).
- RDMA/rxe: Fix rxe_qp_cleanup() (bnc#1012628).
- cpufreq: powernv: Dont assume distinct pstate values for
nominal and pmin (bnc#1012628).
- swiotlb: suppress warning when __GFP_NOWARN is set
(bnc#1012628).
- PM / devfreq: Propagate error from devfreq_add_device()
(bnc#1012628).
- mwifiex: resolve reset vs. remove()/shutdown() deadlocks
(bnc#1012628).
- ocfs2: try a blocking lock before return AOP_TRUNCATED_PAGE
(bnc#1012628).
- trace_uprobe: Display correct offset in uprobe_events
(bnc#1012628).
- powerpc/radix: Remove trace_tlbie call from radix__flush_tlb_all
(bnc#1012628).
- powerpc/kernel: Block interrupts when updating TIDR
(bnc#1012628).
- powerpc/vas: Don't set uses_vas for kernel windows
(bnc#1012628).
- powerpc/numa: Invalidate numa_cpu_lookup_table on cpu remove
(bnc#1012628).
- powerpc/mm: Flush radix process translations when setting MMU
type (bnc#1012628).
- powerpc/xive: Use hw CPU ids when configuring the CPU queues
(bnc#1012628).
- dma-buf: fix reservation_object_wait_timeout_rcu once more v2
(bnc#1012628).
- s390: fix handling of -1 in set{,fs}[gu]id16 syscalls
(bnc#1012628).
- arm64: dts: msm8916: Correct ipc references for smsm
(bnc#1012628).
- ARM: lpc3250: fix uda1380 gpio numbers (bnc#1012628).
- ARM: dts: STi: Add gpio polarity for "hdmi,hpd-gpio" property
(bnc#1012628).
- ARM: dts: nomadik: add interrupt-parent for clcd (bnc#1012628).
- arm: dts: mt7623: fix card detection issue on bananapi-r2
(bnc#1012628).
- arm: spear600: Add missing interrupt-parent of rtc
(bnc#1012628).
- arm: spear13xx: Fix dmas cells (bnc#1012628).
- arm: spear13xx: Fix spics gpio controller's warning
(bnc#1012628).
- x86/gpu: add CFL to early quirks (bnc#1012628).
- x86/kexec: Make kexec (mostly) work in 5-level paging mode
(bnc#1012628).
- x86/xen: init %gs very early to avoid page faults with stack
protector (bnc#1012628).
- x86: PM: Make APM idle driver initialize polling state
(bnc#1012628).
- mm, memory_hotplug: fix memmap initialization (bnc#1012628).
- x86/entry/64: Clear extra registers beyond syscall arguments,
to reduce speculation attack surface (bnc#1012628).
- x86/entry/64/compat: Clear registers for compat syscalls,
to reduce speculation attack surface (bnc#1012628).
- compiler-gcc.h: Introduce __optimize function attribute
(bnc#1012628).
- compiler-gcc.h: __nostackprotector needs gcc-4.4 and up
(bnc#1012628).
- crypto: sun4i_ss_prng - fix return value of
sun4i_ss_prng_generate (bnc#1012628).
- crypto: sun4i_ss_prng - convert lock to _bh in
sun4i_ss_prng_generate (bnc#1012628).
- powerpc/mm/radix: Split linear mapping on hot-unplug
(bnc#1012628).
- x86/mm/pti: Fix PTI comment in entry_SYSCALL_64() (bnc#1012628).
- x86/speculation: Update Speculation Control microcode blacklist
(bnc#1012628).
- x86/speculation: Correct Speculation Control microcode blacklist
again (bnc#1012628).
- Revert "x86/speculation: Simplify
indirect_branch_prediction_barrier()" (bnc#1012628).
- KVM/x86: Reduce retpoline performance impact in
slot_handle_level_range(), by always inlining iterator helper
methods (bnc#1012628).
- X86/nVMX: Properly set spec_ctrl and pred_cmd before merging
MSRs (bnc#1012628).
- KVM/nVMX: Set the CPU_BASED_USE_MSR_BITMAPS if we have a valid
L02 MSR bitmap (bnc#1012628).
- x86/speculation: Clean up various Spectre related details
(bnc#1012628).
- PM / runtime: Update links_count also if !CONFIG_SRCU
(bnc#1012628).
- PM: cpuidle: Fix cpuidle_poll_state_init() prototype
(bnc#1012628).
- platform/x86: wmi: fix off-by-one write in wmi_dev_probe()
(bnc#1012628).
- x86/entry/64: Clear registers for exceptions/interrupts,
to reduce speculation attack surface (bnc#1012628).
- x86/entry/64: Merge SAVE_C_REGS and SAVE_EXTRA_REGS, remove
unused extensions (bnc#1012628).
- x86/entry/64: Merge the POP_C_REGS and POP_EXTRA_REGS macros
into a single POP_REGS macro (bnc#1012628).
- x86/entry/64: Interleave XOR register clearing with PUSH
instructions (bnc#1012628).
- x86/entry/64: Introduce the PUSH_AND_CLEAN_REGS macro
(bnc#1012628).
- x86/entry/64: Use PUSH_AND_CLEAN_REGS in more cases
(bnc#1012628).
- x86/entry/64: Get rid of the ALLOC_PT_GPREGS_ON_STACK and
SAVE_AND_CLEAR_REGS macros (bnc#1012628).
- x86/entry/64: Indent PUSH_AND_CLEAR_REGS and POP_REGS properly
(bnc#1012628).
- x86/entry/64: Fix paranoid_entry() frame pointer warning
(bnc#1012628).
- x86/entry/64: Remove the unused 'icebp' macro (bnc#1012628).
- selftests/x86: Fix vDSO selftest segfault for vsyscall=none
(bnc#1012628).
- selftests/x86: Clean up and document sscanf() usage
(bnc#1012628).
- selftests/x86/pkeys: Remove unused functions (bnc#1012628).
- selftests/x86: Fix build bug caused by the 5lvl test which
has been moved to the VM directory (bnc#1012628).
- selftests/x86: Do not rely on "int $0x80" in test_mremap_vdso.c
(bnc#1012628).
- gfs2: Fixes to "Implement iomap for block_map" (bnc#1012628).
- selftests/x86: Do not rely on "int $0x80" in
single_step_syscall.c (bnc#1012628).
- selftests/x86: Disable tests requiring 32-bit support on pure
64-bit systems (bnc#1012628).
- objtool: Fix segfault in ignore_unreachable_insn()
(bnc#1012628).
- x86/debug, objtool: Annotate WARN()-related UD2 as reachable
(bnc#1012628).
- x86/debug: Use UD2 for WARN() (bnc#1012628).
- x86/speculation: Fix up array_index_nospec_mask() asm constraint
(bnc#1012628).
- nospec: Move array_index_nospec() parameter checking into
separate macro (bnc#1012628).
- x86/speculation: Add <asm/msr-index.h> dependency (bnc#1012628).
- x86/mm: Rename flush_tlb_single() and flush_tlb_one() to
__flush_tlb_one_[user|kernel]() (bnc#1012628).
- selftests/x86/mpx: Fix incorrect bounds with old _sigfault
(bnc#1012628).
- x86/cpu: Rename cpu_data.x86_mask to cpu_data.x86_stepping
(bnc#1012628).
- x86/spectre: Fix an error message (bnc#1012628).
- x86/cpu: Change type of x86_cache_size variable to unsigned int
(bnc#1012628).
- x86/entry/64: Fix CR3 restore in paranoid_exit() (bnc#1012628).
- drm/ttm: Don't add swapped BOs to swap-LRU list (bnc#1012628).
- drm/ttm: Fix 'buf' pointer update in ttm_bo_vm_access_kmap()
(v2) (bnc#1012628).
- drm/qxl: unref cursor bo when finished with it (bnc#1012628).
- drm/qxl: reapply cursor after resetting primary (bnc#1012628).
- drm/amd/powerplay: Fix smu_table_entry.handle type
(bnc#1012628).
- drm/ast: Load lut in crtc_commit (bnc#1012628).
- drm: Check for lessee in DROP_MASTER ioctl (bnc#1012628).
- arm64: Add missing Falkor part number for branch predictor
hardening (bnc#1012628).
- drm/radeon: Add dpm quirk for Jet PRO (v2) (bnc#1012628).
- drm/radeon: adjust tested variable (bnc#1012628).
- x86/smpboot: Fix uncore_pci_remove() indexing bug when
hot-removing a physical CPU (bnc#1012628).
- rtc-opal: Fix handling of firmware error codes, prevent busy
loops (bnc#1012628).
- mbcache: initialize entry->e_referenced in
mb_cache_entry_create() (bnc#1012628).
- mmc: sdhci: Implement an SDHCI-specific bounce buffer
(bnc#1012628).
- mmc: bcm2835: Don't overwrite max frequency unconditionally
(bnc#1012628).
- Revert "mmc: meson-gx: include tx phase in the tuning process"
(bnc#1012628).
- mlx5: fix mlx5_get_vector_affinity to start from completion
vector 0 (bnc#1012628).
- Revert "apple-gmux: lock iGP IO to protect from vgaarb changes"
(bnc#1012628).
- jbd2: fix sphinx kernel-doc build warnings (bnc#1012628).
- ext4: fix a race in the ext4 shutdown path (bnc#1012628).
- ext4: save error to disk in __ext4_grp_locked_error()
(bnc#1012628).
- ext4: correct documentation for grpid mount option
(bnc#1012628).
- mm: hide a #warning for COMPILE_TEST (bnc#1012628).
- mm: Fix memory size alignment in devm_memremap_pages_release()
(bnc#1012628).
- MIPS: Fix typo BIG_ENDIAN to CPU_BIG_ENDIAN (bnc#1012628).
- MIPS: CPS: Fix MIPS_ISA_LEVEL_RAW fallout (bnc#1012628).
- MIPS: Fix incorrect mem=X@Y handling (bnc#1012628).
- PCI: Disable MSI for HiSilicon Hip06/Hip07 only in Root Port
mode (bnc#1012628).
- PCI: iproc: Fix NULL pointer dereference for BCMA (bnc#1012628).
- PCI: pciehp: Assume NoCompl+ for Thunderbolt ports
(bnc#1012628).
- PCI: keystone: Fix interrupt-controller-node lookup
(bnc#1012628).
- video: fbdev: atmel_lcdfb: fix display-timings lookup
(bnc#1012628).
- console/dummy: leave .con_font_get set to NULL (bnc#1012628).
- rbd: whitelist RBD_FEATURE_OPERATIONS feature bit (bnc#1012628).
- xen: Fix {set,clear}_foreign_p2m_mapping on autotranslating
guests (bnc#1012628).
- xenbus: track caller request id (bnc#1012628).
- seq_file: fix incomplete reset on read from zero offset
(bnc#1012628).
- tracing: Fix parsing of globs with a wildcard at the beginning
(bnc#1012628).
- mpls, nospec: Sanitize array index in mpls_label_ok()
(bnc#1012628).
- rtlwifi: rtl8821ae: Fix connection lost problem correctly
(bnc#1012628).
- arm64: proc: Set PTE_NG for table entries to avoid traversing
them twice (bnc#1012628).
- xprtrdma: Fix calculation of ri_max_send_sges (bnc#1012628).
- xprtrdma: Fix BUG after a device removal (bnc#1012628).
- blk-wbt: account flush requests correctly (bnc#1012628).
- target/iscsi: avoid NULL dereference in CHAP auth error path
(bnc#1012628).
- iscsi-target: make sure to wake up sleeping login worker
(bnc#1012628).
- dm: correctly handle chained bios in dec_pending()
(bnc#1012628).
- Btrfs: fix deadlock in run_delalloc_nocow (bnc#1012628).
- Btrfs: fix crash due to not cleaning up tree log block's dirty
bits (bnc#1012628).
- Btrfs: fix extent state leak from tree log (bnc#1012628).
- Btrfs: fix use-after-free on root->orphan_block_rsv
(bnc#1012628).
- Btrfs: fix unexpected -EEXIST when creating new inode
(bnc#1012628).
- 9p/trans_virtio: discard zero-length reply (bnc#1012628).
- mtd: nand: vf610: set correct ooblayout (bnc#1012628).
- ALSA: hda - Fix headset mic detection problem for two Dell
machines (bnc#1012628).
- ALSA: usb-audio: Fix UAC2 get_ctl request with a RANGE attribute
(bnc#1012628).
- ALSA: hda/realtek - Add headset mode support for Dell laptop
(bnc#1012628).
- ALSA: hda/realtek - Enable Thinkpad Dock device for ALC298
platform (bnc#1012628).
- ALSA: hda/realtek: PCI quirk for Fujitsu U7x7 (bnc#1012628).
- ALSA: usb-audio: add implicit fb quirk for Behringer UFX1204
(bnc#1012628).
- ALSA: usb: add more device quirks for USB DSD devices
(bnc#1012628).
- ALSA: seq: Fix racy pool initializations (bnc#1012628).
- mvpp2: fix multicast address filter (bnc#1012628).
- usb: Move USB_UHCI_BIG_ENDIAN_* out of USB_SUPPORT
(bnc#1012628).
- x86/mm, mm/hwpoison: Don't unconditionally unmap kernel 1:1
pages (bnc#1012628).
- ARM: dts: exynos: fix RTC interrupt for exynos5410
(bnc#1012628).
- ARM: pxa/tosa-bt: add MODULE_LICENSE tag (bnc#1012628).
- arm64: dts: msm8916: Add missing #phy-cells (bnc#1012628).
- ARM: dts: s5pv210: add interrupt-parent for ohci (bnc#1012628).
- arm: dts: mt7623: Update ethsys binding (bnc#1012628).
- arm: dts: mt2701: Add reset-cells (bnc#1012628).
- ARM: dts: Delete bogus reference to the charlcd (bnc#1012628).
- media: r820t: fix r820t_write_reg for KASAN (bnc#1012628).
- mmc: sdhci-of-esdhc: fix eMMC couldn't work after kexec
(bnc#1012628).
- mmc: sdhci-of-esdhc: fix the mmc error after sleep on ls1046ardb
(bnc#1012628).
- Refresh
patches.suse/0001-x86-speculation-Add-basic-IBRS-support-infrastructur.patch.
- Refresh
patches.suse/0002-x86-speculation-Add-inlines-to-control-Indirect-Bran.patch.
- Refresh
patches.suse/0005-x86-enter-Use-IBRS-on-syscall-and-interrupts.patch.
- commit 078aac5
-------------------------------------------------------------------
Thu Feb 22 18:02:01 CET 2018 - lpechacek@suse.com
- rpm/kernel-spec-macros: Try harder to detect Build Service environment (bsc#1078788)
- commit a5f1a4a
-------------------------------------------------------------------
Wed Feb 21 19:58:12 CET 2018 - msuchanek@suse.de
- kernel-binary undefine unique_debug_names
Some tools do not understand names like
usr/lib/debug/boot/vmlinux-4.12.14-11.10-default-4.12.14-11.10.ppc64le.debug
- commit 2b90c1e
-------------------------------------------------------------------
Tue Feb 20 03:32:14 CET 2018 - jeffm@suse.com
- config: enable IMA and EVM
- commit 8c97198
-------------------------------------------------------------------
Sun Feb 18 18:33:25 CET 2018 - afaerber@suse.de
- config: arm64: Enable MAX77620 for Nvidia Jetson TX1 (boo#1081473)
- commit 5cbffaf
-------------------------------------------------------------------
Sat Feb 17 09:41:04 CET 2018 - jslaby@suse.cz
- Linux 4.15.4 (bnc#1012628).
- watchdog: indydog: Add dependency on SGI_HAS_INDYDOG
(bnc#1012628).
- cifs: Fix missing put_xid in cifs_file_strict_mmap
(bnc#1012628).
- cifs: Fix autonegotiate security settings mismatch
(bnc#1012628).
- CIFS: zero sensitive data when freeing (bnc#1012628).
- cpufreq: mediatek: add mediatek related projects into blacklist
(bnc#1012628).
- dmaengine: dmatest: fix container_of member in dmatest_callback
(bnc#1012628).
- ssb: Do not disable PCI host on non-Mips (bnc#1012628).
- watchdog: gpio_wdt: set WDOG_HW_RUNNING in gpio_wdt_stop
(bnc#1012628).
- Revert "drm/i915: mark all device info struct with __initconst"
(bnc#1012628).
- sched/rt: Use container_of() to get root domain in
rto_push_irq_work_func() (bnc#1012628).
- sched/rt: Up the root domain ref count when passing it around
via IPIs (bnc#1012628).
- media: dvb-usb-v2: lmedm04: Improve logic checking of warm start
(bnc#1012628).
- media: dvb-usb-v2: lmedm04: move ts2020 attach to
dm04_lme2510_tuner (bnc#1012628).
- media: hdpvr: Fix an error handling path in hdpvr_probe()
(bnc#1012628).
- arm64: cpu_errata: Add Kryo to Falkor 1003 errata (bnc#1012628).
- arm64: kpti: Make use of nG dependent on
arm64_kernel_unmapped_at_el0() (bnc#1012628).
- arm64: mm: Permit transitioning from Global to Non-Global
without BBM (bnc#1012628).
- arm64: kpti: Add ->enable callback to remap swapper using nG
mappings (bnc#1012628).
- arm64: Force KPTI to be disabled on Cavium ThunderX
(bnc#1012628).
- arm64: entry: Reword comment about post_ttbr_update_workaround
(bnc#1012628).
- arm64: idmap: Use "awx" flags for .idmap.text .pushsection
directives (bnc#1012628).
- arm64: barrier: Add CSDB macros to control data-value prediction
(bnc#1012628).
- arm64: Implement array_index_mask_nospec() (bnc#1012628).
- arm64: Make USER_DS an inclusive limit (bnc#1012628).
- arm64: Use pointer masking to limit uaccess speculation
(bnc#1012628).
- arm64: entry: Ensure branch through syscall table is bounded
under speculation (bnc#1012628).
- arm64: uaccess: Prevent speculative use of the current
addr_limit (bnc#1012628).
- arm64: uaccess: Don't bother eliding access_ok checks in __{get,
put}_user (bnc#1012628).
- arm64: uaccess: Mask __user pointers for __arch_{clear,
copy_*}_user (bnc#1012628).
- arm64: futex: Mask __user pointers prior to dereference
(bnc#1012628).
- arm64: cpufeature: __this_cpu_has_cap() shouldn't stop early
(bnc#1012628).
- arm64: entry: Apply BP hardening for high-priority synchronous
exceptions (bnc#1012628).
- arm64: entry: Apply BP hardening for suspicious interrupts
from EL0 (bnc#1012628).
- arm64: KVM: Increment PC after handling an SMC trap
(bnc#1012628).
- arm/arm64: KVM: Consolidate the PSCI include files
(bnc#1012628).
- arm/arm64: KVM: Add PSCI_VERSION helper (bnc#1012628).
- arm/arm64: KVM: Add smccc accessors to PSCI code (bnc#1012628).
- arm/arm64: KVM: Implement PSCI 1.0 support (bnc#1012628).
- arm/arm64: KVM: Advertise SMCCC v1.1 (bnc#1012628).
- arm/arm64: KVM: Turn kvm_psci_version into a static inline
(bnc#1012628).
- arm64: KVM: Report SMCCC_ARCH_WORKAROUND_1 BP hardening support
(bnc#1012628).
- arm64: KVM: Add SMCCC_ARCH_WORKAROUND_1 fast handling
(bnc#1012628).
- firmware/psci: Expose PSCI conduit (bnc#1012628).
- firmware/psci: Expose SMCCC version through psci_ops
(bnc#1012628).
- arm/arm64: smccc: Make function identifiers an unsigned quantity
(bnc#1012628).
- arm/arm64: smccc: Implement SMCCC v1.1 inline primitive
(bnc#1012628).
- arm64: Add ARM_SMCCC_ARCH_WORKAROUND_1 BP hardening support
(bnc#1012628).
- arm64: Kill PSCI_GET_VERSION as a variant-2 workaround
(bnc#1012628).
- mtd: cfi: convert inline functions to macros (bnc#1012628).
- mtd: nand: brcmnand: Disable prefetch by default (bnc#1012628).
- mtd: nand: Fix nand_do_read_oob() return value (bnc#1012628).
- mtd: nand: sunxi: Fix ECC strength choice (bnc#1012628).
- ubi: Fix race condition between ubi volume creation and udev
(bnc#1012628).
- ubi: fastmap: Erase outdated anchor PEBs during attach
(bnc#1012628).
- ubi: block: Fix locking for idr_alloc/idr_remove (bnc#1012628).
- ubifs: free the encrypted symlink target (bnc#1012628).
- nfs/pnfs: fix nfs_direct_req ref leak when i/o falls back to
the mds (bnc#1012628).
- nfs41: do not return ENOMEM on LAYOUTUNAVAILABLE (bnc#1012628).
- NFS: Add a cond_resched() to nfs_commit_release_pages()
(bnc#1012628).
- NFS: Fix nfsstat breakage due to LOOKUPP (bnc#1012628).
- NFS: commit direct writes even if they fail partially
(bnc#1012628).
- NFS: reject request for id_legacy key without auxdata
(bnc#1012628).
- NFS: Fix a race between mmap() and O_DIRECT (bnc#1012628).
- nfsd: Detect unhashed stids in nfsd4_verify_open_stid()
(bnc#1012628).
- kernfs: fix regression in kernfs_fop_write caused by wrong type
(bnc#1012628).
- ahci: Annotate PCI ids for mobile Intel chipsets as such
(bnc#1012628).
- ahci: Add PCI ids for Intel Bay Trail, Cherry Trail and Apollo
Lake AHCI (bnc#1012628).
- ahci: Add Intel Cannon Lake PCH-H PCI ID (bnc#1012628).
- crypto: hash - introduce crypto_hash_alg_has_setkey()
(bnc#1012628).
- crypto: cryptd - pass through absence of ->setkey()
(bnc#1012628).
- crypto: mcryptd - pass through absence of ->setkey()
(bnc#1012628).
- crypto: poly1305 - remove ->setkey() method (bnc#1012628).
- crypto: hash - annotate algorithms taking optional key
(bnc#1012628).
- crypto: hash - prevent using keyed hashes without setting key
(bnc#1012628).
- media: v4l2-ioctl.c: use check_fmt for enum/g/s/try_fmt
(bnc#1012628).
- media: v4l2-ioctl.c: don't copy back the result for -ENOTTY
(bnc#1012628).
- media: v4l2-compat-ioctl32.c: add missing VIDIOC_PREPARE_BUF
(bnc#1012628).
- media: v4l2-compat-ioctl32.c: fix the indentation (bnc#1012628).
- media: v4l2-compat-ioctl32.c: move 'helper' functions to
__get/put_v4l2_format32 (bnc#1012628).
- media: v4l2-compat-ioctl32.c: avoid sizeof(type) (bnc#1012628).
- media: v4l2-compat-ioctl32.c: copy m.userptr in put_v4l2_plane32
(bnc#1012628).
- media: v4l2-compat-ioctl32.c: fix ctrl_is_pointer (bnc#1012628).
- media: v4l2-compat-ioctl32.c: copy clip list in
put_v4l2_window32 (bnc#1012628).
- media: v4l2-compat-ioctl32.c: drop pr_info for unknown buffer
type (bnc#1012628).
- media: v4l2-compat-ioctl32.c: don't copy back the result for
certain errors (bnc#1012628).
- media: v4l2-compat-ioctl32.c: refactor compat ioctl32 logic
(bnc#1012628).
- media: v4l2-compat-ioctl32.c: make ctrl_is_pointer work for
subdevs (bnc#1012628).
- crypto: caam - fix endless loop when DECO acquire fails
(bnc#1012628).
- crypto: sha512-mb - initialize pending lengths correctly
(bnc#1012628).
- crypto: talitos - fix Kernel Oops on hashing an empty file
(bnc#1012628).
- arm: KVM: Fix SMCCC handling of unimplemented SMC/HVC calls
(bnc#1012628).
- KVM: nVMX: Fix races when sending nested PI while dest
enters/leaves L2 (bnc#1012628).
- KVM: nVMX: Fix bug of injecting L2 exception into L1
(bnc#1012628).
- KVM: PPC: Book3S HV: Make sure we don't re-enter guest without
XIVE loaded (bnc#1012628).
- KVM: PPC: Book3S HV: Drop locks before reading guest memory
(bnc#1012628).
- KVM: arm/arm64: Handle CPU_PM_ENTER_FAILED (bnc#1012628).
- KVM: PPC: Book3S PR: Fix broken select due to misspelling
(bnc#1012628).
- ASoC: acpi: fix machine driver selection based on quirk
(bnc#1012628).
- ASoC: rockchip: i2s: fix playback after runtime resume
(bnc#1012628).
- ASoC: skl: Fix kernel warning due to zero NHTL entry
(bnc#1012628).
- ASoC: compress: Correct handling of copy callback (bnc#1012628).
- watchdog: imx2_wdt: restore previous timeout after
suspend+resume (bnc#1012628).
- afs: Add missing afs_put_cell() (bnc#1012628).
- afs: Need to clear responded flag in addr cursor (bnc#1012628).
- afs: Fix missing cursor clearance (bnc#1012628).
- afs: Fix server list handling (bnc#1012628).
- btrfs: Handle btrfs_set_extent_delalloc failure in fixup worker
(bnc#1012628).
- Btrfs: raid56: iterate raid56 internal bio with
bio_for_each_segment_all (bnc#1012628).
- kasan: don't emit builtin calls when sanitization is off
(bnc#1012628).
- kasan: rework Kconfig settings (bnc#1012628).
- media: dvb_frontend: be sure to init dvb_frontend_handle_ioctl()
return code (bnc#1012628).
- media: dvb-frontends: fix i2c access helpers for KASAN
(bnc#1012628).
- media: dt-bindings/media/cec-gpio.txt: mention the CEC/HPD
max voltages (bnc#1012628).
- media: ts2020: avoid integer overflows on 32 bit machines
(bnc#1012628).
- media: vivid: fix module load error when enabling fb and
no_error_inj=1 (bnc#1012628).
- media: cxusb, dib0700: ignore XC2028_I2C_FLUSH (bnc#1012628).
- fs/proc/kcore.c: use probe_kernel_read() instead of memcpy()
(bnc#1012628).
- kernel/async.c: revert "async: simplify lowest_in_progress()"
(bnc#1012628).
- kernel/relay.c: revert "kernel/relay.c: fix potential memory
leak" (bnc#1012628).
- pipe: actually allow root to exceed the pipe buffer limits
(bnc#1012628).
- pipe: fix off-by-one error when checking buffer limits
(bnc#1012628).
- HID: quirks: Fix keyboard + touchpad on Toshiba Click Mini
not working (bnc#1012628).
- Bluetooth: btsdio: Do not bind to non-removable BCM43341
(bnc#1012628).
- Revert "Bluetooth: btusb: fix QCA Rome suspend/resume"
(bnc#1012628).
- Bluetooth: btusb: Restore QCA Rome suspend/resume fix with a
"rewritten" version (bnc#1012628).
- ipmi: use dynamic memory for DMI driver override (bnc#1012628).
- signal/openrisc: Fix do_unaligned_access to send the proper
signal (bnc#1012628).
- signal/sh: Ensure si_signo is initialized in do_divide_error
(bnc#1012628).
- alpha: fix crash if pthread_create races with signal delivery
(bnc#1012628).
- alpha: osf_sys.c: fix put_tv32 regression (bnc#1012628).
- alpha: Fix mixed up args in EXC macro in futex operations
(bnc#1012628).
- alpha: fix reboot on Avanti platform (bnc#1012628).
- alpha: fix formating of stack content (bnc#1012628).
- xtensa: fix futex_atomic_cmpxchg_inatomic (bnc#1012628).
- EDAC, octeon: Fix an uninitialized variable warning
(bnc#1012628).
- genirq: Make legacy autoprobing work again (bnc#1012628).
- pinctrl: intel: Initialize GPIO properly when used through
irqchip (bnc#1012628).
- pinctrl: mcp23s08: fix irq setup order (bnc#1012628).
- pinctrl: sx150x: Unregister the pinctrl on release
(bnc#1012628).
- pinctrl: sx150x: Register pinctrl before adding the gpiochip
(bnc#1012628).
- pinctrl: sx150x: Add a static gpio/pinctrl pin range mapping
(bnc#1012628).
- pktcdvd: Fix pkt_setup_dev() error path (bnc#1012628).
- pktcdvd: Fix a recently introduced NULL pointer dereference
(bnc#1012628).
- blk-mq: quiesce queue before freeing queue (bnc#1012628).
- clocksource/drivers/stm32: Fix kernel panic with multiple timers
(bnc#1012628).
- lib/ubsan.c: s/missaligned/misaligned/ (bnc#1012628).
- lib/ubsan: add type mismatch handler for new GCC/Clang
(bnc#1012628).
- objtool: Fix switch-table detection (bnc#1012628).
- arm64: dts: marvell: add Ethernet aliases (bnc#1012628).
- drm/i915: Avoid PPS HW/SW state mismatch due to rounding
(bnc#1012628).
- ACPI: sbshc: remove raw pointer from printk() message
(bnc#1012628).
- acpi, nfit: fix register dimm error handling (bnc#1012628).
- ovl: force r/o mount when index dir creation fails
(bnc#1012628).
- ovl: fix failure to fsync lower dir (bnc#1012628).
- ovl: take mnt_want_write() for work/index dir setup
(bnc#1012628).
- ovl: take mnt_want_write() for removing impure xattr
(bnc#1012628).
- ovl: hash directory inodes for fsnotify (bnc#1012628).
- mn10300/misalignment: Use SIGSEGV SEGV_MAPERR to report a
failed user copy (bnc#1012628).
- devpts: fix error handling in devpts_mntget() (bnc#1012628).
- ftrace: Remove incorrect setting of glob search field
(bnc#1012628).
- scsi: core: Ensure that the SCSI error handler gets woken up
(bnc#1012628).
- scsi: lpfc: Fix crash after bad bar setup on driver attachment
(bnc#1012628).
- scsi: cxlflash: Reset command ioasc (bnc#1012628).
- rcu: Export init_rcu_head() and destroy_rcu_head() to GPL
modules (bnc#1012628).
- media: dvb_frontend: fix return error code (git-fixes).
- mtd: ubi: wl: Fix error return code in ubi_wl_init()
(git-fixes).
- Update config files.
- Linux 4.15.4 (bnc#1012628).
- watchdog: indydog: Add dependency on SGI_HAS_INDYDOG
(bnc#1012628).
- cifs: Fix missing put_xid in cifs_file_strict_mmap
(bnc#1012628).
- cifs: Fix autonegotiate security settings mismatch
(bnc#1012628).
- CIFS: zero sensitive data when freeing (bnc#1012628).
- cpufreq: mediatek: add mediatek related projects into blacklist
(bnc#1012628).
- dmaengine: dmatest: fix container_of member in dmatest_callback
(bnc#1012628).
- ssb: Do not disable PCI host on non-Mips (bnc#1012628).
- watchdog: gpio_wdt: set WDOG_HW_RUNNING in gpio_wdt_stop
(bnc#1012628).
- Revert "drm/i915: mark all device info struct with __initconst"
(bnc#1012628).
- sched/rt: Use container_of() to get root domain in
rto_push_irq_work_func() (bnc#1012628).
- sched/rt: Up the root domain ref count when passing it around
via IPIs (bnc#1012628).
- media: dvb-usb-v2: lmedm04: Improve logic checking of warm start
(bnc#1012628).
- media: dvb-usb-v2: lmedm04: move ts2020 attach to
dm04_lme2510_tuner (bnc#1012628).
- media: hdpvr: Fix an error handling path in hdpvr_probe()
(bnc#1012628).
- arm64: cpu_errata: Add Kryo to Falkor 1003 errata (bnc#1012628).
- arm64: kpti: Make use of nG dependent on
arm64_kernel_unmapped_at_el0() (bnc#1012628).
- arm64: mm: Permit transitioning from Global to Non-Global
without BBM (bnc#1012628).
- arm64: kpti: Add ->enable callback to remap swapper using nG
mappings (bnc#1012628).
- arm64: Force KPTI to be disabled on Cavium ThunderX
(bnc#1012628).
- arm64: entry: Reword comment about post_ttbr_update_workaround
(bnc#1012628).
- arm64: idmap: Use "awx" flags for .idmap.text .pushsection
directives (bnc#1012628).
- arm64: barrier: Add CSDB macros to control data-value prediction
(bnc#1012628).
- arm64: Implement array_index_mask_nospec() (bnc#1012628).
- arm64: Make USER_DS an inclusive limit (bnc#1012628).
- arm64: Use pointer masking to limit uaccess speculation
(bnc#1012628).
- arm64: entry: Ensure branch through syscall table is bounded
under speculation (bnc#1012628).
- arm64: uaccess: Prevent speculative use of the current
addr_limit (bnc#1012628).
- arm64: uaccess: Don't bother eliding access_ok checks in __{get,
put}_user (bnc#1012628).
- arm64: uaccess: Mask __user pointers for __arch_{clear,
copy_*}_user (bnc#1012628).
- arm64: futex: Mask __user pointers prior to dereference
(bnc#1012628).
- arm64: cpufeature: __this_cpu_has_cap() shouldn't stop early
(bnc#1012628).
- arm64: entry: Apply BP hardening for high-priority synchronous
exceptions (bnc#1012628).
- arm64: entry: Apply BP hardening for suspicious interrupts
from EL0 (bnc#1012628).
- arm64: KVM: Increment PC after handling an SMC trap
(bnc#1012628).
- arm/arm64: KVM: Consolidate the PSCI include files
(bnc#1012628).
- arm/arm64: KVM: Add PSCI_VERSION helper (bnc#1012628).
- arm/arm64: KVM: Add smccc accessors to PSCI code (bnc#1012628).
- arm/arm64: KVM: Implement PSCI 1.0 support (bnc#1012628).
- arm/arm64: KVM: Advertise SMCCC v1.1 (bnc#1012628).
- arm/arm64: KVM: Turn kvm_psci_version into a static inline
(bnc#1012628).
- arm64: KVM: Report SMCCC_ARCH_WORKAROUND_1 BP hardening support
(bnc#1012628).
- arm64: KVM: Add SMCCC_ARCH_WORKAROUND_1 fast handling
(bnc#1012628).
- firmware/psci: Expose PSCI conduit (bnc#1012628).
- firmware/psci: Expose SMCCC version through psci_ops
(bnc#1012628).
- arm/arm64: smccc: Make function identifiers an unsigned quantity
(bnc#1012628).
- arm/arm64: smccc: Implement SMCCC v1.1 inline primitive
(bnc#1012628).
- arm64: Add ARM_SMCCC_ARCH_WORKAROUND_1 BP hardening support
(bnc#1012628).
- arm64: Kill PSCI_GET_VERSION as a variant-2 workaround
(bnc#1012628).
- mtd: cfi: convert inline functions to macros (bnc#1012628).
- mtd: nand: brcmnand: Disable prefetch by default (bnc#1012628).
- mtd: nand: Fix nand_do_read_oob() return value (bnc#1012628).
- mtd: nand: sunxi: Fix ECC strength choice (bnc#1012628).
- ubi: Fix race condition between ubi volume creation and udev
(bnc#1012628).
- ubi: fastmap: Erase outdated anchor PEBs during attach
(bnc#1012628).
- ubi: block: Fix locking for idr_alloc/idr_remove (bnc#1012628).
- ubifs: free the encrypted symlink target (bnc#1012628).
- nfs/pnfs: fix nfs_direct_req ref leak when i/o falls back to
the mds (bnc#1012628).
- nfs41: do not return ENOMEM on LAYOUTUNAVAILABLE (bnc#1012628).
- NFS: Add a cond_resched() to nfs_commit_release_pages()
(bnc#1012628).
- NFS: Fix nfsstat breakage due to LOOKUPP (bnc#1012628).
- NFS: commit direct writes even if they fail partially
(bnc#1012628).
- NFS: reject request for id_legacy key without auxdata
(bnc#1012628).
- NFS: Fix a race between mmap() and O_DIRECT (bnc#1012628).
- nfsd: Detect unhashed stids in nfsd4_verify_open_stid()
(bnc#1012628).
- kernfs: fix regression in kernfs_fop_write caused by wrong type
(bnc#1012628).
- ahci: Annotate PCI ids for mobile Intel chipsets as such
(bnc#1012628).
- ahci: Add PCI ids for Intel Bay Trail, Cherry Trail and Apollo
Lake AHCI (bnc#1012628).
- ahci: Add Intel Cannon Lake PCH-H PCI ID (bnc#1012628).
- crypto: hash - introduce crypto_hash_alg_has_setkey()
(bnc#1012628).
- crypto: cryptd - pass through absence of ->setkey()
(bnc#1012628).
- crypto: mcryptd - pass through absence of ->setkey()
(bnc#1012628).
- crypto: poly1305 - remove ->setkey() method (bnc#1012628).
- crypto: hash - annotate algorithms taking optional key
(bnc#1012628).
- crypto: hash - prevent using keyed hashes without setting key
(bnc#1012628).
- media: v4l2-ioctl.c: use check_fmt for enum/g/s/try_fmt
(bnc#1012628).
- media: v4l2-ioctl.c: don't copy back the result for -ENOTTY
(bnc#1012628).
- media: v4l2-compat-ioctl32.c: add missing VIDIOC_PREPARE_BUF
(bnc#1012628).
- media: v4l2-compat-ioctl32.c: fix the indentation (bnc#1012628).
- media: v4l2-compat-ioctl32.c: move 'helper' functions to
__get/put_v4l2_format32 (bnc#1012628).
- media: v4l2-compat-ioctl32.c: avoid sizeof(type) (bnc#1012628).
- media: v4l2-compat-ioctl32.c: copy m.userptr in put_v4l2_plane32
(bnc#1012628).
- media: v4l2-compat-ioctl32.c: fix ctrl_is_pointer (bnc#1012628).
- media: v4l2-compat-ioctl32.c: copy clip list in
put_v4l2_window32 (bnc#1012628).
- media: v4l2-compat-ioctl32.c: drop pr_info for unknown buffer
type (bnc#1012628).
- media: v4l2-compat-ioctl32.c: don't copy back the result for
certain errors (bnc#1012628).
- media: v4l2-compat-ioctl32.c: refactor compat ioctl32 logic
(bnc#1012628).
- media: v4l2-compat-ioctl32.c: make ctrl_is_pointer work for
subdevs (bnc#1012628).
- crypto: caam - fix endless loop when DECO acquire fails
(bnc#1012628).
- crypto: sha512-mb - initialize pending lengths correctly
(bnc#1012628).
- crypto: talitos - fix Kernel Oops on hashing an empty file
(bnc#1012628).
- arm: KVM: Fix SMCCC handling of unimplemented SMC/HVC calls
(bnc#1012628).
- KVM: nVMX: Fix races when sending nested PI while dest
enters/leaves L2 (bnc#1012628).
- KVM: nVMX: Fix bug of injecting L2 exception into L1
(bnc#1012628).
- KVM: PPC: Book3S HV: Make sure we don't re-enter guest without
XIVE loaded (bnc#1012628).
- KVM: PPC: Book3S HV: Drop locks before reading guest memory
(bnc#1012628).
- KVM: arm/arm64: Handle CPU_PM_ENTER_FAILED (bnc#1012628).
- KVM: PPC: Book3S PR: Fix broken select due to misspelling
(bnc#1012628).
- ASoC: acpi: fix machine driver selection based on quirk
(bnc#1012628).
- ASoC: rockchip: i2s: fix playback after runtime resume
(bnc#1012628).
- ASoC: skl: Fix kernel warning due to zero NHTL entry
(bnc#1012628).
- ASoC: compress: Correct handling of copy callback (bnc#1012628).
- watchdog: imx2_wdt: restore previous timeout after
suspend+resume (bnc#1012628).
- afs: Add missing afs_put_cell() (bnc#1012628).
- afs: Need to clear responded flag in addr cursor (bnc#1012628).
- afs: Fix missing cursor clearance (bnc#1012628).
- afs: Fix server list handling (bnc#1012628).
- btrfs: Handle btrfs_set_extent_delalloc failure in fixup worker
(bnc#1012628).
- Btrfs: raid56: iterate raid56 internal bio with
bio_for_each_segment_all (bnc#1012628).
- kasan: don't emit builtin calls when sanitization is off
(bnc#1012628).
- kasan: rework Kconfig settings (bnc#1012628).
- media: dvb_frontend: be sure to init dvb_frontend_handle_ioctl()
return code (bnc#1012628).
- media: dvb-frontends: fix i2c access helpers for KASAN
(bnc#1012628).
- media: dt-bindings/media/cec-gpio.txt: mention the CEC/HPD
max voltages (bnc#1012628).
- media: ts2020: avoid integer overflows on 32 bit machines
(bnc#1012628).
- media: vivid: fix module load error when enabling fb and
no_error_inj=1 (bnc#1012628).
- media: cxusb, dib0700: ignore XC2028_I2C_FLUSH (bnc#1012628).
- fs/proc/kcore.c: use probe_kernel_read() instead of memcpy()
(bnc#1012628).
- kernel/async.c: revert "async: simplify lowest_in_progress()"
(bnc#1012628).
- kernel/relay.c: revert "kernel/relay.c: fix potential memory
leak" (bnc#1012628).
- pipe: actually allow root to exceed the pipe buffer limits
(bnc#1012628).
- pipe: fix off-by-one error when checking buffer limits
(bnc#1012628).
- HID: quirks: Fix keyboard + touchpad on Toshiba Click Mini
not working (bnc#1012628).
- Bluetooth: btsdio: Do not bind to non-removable BCM43341
(bnc#1012628).
- Revert "Bluetooth: btusb: fix QCA Rome suspend/resume"
(bnc#1012628).
- Bluetooth: btusb: Restore QCA Rome suspend/resume fix with a
"rewritten" version (bnc#1012628).
- ipmi: use dynamic memory for DMI driver override (bnc#1012628).
- signal/openrisc: Fix do_unaligned_access to send the proper
signal (bnc#1012628).
- signal/sh: Ensure si_signo is initialized in do_divide_error
(bnc#1012628).
- alpha: fix crash if pthread_create races with signal delivery
(bnc#1012628).
- alpha: osf_sys.c: fix put_tv32 regression (bnc#1012628).
- alpha: Fix mixed up args in EXC macro in futex operations
(bnc#1012628).
- alpha: fix reboot on Avanti platform (bnc#1012628).
- alpha: fix formating of stack content (bnc#1012628).
- xtensa: fix futex_atomic_cmpxchg_inatomic (bnc#1012628).
- EDAC, octeon: Fix an uninitialized variable warning
(bnc#1012628).
- genirq: Make legacy autoprobing work again (bnc#1012628).
- pinctrl: intel: Initialize GPIO properly when used through
irqchip (bnc#1012628).
- pinctrl: mcp23s08: fix irq setup order (bnc#1012628).
- pinctrl: sx150x: Unregister the pinctrl on release
(bnc#1012628).
- pinctrl: sx150x: Register pinctrl before adding the gpiochip
(bnc#1012628).
- pinctrl: sx150x: Add a static gpio/pinctrl pin range mapping
(bnc#1012628).
- pktcdvd: Fix pkt_setup_dev() error path (bnc#1012628).
- pktcdvd: Fix a recently introduced NULL pointer dereference
(bnc#1012628).
- blk-mq: quiesce queue before freeing queue (bnc#1012628).
- clocksource/drivers/stm32: Fix kernel panic with multiple timers
(bnc#1012628).
- lib/ubsan.c: s/missaligned/misaligned/ (bnc#1012628).
- lib/ubsan: add type mismatch handler for new GCC/Clang
(bnc#1012628).
- objtool: Fix switch-table detection (bnc#1012628).
- arm64: dts: marvell: add Ethernet aliases (bnc#1012628).
- drm/i915: Avoid PPS HW/SW state mismatch due to rounding
(bnc#1012628).
- ACPI: sbshc: remove raw pointer from printk() message
(bnc#1012628).
- acpi, nfit: fix register dimm error handling (bnc#1012628).
- ovl: force r/o mount when index dir creation fails
(bnc#1012628).
- ovl: fix failure to fsync lower dir (bnc#1012628).
- ovl: take mnt_want_write() for work/index dir setup
(bnc#1012628).
- ovl: take mnt_want_write() for removing impure xattr
(bnc#1012628).
- ovl: hash directory inodes for fsnotify (bnc#1012628).
- mn10300/misalignment: Use SIGSEGV SEGV_MAPERR to report a
failed user copy (bnc#1012628).
- devpts: fix error handling in devpts_mntget() (bnc#1012628).
- ftrace: Remove incorrect setting of glob search field
(bnc#1012628).
- scsi: core: Ensure that the SCSI error handler gets woken up
(bnc#1012628).
- scsi: lpfc: Fix crash after bad bar setup on driver attachment
(bnc#1012628).
- scsi: cxlflash: Reset command ioasc (bnc#1012628).
- rcu: Export init_rcu_head() and destroy_rcu_head() to GPL
modules (bnc#1012628).
- Update config files.
- commit 4d42c34
-------------------------------------------------------------------
Thu Feb 15 14:30:11 CET 2018 - jmoreira@suse.de
- Add kernel livepatch-devel package
Resolving non-exported static symbols in kernel livepatches cannot
be done implicitly. For such, the relocations of these symbols must
follow a specially crafted format in the respective elf file. Willing
to make the task of converting the elf into the right format, a tool
named klp-convert was proposed. Through a file that maps all kernel
symbols into their respective kernel object, this tool infers which
non-exported symbol is relative to a livepatch relocation and
automatically formats the final elf file.
Add support to the generation of a map file right after the kernel
compilation.
Add the package kernel-<flavour>-livepatch-devel that brings
the map file.
- commit 0b1b4d3
-------------------------------------------------------------------
Tue Feb 13 08:58:21 CET 2018 - jslaby@suse.cz
- Linux 4.15.3 (bnc#1012628).
- crypto: tcrypt - fix S/G table for test_aead_speed()
(bnc#1012628).
- gpio: uniphier: fix mismatch between license text and
MODULE_LICENSE (bnc#1012628).
- media: tegra-cec: add missing MODULE_DESCRIPTION/AUTHOR/LICENSE
(bnc#1012628).
- media: soc_camera: soc_scale_crop: add missing
MODULE_DESCRIPTION/AUTHOR/LICENSE (bnc#1012628).
- media: mtk-vcodec: add missing MODULE_LICENSE/DESCRIPTION
(bnc#1012628).
- net: sched: fix use-after-free in tcf_block_put_ext
(bnc#1012628).
- net_sched: get rid of rcu_barrier() in tcf_block_put_ext()
(bnc#1012628).
- soreuseport: fix mem leak in reuseport_add_sock() (bnc#1012628).
- ipv6: Fix SO_REUSEPORT UDP socket with implicit sk_ipv6only
(bnc#1012628).
- cls_u32: add missing RCU annotation (bnc#1012628).
- tcp_bbr: fix pacing_gain to always be unity when using lt_bw
(bnc#1012628).
- rocker: fix possible null pointer dereference in
rocker_router_fib_event_work (bnc#1012628).
- net: ipv6: send unsolicited NA after DAD (bnc#1012628).
- Revert "defer call to mem_cgroup_sk_alloc()" (bnc#1012628).
- ipv6: change route cache aging logic (bnc#1012628).
- ipv6: addrconf: break critical section in addrconf_verify_rtnl()
(bnc#1012628).
- vhost_net: stop device during reset owner (bnc#1012628).
- tcp: release sk_frag.page in tcp_disconnect (bnc#1012628).
- r8169: fix RTL8168EP take too long to complete driver
initialization (bnc#1012628).
- qmi_wwan: Add support for Quectel EP06 (bnc#1012628).
- qlcnic: fix deadlock bug (bnc#1012628).
- net: igmp: add a missing rcu locking section (bnc#1012628).
- ip6mr: fix stale iterator (bnc#1012628).
- commit e7bb737
-------------------------------------------------------------------
Tue Feb 13 08:55:21 CET 2018 - jslaby@suse.cz
- ext4: llseek, do not crop offset on 32bit (bsc#1079747).
- commit c6ab9c6
-------------------------------------------------------------------
Sun Feb 11 02:20:43 CET 2018 - afaerber@suse.de
- config: arm64: Enable Hi6421 PMU (bsc#1080449)
- commit 77a784c
-------------------------------------------------------------------
Fri Feb 9 16:48:09 CET 2018 - jslaby@suse.cz
- Update i386 config files.
Switch from almost unusable UNWINDER_GUESS to UNWINDER_FRAME_POINTER
(which enables FRAME_POINTERs). It will slow down the kernel a bit,
but improves the stack traces by the order of magnitude. Sad is that
we have no ORCs on i386...
- commit 1d39996
-------------------------------------------------------------------
Fri Feb 9 16:05:51 CET 2018 - jslaby@suse.cz
- Update config files.
Sync vanilla configs to 4.15.
- commit 85c7881
-------------------------------------------------------------------
Thu Feb 8 16:37:42 CET 2018 - msuchanek@suse.de
- rpm/kernel-binary.spec.in: Also require m4 for build.
- commit 0d7b4b3
-------------------------------------------------------------------
Thu Feb 8 07:52:04 CET 2018 - jslaby@suse.cz
- Linux 4.15.2 (bnc#1012628).
- KVM: x86: Make indirect calls in emulator speculation safe
(bnc#1012628).
- KVM: VMX: Make indirect call speculation safe (bnc#1012628).
- x86/alternative: Print unadorned pointers (bnc#1012628).
- auxdisplay: img-ascii-lcd: add missing
MODULE_DESCRIPTION/AUTHOR/LICENSE (bnc#1012628).
- iio: adc/accel: Fix up module licenses (bnc#1012628).
- pinctrl: pxa: pxa2xx: add missing
MODULE_DESCRIPTION/AUTHOR/LICENSE (bnc#1012628).
- ASoC: pcm512x: add missing MODULE_DESCRIPTION/AUTHOR/LICENSE
(bnc#1012628).
- KVM: nVMX: Eliminate vmcs02 pool (bnc#1012628).
- KVM: VMX: introduce alloc_loaded_vmcs (bnc#1012628).
- objtool: Improve retpoline alternative handling (bnc#1012628).
- objtool: Add support for alternatives at the end of a section
(bnc#1012628).
- objtool: Warn on stripped section symbol (bnc#1012628).
- x86/mm: Fix overlap of i386 CPU_ENTRY_AREA with FIX_BTMAP
(bnc#1012628).
- x86/entry/64: Remove the SYSCALL64 fast path (bnc#1012628).
- x86/entry/64: Push extra regs right away (bnc#1012628).
- x86/asm: Move 'status' from thread_struct to thread_info
(bnc#1012628).
- x86/spectre: Fix spelling mistake: "vunerable"-> "vulnerable"
(bnc#1012628).
- x86/paravirt: Remove 'noreplace-paravirt' cmdline option
(bnc#1012628).
- KVM: VMX: make MSR bitmaps per-VCPU (bnc#1012628).
- x86/kvm: Update spectre-v1 mitigation (bnc#1012628).
- x86/retpoline: Avoid retpolines for built-in __init functions
(bnc#1012628).
- x86/speculation: Fix typo IBRS_ATT, which should be IBRS_ALL
(bnc#1012628).
- KVM/x86: Update the reverse_cpuid list to include CPUID_7_EDX
(bnc#1012628).
- KVM/x86: Add IBPB support (bnc#1012628).
- KVM/VMX: Emulate MSR_IA32_ARCH_CAPABILITIES (bnc#1012628).
- KVM/VMX: Allow direct access to MSR_IA32_SPEC_CTRL
(bnc#1012628).
- KVM/SVM: Allow direct access to MSR_IA32_SPEC_CTRL
(bnc#1012628).
- serial: core: mark port as initialized after successful IRQ
change (bnc#1012628).
- fpga: region: release of_parse_phandle nodes after use
(bnc#1012628).
- commit 54903ae
-------------------------------------------------------------------
Wed Feb 7 16:06:12 CET 2018 - msuchanek@suse.de
- rpm/kernel-binary.spec.in: install ksym-provides tool (bsc#1077692).
- commit 679f5c5
-------------------------------------------------------------------
Wed Feb 7 15:54:20 CET 2018 - msuchanek@suse.de
- rpm/kernel-binary.spec.in: require bison for build.
linux-next tends to have outdated generated files so it needs bison to
regenerate them.
- commit 4ad1ede
-------------------------------------------------------------------
Wed Feb 7 15:46:22 CET 2018 - msuchanek@suse.de
- Add ksym-provides tool (bsc#1077692).
- commit 9cd1e1e
-------------------------------------------------------------------
Mon Feb 5 15:52:19 CET 2018 - jslaby@suse.cz
- x86/pti: Mark constant arrays as __initconst (bsc#1068032
CVE-2017-5753).
- x86/cpuid: Fix up "virtual" IBRS/IBPB/STIBP feature bits on
Intel (bsc#1068032 CVE-2017-5753).
- commit 7d4f257
-------------------------------------------------------------------
Mon Feb 5 14:15:56 CET 2018 - jslaby@suse.cz
- x86/speculation: Add basic IBRS support infrastructure
(bsc#1068032 CVE-2017-5753).
- x86/pti: Do not enable PTI on CPUs which are not vulnerable
to Meltdown (bsc#1068032 CVE-2017-5753).
- x86/cpufeature: Blacklist SPEC_CTRL/PRED_CMD on early Spectre
v2 microcodes (bsc#1068032 CVE-2017-5753).
- x86/nospec: Fix header guards names (bsc#1068032 CVE-2017-5753).
- x86/bugs: Drop one "mitigation" from dmesg (bsc#1068032
CVE-2017-5753).
- x86/cpu/bugs: Make retpoline module warning conditional
(bsc#1068032 CVE-2017-5753).
- x86/cpufeatures: Clean up Spectre v2 related CPUID flags
(bsc#1068032 CVE-2017-5753).
- x86/retpoline: Simplify vmexit_fill_RSB() (bsc#1068032
CVE-2017-5753).
- x86/speculation: Simplify indirect_branch_prediction_barrier()
(bsc#1068032 CVE-2017-5753).
- module/retpoline: Warn about missing retpoline in module
(bsc#1068032 CVE-2017-5753).
- x86/spectre: Check CONFIG_RETPOLINE in command line parser
(bsc#1068032 CVE-2017-5753).
- x86/speculation: Use Indirect Branch Prediction Barrier in
context switch (bsc#1068032 CVE-2017-5753).
- Refresh
patches.suse/0001-x86-cpufeatures-Add-CPUID_7_EDX-CPUID-leaf.patch.
- Refresh
patches.suse/0002-x86-cpufeatures-Add-Intel-feature-bits-for-Speculati.patch.
- Refresh
patches.suse/0003-x86-cpufeatures-Add-AMD-feature-bits-for-Speculation.patch.
- Refresh
patches.suse/0004-x86-msr-Add-definitions-for-new-speculation-control-.patch.
- Refresh
patches.suse/0007-x86-speculation-Add-basic-IBPB-Indirect-Branch-Predi.patch.
- Refresh patches.suse/supported-flag.
- Delete
patches.suse/0005-x86-pti-Do-not-enable-PTI-on-processors-which-are-no.patch.
- Delete
patches.suse/0006-x86-cpufeature-Blacklist-SPEC_CTRL-on-early-Spectre-.patch.
- Delete patches.suse/0008-x86-kvm-Add-IBPB-support.patch.
- Delete
patches.suse/0009-x86-speculation-Use-Indirect-Branch-Prediction-Barri.patch.
- Delete
patches.suse/0010-x86-mm-Only-flush-indirect-branches-when-switching-i.patch.
- Delete
patches.suse/0011-x86-speculation-Add-basic-IBRS-support-infrastructur.patch.
- Delete
patches.suse/0017-x86-ibrs-Add-new-helper-macros-to-save-restore-MSR_I-fix.patch.
- Delete
patches.suse/0017-x86-ibrs-Add-new-helper-macros-to-save-restore-MSR_I.patch.
- Delete
patches.suse/0018-x86-vmx-Direct-access-to-MSR_IA32_SPEC_CTRL.patch.
Update to the patches from 4.16-rc1 and the updated IBRS patches from
the dwmw's repo.
- commit cd20d46
-------------------------------------------------------------------
Mon Feb 5 10:50:15 CET 2018 - jslaby@suse.cz
- Documentation: Document array_index_nospec (bsc#1068032
CVE-2017-5715).
- array_index_nospec: Sanitize speculative array de-references
(bsc#1068032 CVE-2017-5715).
- x86: Implement array_index_mask_nospec (bsc#1068032
CVE-2017-5715).
- x86: Introduce barrier_nospec (bsc#1068032 CVE-2017-5715).
- x86: Introduce __uaccess_begin_nospec() and uaccess_try_nospec
(bsc#1068032 CVE-2017-5715).
- x86/usercopy: Replace open coded stac/clac with
__uaccess_{begin, end} (bsc#1068032 CVE-2017-5715).
- x86/syscall: Sanitize syscall table de-references under
speculation (bsc#1068032 CVE-2017-5715).
- nl80211: Sanitize array index in parse_txq_params (bsc#1068032
CVE-2017-5715).
- x86/spectre: Report get_user mitigation for spectre_v1
(bsc#1068032 CVE-2017-5715).
- Delete patches.suse/0001-Documentation-document-array_ptr.patch.
- Delete
patches.suse/0002-asm-nospec-array_ptr-sanitize-speculative-array-de-r.patch.
- Delete patches.suse/0003-x86-implement-array_ptr_mask.patch.
- Delete
patches.suse/0004-x86-introduce-__uaccess_begin_nospec-and-ifence.patch.
- Delete
patches.suse/0007-x86-narrow-out-of-bounds-syscalls-to-sys_read-under-.patch.
- Delete
patches.suse/0009-kvm-x86-update-spectre-v1-mitigation.patch.
- Delete
patches.suse/0010-nl80211-sanitize-array-index-in-parse_txq_params.patch.
Replace by the patches from 4.16-rc1.
- commit 8343cab
-------------------------------------------------------------------
Mon Feb 5 10:43:09 CET 2018 - jslaby@suse.cz
- scsi: aacraid: remove redundant setting of variable c
(git-fixes).
- commit 143e25c
-------------------------------------------------------------------
Sun Feb 4 18:58:19 CET 2018 - jslaby@suse.cz
- Linux 4.15.1 (bnc#1012628).
- x86/efi: Clarify that reset attack mitigation needs appropriate
userspace (bnc#1012628).
- Input: synaptics-rmi4 - do not delete interrupt memory too early
(bnc#1012628).
- Input: synaptics-rmi4 - unmask F03 interrupts when port is
opened (bnc#1012628).
- test_firmware: fix missing unlock on error in
config_num_requests_store() (bnc#1012628).
- iio: chemical: ccs811: Fix output of IIO_CONCENTRATION channels
(bnc#1012628).
- iio: adc: stm32: fix scan of multiple channels with DMA
(bnc#1012628).
- spi: imx: do not access registers while clocks disabled
(bnc#1012628).
- serial: imx: Only wakeup via RTSDEN bit if the system has
RTS/CTS (bnc#1012628).
- serial: 8250_dw: Revert "Improve clock rate setting"
(bnc#1012628).
- serial: 8250_uniphier: fix error return code in
uniphier_uart_probe() (bnc#1012628).
- serial: 8250_of: fix return code when probe function fails to
get reset (bnc#1012628).
- mei: me: allow runtime pm for platform with D0i3 (bnc#1012628).
- android: binder: use VM_ALLOC to get vm area (bnc#1012628).
- ANDROID: binder: remove waitqueue when thread exits
(bnc#1012628).
- usb/gadget: Fix "high bandwidth" check in
usb_gadget_ep_match_desc() (bnc#1012628).
- usb: uas: unconditionally bring back host after reset
(bnc#1012628).
- usb: f_fs: Prevent gadget unbind if it is already unbound
(bnc#1012628).
- USB: serial: simple: add Motorola Tetra driver (bnc#1012628).
- usbip: list: don't list devices attached to vhci_hcd
(bnc#1012628).
- usbip: prevent bind loops on devices attached to vhci_hcd
(bnc#1012628).
- USB: serial: io_edgeport: fix possible sleep-in-atomic
(bnc#1012628).
- CDC-ACM: apply quirk for card reader (bnc#1012628).
- USB: cdc-acm: Do not log urb submission errors on disconnect
(bnc#1012628).
- USB: serial: pl2303: new device id for Chilitag (bnc#1012628).
- usb: option: Add support for FS040U modem (bnc#1012628).
- tty: fix data race between tty_init_dev and flush of buf
(bnc#1012628).
- staging: ccree: fix fips event irq handling build (bnc#1012628).
- staging: ccree: NULLify backup_info when unused (bnc#1012628).
- staging: lustre: separate a connection destroy from free struct
kib_conn (bnc#1012628).
- scsi: storvsc: missing error code in storvsc_probe()
(bnc#1012628).
- scsi: aacraid: Fix hang in kdump (bnc#1012628).
- scsi: aacraid: Fix udev inquiry race condition (bnc#1012628).
- ima/policy: fix parsing of fsuuid (bnc#1012628).
- igb: Free IRQs when device is hotplugged (bnc#1012628).
- mtd: nand: denali_pci: add missing
MODULE_DESCRIPTION/AUTHOR/LICENSE (bnc#1012628).
- gpio: ath79: add missing MODULE_DESCRIPTION/LICENSE
(bnc#1012628).
- gpio: iop: add missing MODULE_DESCRIPTION/AUTHOR/LICENSE
(bnc#1012628).
- power: reset: zx-reboot: add missing
MODULE_DESCRIPTION/AUTHOR/LICENSE (bnc#1012628).
- HID: wacom: Fix reporting of touch toggle
(WACOM_HID_WD_MUTE_DEVICE) events (bnc#1012628).
- HID: wacom: EKR: ensure devres groups at higher indexes are
released (bnc#1012628).
- crypto: af_alg - whitelist mask and type (bnc#1012628).
- crypto: sha3-generic - fixes for alignment and big endian
operation (bnc#1012628).
- crypto: inside-secure - avoid unmapping DMA memory that was
not mapped (bnc#1012628).
- crypto: inside-secure - fix hash when length is a multiple of
a block (bnc#1012628).
- crypto: aesni - Fix out-of-bounds access of the AAD buffer in
generic-gcm-aesni (bnc#1012628).
- crypto: aesni - Fix out-of-bounds access of the data buffer
in generic-gcm-aesni (bnc#1012628).
- crypto: aesni - add wrapper for generic gcm(aes) (bnc#1012628).
- crypto: aesni - fix typo in generic_gcmaes_decrypt
(bnc#1012628).
- crypto: aesni - handle zero length dst buffer (bnc#1012628).
- crypto: ecdh - fix typo in KPP dependency of CRYPTO_ECDH
(bnc#1012628).
- ALSA: hda - Reduce the suspend time consumption for ALC256
(bnc#1012628).
- gpio: Fix kernel stack leak to userspace (bnc#1012628).
- gpio: stmpe: i2c transfer are forbiden in atomic context
(bnc#1012628).
- tools/gpio: Fix build error with musl libc (bnc#1012628).
- Bluetooth: hci_serdev: Init hci_uart proto_lock to avoid oops
(bnc#1012628).
- Linux 4.15.1 (bnc#1012628).
- x86/efi: Clarify that reset attack mitigation needs appropriate
userspace (bnc#1012628).
- Input: synaptics-rmi4 - do not delete interrupt memory too early
(bnc#1012628).
- Input: synaptics-rmi4 - unmask F03 interrupts when port is
opened (bnc#1012628).
- test_firmware: fix missing unlock on error in
config_num_requests_store() (bnc#1012628).
- iio: chemical: ccs811: Fix output of IIO_CONCENTRATION channels
(bnc#1012628).
- iio: adc: stm32: fix scan of multiple channels with DMA
(bnc#1012628).
- spi: imx: do not access registers while clocks disabled
(bnc#1012628).
- serial: imx: Only wakeup via RTSDEN bit if the system has
RTS/CTS (bnc#1012628).
- serial: 8250_dw: Revert "Improve clock rate setting"
(bnc#1012628).
- serial: 8250_uniphier: fix error return code in
uniphier_uart_probe() (bnc#1012628).
- serial: 8250_of: fix return code when probe function fails to
get reset (bnc#1012628).
- mei: me: allow runtime pm for platform with D0i3 (bnc#1012628).
- android: binder: use VM_ALLOC to get vm area (bnc#1012628).
- ANDROID: binder: remove waitqueue when thread exits
(bnc#1012628).
- usb/gadget: Fix "high bandwidth" check in
usb_gadget_ep_match_desc() (bnc#1012628).
- usb: uas: unconditionally bring back host after reset
(bnc#1012628).
- usb: f_fs: Prevent gadget unbind if it is already unbound
(bnc#1012628).
- USB: serial: simple: add Motorola Tetra driver (bnc#1012628).
- usbip: list: don't list devices attached to vhci_hcd
(bnc#1012628).
- usbip: prevent bind loops on devices attached to vhci_hcd
(bnc#1012628).
- USB: serial: io_edgeport: fix possible sleep-in-atomic
(bnc#1012628).
- CDC-ACM: apply quirk for card reader (bnc#1012628).
- USB: cdc-acm: Do not log urb submission errors on disconnect
(bnc#1012628).
- USB: serial: pl2303: new device id for Chilitag (bnc#1012628).
- usb: option: Add support for FS040U modem (bnc#1012628).
- tty: fix data race between tty_init_dev and flush of buf
(bnc#1012628).
- staging: ccree: fix fips event irq handling build (bnc#1012628).
- staging: ccree: NULLify backup_info when unused (bnc#1012628).
- staging: lustre: separate a connection destroy from free struct
kib_conn (bnc#1012628).
- scsi: storvsc: missing error code in storvsc_probe()
(bnc#1012628).
- scsi: aacraid: Fix hang in kdump (bnc#1012628).
- scsi: aacraid: Fix udev inquiry race condition (bnc#1012628).
- ima/policy: fix parsing of fsuuid (bnc#1012628).
- igb: Free IRQs when device is hotplugged (bnc#1012628).
- mtd: nand: denali_pci: add missing
MODULE_DESCRIPTION/AUTHOR/LICENSE (bnc#1012628).
- gpio: ath79: add missing MODULE_DESCRIPTION/LICENSE
(bnc#1012628).
- gpio: iop: add missing MODULE_DESCRIPTION/AUTHOR/LICENSE
(bnc#1012628).
- power: reset: zx-reboot: add missing
MODULE_DESCRIPTION/AUTHOR/LICENSE (bnc#1012628).
- HID: wacom: Fix reporting of touch toggle
(WACOM_HID_WD_MUTE_DEVICE) events (bnc#1012628).
- HID: wacom: EKR: ensure devres groups at higher indexes are
released (bnc#1012628).
- crypto: af_alg - whitelist mask and type (bnc#1012628).
- crypto: sha3-generic - fixes for alignment and big endian
operation (bnc#1012628).
- crypto: inside-secure - avoid unmapping DMA memory that was
not mapped (bnc#1012628).
- crypto: inside-secure - fix hash when length is a multiple of
a block (bnc#1012628).
- crypto: aesni - Fix out-of-bounds access of the AAD buffer in
generic-gcm-aesni (bnc#1012628).
- crypto: aesni - Fix out-of-bounds access of the data buffer
in generic-gcm-aesni (bnc#1012628).
- crypto: aesni - add wrapper for generic gcm(aes) (bnc#1012628).
- crypto: aesni - fix typo in generic_gcmaes_decrypt
(bnc#1012628).
- crypto: aesni - handle zero length dst buffer (bnc#1012628).
- crypto: ecdh - fix typo in KPP dependency of CRYPTO_ECDH
(bnc#1012628).
- ALSA: hda - Reduce the suspend time consumption for ALC256
(bnc#1012628).
- gpio: Fix kernel stack leak to userspace (bnc#1012628).
- gpio: stmpe: i2c transfer are forbiden in atomic context
(bnc#1012628).
- tools/gpio: Fix build error with musl libc (bnc#1012628).
- Bluetooth: hci_serdev: Init hci_uart proto_lock to avoid oops
(bnc#1012628).
- commit 671bf29
-------------------------------------------------------------------
Thu Feb 1 19:51:30 CET 2018 - matwey.kornilov@gmail.com
- config: arm64: Enable RockChip 8xx
We need RockChip RK-808 support to run openSUSE on Rock64 board (RK3328).
Currently, even voltage regulator is missed.
- commit a348749
-------------------------------------------------------------------
Wed Jan 31 07:51:04 CET 2018 - jslaby@suse.cz
- Update to 4.15-final.
- Eliminated 5 patches.
- Config changes:
- Security:
- GENERIC_CPU_VULNERABILITIES=y
- commit 978c9b0
-------------------------------------------------------------------
Tue Jan 30 13:15:01 CET 2018 - mkubecek@suse.cz
- Update
patches.kernel.org/4.14.14-036-RDS-Heap-OOB-write-in-rds_message_alloc_sgs.patch
upstream references (add CVE-2018-5332 bsc#1075621).
- commit 510de01
-------------------------------------------------------------------
Tue Jan 30 13:13:54 CET 2018 - mkubecek@suse.cz
- Update
patches.kernel.org/4.14.14-037-RDS-null-pointer-dereference-in-rds_atomic_fr.patch
upstream references (add CVE-2018-5333 bsc#1075617).
- commit e6cf845
-------------------------------------------------------------------
Mon Jan 29 22:13:57 CET 2018 - jeffm@suse.com
- Update to 4.15-final.
- Eliminated 5 patches.
- commit 36830f7
-------------------------------------------------------------------
Mon Jan 29 18:11:00 CET 2018 - jeffm@suse.com
- btrfs: fix btrfs_evict_inode to handle abnormal inodes correctly (bsc#1078019).
- commit d3f1d2c
-------------------------------------------------------------------
Mon Jan 29 09:15:43 CET 2018 - jslaby@suse.cz
- Revert "module: Add retpoline tag to VERMAGIC" (fix loading
of KMPs).
- commit 9a6fca5
-------------------------------------------------------------------
Fri Jan 26 14:10:46 CET 2018 - yousaf.kaukab@suse.com
- config: arm64: enable UNMAP_KERNEL_AT_EL0 and HARDEN_BRANCH_PREDICTOR
- commit c41900c
-------------------------------------------------------------------
Fri Jan 26 14:08:19 CET 2018 - yousaf.kaukab@suse.com
- arm64: Turn on KPTI only on CPUs that need it (bsc#1068032).
- arm64: Branch predictor hardening for Cavium ThunderX2
(bsc#1068032).
- arm64: Run enable method for errata work arounds on late CPUs
(bsc#1068032).
- arm64: Move BP hardening to check_and_switch_context
(bsc#1068032).
- arm: KVM: Invalidate icache on guest exit for Cortex-A15
(bsc#1068032).
- arm: Invalidate icache on prefetch abort outside of user
mapping on Cortex-A15 (bsc#1068032).
- arm: Add icache invalidation on switch_mm for Cortex-A15
(bsc#1068032).
- arm: KVM: Invalidate BTB on guest exit (bsc#1068032).
- arm: Invalidate BTB on prefetch abort outside of user mapping
on Cortex A8, A9, A12 and A17 (bsc#1068032).
- arm: Add BTB invalidation on switch_mm for Cortex-A9, A12 and
A17 (bsc#1068032).
- arm64: cputype: Add MIDR values for Cavium ThunderX2 CPUs
(bsc#1068032).
- arm64: Implement branch predictor hardening for Falkor
(bsc#1068032).
- arm64: Implement branch predictor hardening for affected
Cortex-A CPUs (bsc#1068032).
- arm64: cputype: Add missing MIDR values for Cortex-A72 and
Cortex-A75 (bsc#1068032).
- arm64: KVM: Make PSCI_VERSION a fast path (bsc#1068032).
- arm64: KVM: Use per-CPU vector when BP hardening is enabled
(bsc#1068032).
- arm64: Add skeleton to harden the branch predictor against
aliasing attacks (bsc#1068032).
- arm64: Move post_ttbr_update_workaround to C code (bsc#1068032).
- drivers/firmware: Expose psci_get_version through psci_ops
structure (bsc#1068032).
- arm64: Take into account ID_AA64PFR0_EL1.CSV3 (bsc#1068032).
- arm64: Kconfig: Reword UNMAP_KERNEL_AT_EL0 kconfig entry
(bsc#1068032).
- arm64: use RET instruction for exiting the trampoline
(bsc#1068032).
- arm64: capabilities: Handle duplicate entries for a capability
(bsc#1068032).
- arm64: cpufeature: Pass capability structure to ->enable
callback (bsc#1068032).
- arm64: kpti: Fix the interaction between ASID switching and
software PAN (bsc#1068032).
- arm64: kaslr: Put kernel vectors address in separate data page
(bsc#1068032).
- arm64: mm: Introduce TTBR_ASID_MASK for getting at the ASID
in the TTBR (bsc#1068032).
- perf: arm_spe: Fail device probe when
arm64_kernel_unmapped_at_el0() (bsc#1068032).
- arm64: Kconfig: Add CONFIG_UNMAP_KERNEL_AT_EL0 (bsc#1068032).
- arm64: entry: Add fake CPU feature for unmapping the kernel
at EL0 (bsc#1068032).
- arm64: tls: Avoid unconditional zeroing of tpidrro_el0 for
native tasks (bsc#1068032).
- arm64: erratum: Work around Falkor erratum #E1003 in trampoline
code (bsc#1068032).
- arm64: entry: Hook up entry trampoline to exception vectors
(bsc#1068032).
- arm64: entry: Explicitly pass exception level to kernel_ventry
macro (bsc#1068032).
- arm64: mm: Map entry trampoline into trampoline and kernel
page tables (bsc#1068032).
- arm64: entry: Add exception trampoline page for exceptions
from EL0 (bsc#1068032).
- arm64: mm: Invalidate both kernel and user ASIDs when performing
TLBI (bsc#1068032).
- arm64: mm: Add arm64_kernel_unmapped_at_el0 helper
(bsc#1068032).
- arm64: mm: Allocate ASIDs in pairs (bsc#1068032).
- arm64: mm: Fix and re-enable ARM64_SW_TTBR0_PAN (bsc#1068032).
- arm64: mm: Rename post_ttbr0_update_workaround (bsc#1068032).
- arm64: mm: Remove pre_ttbr0_update_workaround for Falkor
erratum #E1003 (bsc#1068032).
- arm64: mm: Move ASID from TTBR0 to TTBR1 (bsc#1068032).
- arm64: mm: Temporarily disable ARM64_SW_TTBR0_PAN (bsc#1068032).
- arm64: mm: Use non-global mappings for kernel space
(bsc#1068032).
- commit cdf2ded
-------------------------------------------------------------------
Fri Jan 26 11:24:49 CET 2018 - yousaf.kaukab@suse.com
- config: arm64: enable rk3399 missing drivers
These drivers are required for Rockchip RK3399 Sapphire board
- commit 94b8551
-------------------------------------------------------------------
Fri Jan 26 09:52:08 CET 2018 - jslaby@suse.cz
- Refresh
patches.suse/netfilter-nfnetlink_cthelper-Add-missing-permission-.patch.
- Refresh
patches.suse/netfilter-xt_osf-Add-missing-permission-checks.patch.
- Refresh patches.suse/scsi-libfc-fix-ELS-request-handling.patch.
Update upstream status.
- commit 12e5c10
-------------------------------------------------------------------
Fri Jan 26 08:40:10 CET 2018 - jslaby@suse.cz
- x86/ibrs: Add new helper macros to save/restore
MSR_IA32_SPEC_CTRL fix (bsc#1068032 CVE-2017-5753).
- commit 13295d4
-------------------------------------------------------------------
Thu Jan 25 21:47:49 CET 2018 - jslaby@suse.cz
- Delete patches.suse/orc-fix-retpolines-segfaults.patch.
No longer needed.
- commit 9e84548
-------------------------------------------------------------------
Thu Jan 25 21:46:34 CET 2018 - jslaby@suse.cz
- x86/cpufeatures: Add Intel feature bits for Speculation Control
(bsc#1068032 CVE-2017-5715).
- x86/cpufeatures: Add AMD feature bits for Speculation Control
(bsc#1068032 CVE-2017-5715).
- x86/pti: Do not enable PTI on processors which are not
vulnerable to Meltdown (bsc#1068032 CVE-2017-5715).
- x86/cpufeature: Blacklist SPEC_CTRL on early Spectre v2
microcodes (bsc#1068032 CVE-2017-5715).
- x86/speculation: Add basic IBPB (Indirect Branch Prediction
Barrier) support (bsc#1068032 CVE-2017-5715).
- x86/kvm: Add IBPB support (bsc#1068032 CVE-2017-5715).
- x86/speculation: Use Indirect Branch Prediction Barrier in
context switch (bsc#1068032 CVE-2017-5715).
- x86/mm: Only flush indirect branches when switching into non
dumpable process (bsc#1068032 CVE-2017-5715).
- x86/speculation: Add basic IBRS support infrastructure
(bsc#1068032 CVE-2017-5715).
- x86/speculation: Add inlines to control Indirect Branch
Speculation (bsc#1068032 CVE-2017-5715).
- x86: Simplify spectre_v2 command line parsing (bsc#1068032
CVE-2017-5715).
- x86/idle: Control Indirect Branch Speculation in idle
(bsc#1068032 CVE-2017-5715).
- x86/enter: Create macros to restrict/unrestrict Indirect Branch
Speculation (bsc#1068032 CVE-2017-5715).
- x86/enter: Use IBRS on syscall and interrupts (bsc#1068032
CVE-2017-5715).
- x86/ibrs: Add new helper macros to save/restore
MSR_IA32_SPEC_CTRL (bsc#1068032 CVE-2017-5715).
- x86/vmx: Direct access to MSR_IA32_SPEC_CTRL (bsc#1068032
CVE-2017-5715).
- Delete
patches.suse/0002-x86-cpufeatures-Add-AMD-feature-bits-for-Prediction-.patch.
- Delete
patches.suse/0004-x86-feature-Report-presence-of-IBPB-and-IBRS-control.patch.
- Delete
patches.suse/0005-x86-enter-MACROS-to-set-clear-IBRS-and-set-IBPB.patch.
- Delete
patches.suse/0006-x86-Add-macro-that-does-not-save-rax-rcx-rdx-on-stac.patch.
- Delete
patches.suse/0007-x86-enter-Use-IBRS-on-syscall-and-interrupts.patch.
- Delete
patches.suse/0008-x86-spec_ctrl-save-IBRS-MSR-value-in-paranoid_entry.patch.
- Delete
patches.suse/0009-x86-idle-Disable-IBRS-entering-idle-and-enable-it-on.patch.
- Delete
patches.suse/0010-x86-idle-Disable-IBRS-when-offlining-cpu-and-re-enab.patch.
- Delete
patches.suse/0011-x86-mm-Set-IBPB-upon-context-switch.patch.
- Delete
patches.suse/0012-x86-mm-Only-set-IBPB-when-the-new-thread-cannot-ptra.patch.
- Delete
patches.suse/0013-x86-entry-Stuff-RSB-for-entry-to-kernel-for-non-SMEP.patch.
- Delete
patches.suse/0014-x86-syscall-Clear-unused-extra-registers-on-syscall-.patch.
- Delete
patches.suse/0015-x86-syscall-Clear-unused-extra-registers-on-32-bit-c.patch.
- Delete
patches.suse/0016-x86-kvm-add-MSR_IA32_SPEC_CTRL-and-MSR_IA32_PRED_CMD.patch.
- Delete
patches.suse/0017-x86-kvm-Set-IBPB-when-switching-VM.patch.
- Delete
patches.suse/0018-x86-kvm-Toggle-IBRS-on-VM-entry-and-exit.patch.
- Delete
patches.suse/0021-x86-spec_ctrl-Add-sysctl-knobs-to-enable-disable-SPE.patch.
- Delete
patches.suse/0022-x86-spec_ctrl-Add-lock-to-serialize-changes-to-ibrs-.patch.
- Delete
patches.suse/0023-x86-Move-IBRS-IBPB-feature-detection-to-scattered.c.patch.
- Delete
patches.suse/0024-x86-microcode-Recheck-IBRS-and-IBPB-feature-on-micro.patch.
- Delete
patches.suse/0025-x86-cpu-AMD-Add-speculative-control-support-for-AMD.patch.
- Delete
patches.suse/0028-x86-svm-Set-IBPB-when-running-a-different-VCPU.patch.
- Delete
patches.suse/0029-kvm-svm-add-MSR_IA32_SPEC_CTRL-and-MSR_IA32_PRED_CMD.patch.
- Delete patches.suse/0030-Use-the-ibrs_inuse-variable.patch.
- Delete
patches.suse/0031-Reverting-the-commit-e5247c4f209530-to-replace.patch.
- Delete
patches.suse/0032-kvm-vmx-add-MSR_IA32_SPEC_CTRL-and-MSR_IA32_PRED_CMD.patch.
- Delete
patches.suse/0033-KVM-x86-add-SPEC_CTRL-to-MSR-and-CPUID-lists.patch.
- Delete
patches.suse/0034-Remove-the-code-that-uses-MSR-save-restore-list.patch.
- Delete patches.suse/0035-Use-the-ibpb_inuse-variable.patch.
- Delete
patches.suse/0037-Set-IBPB-when-running-a-different-VCPU.patch.
Update spectre_v1 to the upstream patches.
- commit 67b0a37
-------------------------------------------------------------------
Thu Jan 25 14:26:16 CET 2018 - jslaby@suse.cz
- Revert "futex: Prevent overflow by strengthen input validation"
(4.14.15-fix).
- commit 5b3d0ce
-------------------------------------------------------------------
Thu Jan 25 08:23:15 CET 2018 - jslaby@suse.cz
- x86/cpufeature: Move processor tracing out of scattered features
(bsc#1068032 CVE-2017-5753).
- Refresh
patches.suse/0001-x86-cpufeatures-Add-CPUID_7_EDX-CPUID-leaf.patch.
- Refresh
patches.suse/0007-x86-speculation-Add-basic-IBPB-Indirect-Branch-Predi.patch.
- commit 8d8b718
-------------------------------------------------------------------
Wed Jan 24 20:19:27 CET 2018 - jslaby@suse.cz
- x86/retpoline: Add LFENCE to the retpoline/RSB filling RSB
macros (bsc#1068032 CVE-2017-5753).
- commit 8dc7c71
-------------------------------------------------------------------
Wed Jan 24 20:17:09 CET 2018 - jslaby@suse.cz
- x86/vmx: Direct access to MSR_IA32_SPEC_CTRL (bsc#1068032
CVE-2017-5753).
- x86/ibrs: Add new helper macros to save/restore
MSR_IA32_SPEC_CTRL (bsc#1068032 CVE-2017-5753).
- x86/enter: Use IBRS on syscall and interrupts (bsc#1068032
CVE-2017-5753).
- x86/enter: Create macros to restrict/unrestrict Indirect Branch
Speculation (bsc#1068032 CVE-2017-5753).
- x86/idle: Control Indirect Branch Speculation in idle
(bsc#1068032 CVE-2017-5753).
- x86: Simplify spectre_v2 command line parsing (bsc#1068032
CVE-2017-5753).
- x86/speculation: Add inlines to control Indirect Branch
Speculation (bsc#1068032 CVE-2017-5753).
- x86/speculation: Add basic IBRS support infrastructure
(bsc#1068032 CVE-2017-5753).
- x86/mm: Only flush indirect branches when switching into non
dumpable process (bsc#1068032 CVE-2017-5753).
- x86/speculation: Use Indirect Branch Prediction Barrier in
context switch (bsc#1068032 CVE-2017-5753).
- x86/kvm: Add IBPB support (bsc#1068032 CVE-2017-5753).
- x86/speculation: Add basic IBPB (Indirect Branch Prediction
Barrier) support (bsc#1068032 CVE-2017-5753).
- x86/cpufeature: Blacklist SPEC_CTRL on early Spectre v2
microcodes (bsc#1068032 CVE-2017-5753).
- x86/pti: Do not enable PTI on processors which are not
vulnerable to Meltdown (bsc#1068032 CVE-2017-5753).
- x86/msr: Add definitions for new speculation control MSRs
(bsc#1068032 CVE-2017-5753).
- x86/cpufeatures: Add AMD feature bits for Speculation Control
(bsc#1068032 CVE-2017-5753).
- x86/cpufeatures: Add Intel feature bits for Speculation Control
(bsc#1068032 CVE-2017-5753).
- x86/cpufeatures: Add CPUID_7_EDX CPUID leaf (bsc#1068032
CVE-2017-5753).
- x86/retpoline: Optimize inline assembler for vmexit_fill_RSB
(bsc#1068032 CVE-2017-5753).
- x86/retpoline: Fill RSB on context switch for affected CPUs
(bsc#1068032 CVE-2017-5753).
- commit e36ab4f
-------------------------------------------------------------------
Wed Jan 24 19:41:00 CET 2018 - jslaby@suse.cz
- Documentation: document array_ptr (bsc#1068032 CVE-2017-5715).
- asm/nospec, array_ptr: sanitize speculative array de-references
(bsc#1068032 CVE-2017-5715).
- x86: implement array_ptr_mask() (bsc#1068032 CVE-2017-5715).
- x86: introduce __uaccess_begin_nospec and ifence (bsc#1068032
CVE-2017-5715).
- x86, __get_user: use __uaccess_begin_nospec (bsc#1068032
CVE-2017-5715).
- x86, get_user: use pointer masking to limit speculation
(bsc#1068032 CVE-2017-5715).
- x86: narrow out of bounds syscalls to sys_read under speculation
(bsc#1068032 CVE-2017-5715).
- vfs, fdtable: prevent bounds-check bypass via speculative
execution (bsc#1068032 CVE-2017-5715).
- kvm, x86: update spectre-v1 mitigation (bsc#1068032
CVE-2017-5715).
- nl80211: sanitize array index in parse_txq_params (bsc#1068032
CVE-2017-5715).
- Delete
patches.suse/0003-locking-barriers-introduce-new-observable-speculatio.patch.
- Delete
patches.suse/0004-bpf-prevent-speculative-execution-in-eBPF-interprete.patch.
- Delete
patches.suse/0005-x86-bpf-jit-prevent-speculative-execution-when-JIT-i.patch.
- Delete
patches.suse/0006-uvcvideo-prevent-speculative-execution.patch.
- Delete
patches.suse/0007-carl9170-prevent-speculative-execution.patch.
- Delete
patches.suse/0008-p54-prevent-speculative-execution.patch.
- Delete
patches.suse/0009-qla2xxx-prevent-speculative-execution.patch.
- Delete
patches.suse/0010-cw1200-prevent-speculative-execution.patch.
- Delete
patches.suse/0011-Thermal-int340x-prevent-speculative-execution.patch.
- Delete
patches.suse/0012-ipv4-prevent-speculative-execution.patch.
- Delete
patches.suse/0013-ipv6-prevent-speculative-execution.patch.
- Delete patches.suse/0014-fs-prevent-speculative-execution.patch.
- Delete
patches.suse/0015-net-mpls-prevent-speculative-execution.patch.
- Delete
patches.suse/0016-udf-prevent-speculative-execution.patch.
- Delete
patches.suse/0017-userns-prevent-speculative-execution.patch.
Replace by the potential upstream solution.
- commit 804f8a1
-------------------------------------------------------------------
Wed Jan 24 19:31:26 CET 2018 - jslaby@suse.cz
- Documentation: document array_ptr (bsc#1068032 CVE-2017-5715).
- asm/nospec, array_ptr: sanitize speculative array de-references
(bsc#1068032 CVE-2017-5715).
- x86: implement array_ptr_mask() (bsc#1068032 CVE-2017-5715).
- x86: introduce __uaccess_begin_nospec and ifence (bsc#1068032
CVE-2017-5715).
- x86, __get_user: use __uaccess_begin_nospec (bsc#1068032
CVE-2017-5715).
- x86, get_user: use pointer masking to limit speculation
(bsc#1068032 CVE-2017-5715).
- x86: narrow out of bounds syscalls to sys_read under speculation
(bsc#1068032 CVE-2017-5715).
- vfs, fdtable: prevent bounds-check bypass via speculative
execution (bsc#1068032 CVE-2017-5715).
- kvm, x86: update spectre-v1 mitigation (bsc#1068032
CVE-2017-5715).
- nl80211: sanitize array index in parse_txq_params (bsc#1068032
CVE-2017-5715).
- Delete
patches.suse/0003-locking-barriers-introduce-new-observable-speculatio.patch.
- Delete
patches.suse/0005-x86-bpf-jit-prevent-speculative-execution-when-JIT-i.patch.
- Delete
patches.suse/0006-uvcvideo-prevent-speculative-execution.patch.
- Delete
patches.suse/0007-carl9170-prevent-speculative-execution.patch.
- Delete
patches.suse/0008-p54-prevent-speculative-execution.patch.
- Delete
patches.suse/0009-qla2xxx-prevent-speculative-execution.patch.
- Delete
patches.suse/0010-cw1200-prevent-speculative-execution.patch.
- Delete
patches.suse/0011-Thermal-int340x-prevent-speculative-execution.patch.
- Delete
patches.suse/0012-ipv4-prevent-speculative-execution.patch.
- Delete
patches.suse/0013-ipv6-prevent-speculative-execution.patch.
- Delete patches.suse/0014-fs-prevent-speculative-execution.patch.
- Delete
patches.suse/0015-net-mpls-prevent-speculative-execution.patch.
- Delete
patches.suse/0016-udf-prevent-speculative-execution.patch.
- Delete
patches.suse/0017-userns-prevent-speculative-execution.patch.
Replace intel's shit by the potential upstream solution for spectre_v1.
- commit 6fdb1df
-------------------------------------------------------------------
Tue Jan 23 21:12:07 CET 2018 - jslaby@suse.cz
- Linux 4.14.15 (bnc#1012628).
- tools/objtool/Makefile: don't assume sync-check.sh is executable
(bnc#1012628).
- objtool: Fix seg fault with clang-compiled objects
(bnc#1012628).
- objtool: Fix Clang enum conversion warning (bnc#1012628).
- objtool: Fix seg fault caused by missing parameter
(bnc#1012628).
- powerpc/pseries: Add H_GET_CPU_CHARACTERISTICS flags & wrapper
(bnc#1012628).
- powerpc/64: Add macros for annotating the destination of
rfid/hrfid (bnc#1012628).
- powerpc/64s: Simple RFI macro conversions (bnc#1012628).
- powerpc/64: Convert the syscall exit path to use
RFI_TO_USER/KERNEL (bnc#1012628).
- powerpc/64: Convert fast_exception_return to use
RFI_TO_USER/KERNEL (bnc#1012628).
- powerpc/64s: Convert slb_miss_common to use RFI_TO_USER/KERNEL
(bnc#1012628).
- powerpc/64s: Add support for RFI flush of L1-D cache
(bnc#1012628).
- powerpc/64s: Support disabling RFI flush with no_rfi_flush
and nopti (bnc#1012628).
- powerpc/pseries: Query hypervisor for RFI flush settings
(bnc#1012628).
- powerpc/powernv: Check device-tree for RFI flush settings
(bnc#1012628).
- futex: Avoid violating the 10th rule of futex (bnc#1012628).
- futex: Prevent overflow by strengthen input validation
(bnc#1012628).
- ALSA: pcm: Remove yet superfluous WARN_ON() (bnc#1012628).
- ALSA: hda - Apply headphone noise quirk for another Dell XPS
13 variant (bnc#1012628).
- ALSA: hda - Apply the existing quirk to iMac 14,1 (bnc#1012628).
- IB/hfi1: Prevent a NULL dereference (bnc#1012628).
- RDMA/mlx5: Fix out-of-bound access while querying AH
(bnc#1012628).
- timers: Unconditionally check deferrable base (bnc#1012628).
- af_key: fix buffer overread in verify_address_len()
(bnc#1012628).
- af_key: fix buffer overread in parse_exthdrs() (bnc#1012628).
- iser-target: Fix possible use-after-free in connection
establishment error (bnc#1012628).
- delayacct: Account blkio completion on the correct task
(bnc#1012628).
- objtool: Fix seg fault with gold linker (bnc#1012628).
- mmc: sdhci-esdhc-imx: Fix i.MX53 eSDHCv3 clock (bnc#1012628).
- x86/kasan: Panic if there is not enough memory to boot
(bnc#1012628).
- objtool: Improve error message for bad file argument
(bnc#1012628).
- x86/cpufeature: Move processor tracing out of scattered features
(bnc#1012628).
- x86/intel_rdt/cqm: Prevent use after free (bnc#1012628).
- x86/mm/pkeys: Fix fill_sig_info_pkey (bnc#1012628).
- x86/idt: Mark IDT tables __initconst (bnc#1012628).
- x86/tsc: Future-proof native_calibrate_tsc() (bnc#1012628).
- x86/tsc: Fix erroneous TSC rate on Skylake Xeon (bnc#1012628).
- pipe: avoid round_pipe_size() nr_pages overflow on 32-bit
(bnc#1012628).
- x86/apic/vector: Fix off by one in error path (bnc#1012628).
- x86/mm: Clean up register saving in the __enc_copy() assembly
code (bnc#1012628).
- x86/mm: Use a struct to reduce parameters for SME PGD mapping
(bnc#1012628).
- x86/mm: Centralize PMD flags in sme_encrypt_kernel()
(bnc#1012628).
- x86/mm: Prepare sme_encrypt_kernel() for PAGE aligned encryption
(bnc#1012628).
- ARM: OMAP3: hwmod_data: add missing module_offs for MMC3
(bnc#1012628).
- x86/mm: Encrypt the initrd earlier for BSP microcode update
(bnc#1012628).
- Input: ALPS - fix multi-touch decoding on SS4 plus touchpads
(bnc#1012628).
- Input: synaptics-rmi4 - prevent UAF reported by KASAN
(bnc#1012628).
- Input: 88pm860x-ts - fix child-node lookup (bnc#1012628).
- Input: twl6040-vibra - fix child-node lookup (bnc#1012628).
- Input: twl4030-vibra - fix sibling-node lookup (bnc#1012628).
- tracing: Fix converting enum's from the map in
trace_event_eval_update() (bnc#1012628).
- phy: work around 'phys' references to usb-nop-xceiv devices
(bnc#1012628).
- ARM64: dts: marvell: armada-cp110: Fix clock resources for
various node (bnc#1012628).
- ARM: sunxi_defconfig: Enable CMA (bnc#1012628).
- ARM: dts: kirkwood: fix pin-muxing of MPP7 on OpenBlocks A7
(bnc#1012628).
- can: peak: fix potential bug in packet fragmentation
(bnc#1012628).
- can: af_can: can_rcv(): replace WARN_ONCE by pr_warn_once
(bnc#1012628).
- can: af_can: canfd_rcv(): replace WARN_ONCE by pr_warn_once
(bnc#1012628).
- i2c: core-smbus: prevent stack corruption on read I2C_BLOCK_DATA
(bnc#1012628).
- scripts/gdb/linux/tasks.py: fix get_thread_info (bnc#1012628).
- proc: fix coredump vs read /proc/*/stat race (bnc#1012628).
- libata: apply MAX_SEC_1024 to all LITEON EP1 series devices
(bnc#1012628).
- scsi: libsas: Disable asynchronous aborts for SATA devices
(bnc#1012628).
- workqueue: avoid hard lockups in show_workqueue_state()
(bnc#1012628).
- drm/vmwgfx: fix memory corruption with legacy/sou connectors
(bnc#1012628).
- dm btree: fix serious bug in btree_split_beneath()
(bnc#1012628).
- dm thin metadata: THIN_MAX_CONCURRENT_LOCKS should be 6
(bnc#1012628).
- dm integrity: don't store cipher request on the stack
(bnc#1012628).
- dm crypt: fix crash by adding missing check for auth key size
(bnc#1012628).
- dm crypt: wipe kernel key copy after IV initialization
(bnc#1012628).
- dm crypt: fix error return code in crypt_ctr() (bnc#1012628).
- x86: Use __nostackprotect for sme_encrypt_kernel (bnc#1012628).
- alpha/PCI: Fix noname IRQ level detection (bnc#1012628).
- MIPS: CM: Drop WARN_ON(vp != 0) (bnc#1012628).
- KVM: arm/arm64: Check pagesize when allocating a hugepage at
Stage 2 (bnc#1012628).
- arm64: KVM: Fix SMCCC handling of unimplemented SMC/HVC calls
(bnc#1012628).
- x86/mce: Make machine check speculation protected (bnc#1012628).
- retpoline: Introduce start/end markers of indirect thunk
(bnc#1012628).
- kprobes/x86: Blacklist indirect thunk functions for kprobes
(bnc#1012628).
- kprobes/x86: Disable optimizing on the function jumps to
indirect thunk (bnc#1012628).
- x86/pti: Document fix wrong index (bnc#1012628).
- x86/retpoline: Optimize inline assembler for vmexit_fill_RSB
(bnc#1012628).
- x86/mm: Rework wbinvd, hlt operation in stop_this_cpu()
(bnc#1012628).
- mm, page_vma_mapped: Drop faulty pointer arithmetics in
check_pte() (bnc#1012628).
- net: mvpp2: do not disable GMAC padding (bnc#1012628).
- MIPS: AR7: ensure the port type's FCR value is used
(bnc#1012628).
- Refresh
patches.kernel.org/4.14.10-003-objtool-Move-kernel-headers-code-sync-check-t.patch.
- Refresh
patches.suse/0001-x86-cpufeatures-Add-Intel-feature-bits-for-Speculati.patch.
- Refresh
patches.suse/0002-x86-cpufeatures-Add-AMD-feature-bits-for-Prediction-.patch.
- commit fe1d712
-------------------------------------------------------------------
Mon Jan 22 13:29:31 CET 2018 - msuchanek@suse.de
- rpm/mkspec-dtb: Remove COPYING file (bsc#1076905).
It conflicts between different versions of dtb package.
- commit 0e5fcf9
-------------------------------------------------------------------
Sun Jan 21 14:58:37 CET 2018 - jslaby@suse.cz
- x86/cpufeatures: Add Intel feature bits for Speculation Control
(bsc#1068032 CVE-2017-5715).
- x86/cpufeatures: Add AMD feature bits for Prediction Command
(bsc#1068032 CVE-2017-5715).
- x86/msr: Add definitions for new speculation control MSRs
(bsc#1068032 CVE-2017-5715).
- module: Add retpoline tag to VERMAGIC (bsc#1068032
CVE-2017-5715).
- x86/retpoline: Add LFENCE to the retpoline/RSB filling RSB
macros (bsc#1068032 CVE-2017-5715).
- x86/retpoline: Fill RSB on context switch for affected CPUs
(bsc#1068032 CVE-2017-5715).
- Refresh
patches.suse/0005-x86-enter-MACROS-to-set-clear-IBRS-and-set-IBPB.patch.
- Refresh
patches.suse/0008-x86-spec_ctrl-save-IBRS-MSR-value-in-paranoid_entry.patch.
- Refresh
patches.suse/0009-x86-idle-Disable-IBRS-entering-idle-and-enable-it-on.patch.
- Refresh
patches.suse/0010-x86-idle-Disable-IBRS-when-offlining-cpu-and-re-enab.patch.
- Refresh
patches.suse/0011-x86-mm-Set-IBPB-upon-context-switch.patch.
- Refresh
patches.suse/0012-x86-mm-Only-set-IBPB-when-the-new-thread-cannot-ptra.patch.
- Refresh
patches.suse/0017-x86-kvm-Set-IBPB-when-switching-VM.patch.
- Refresh
patches.suse/0018-x86-kvm-Toggle-IBRS-on-VM-entry-and-exit.patch.
- Refresh
patches.suse/0021-x86-spec_ctrl-Add-sysctl-knobs-to-enable-disable-SPE.patch.
- Refresh
patches.suse/0023-x86-Move-IBRS-IBPB-feature-detection-to-scattered.c.patch.
- Refresh
patches.suse/0028-x86-svm-Set-IBPB-when-running-a-different-VCPU.patch.
- Refresh
patches.suse/0029-kvm-svm-add-MSR_IA32_SPEC_CTRL-and-MSR_IA32_PRED_CMD.patch.
- Refresh patches.suse/0030-Use-the-ibrs_inuse-variable.patch.
- Refresh
patches.suse/0032-kvm-vmx-add-MSR_IA32_SPEC_CTRL-and-MSR_IA32_PRED_CMD.patch.
- Refresh
patches.suse/0034-Remove-the-code-that-uses-MSR-save-restore-list.patch.
- Refresh patches.suse/0035-Use-the-ibpb_inuse-variable.patch.
- Refresh
patches.suse/0037-Set-IBPB-when-running-a-different-VCPU.patch.
- Delete
patches.suse/0001-x86-feature-Enable-the-x86-feature-to-control-Specul.patch.
- Delete
patches.suse/0002-x86-cpufeature-Add-X86_FEATURE_IA32_ARCH_CAPS-and-X8.patch.
- Delete
patches.suse/0003-x86-Add-STIBP-feature-enumeration.patch.
- commit 5790c9a
-------------------------------------------------------------------
Thu Jan 18 11:20:11 CET 2018 - jslaby@suse.cz
- Update config files (bsc#1068032 CVE-2017-5715).
Enable RETPOLINE -- the compiler is capable of them already.
- commit 5d5345e
-------------------------------------------------------------------
Wed Jan 17 16:02:16 CET 2018 - rjschwei@suse.com
- kernel-obs-build.spec.in: enable xfs module
This allows the public cloud team to build images with XFS
as root filesystem
- commit 95a2d6f
-------------------------------------------------------------------
Wed Jan 17 15:19:38 CET 2018 - msuchanek@suse.de
- macros.kernel-source: pass -f properly in module subpackage (boo#1076393).
- commit 66bd9b8
-------------------------------------------------------------------
Wed Jan 17 10:26:10 CET 2018 - jslaby@suse.cz
- Linux 4.14.14 (bnc#1012628).
- Delete
patches.kernel.org/4.14.14-053-Revert-Revert-xfrm-Fix-stack-out-of-bounds-re.patch.
- commit eef6178
-------------------------------------------------------------------
Tue Jan 16 18:01:44 CET 2018 - tiwai@suse.de
- ALSA: seq: Make ioctls race-free (CVE-2018-1000004,bsc#1076017).
- commit 2134862
-------------------------------------------------------------------
Tue Jan 16 14:38:07 CET 2018 - jslaby@suse.cz
- ORC: fix retpolines segfaults (bnc#1068032 CVE-2017-5715).
- commit 470cac2
-------------------------------------------------------------------
Tue Jan 16 13:54:06 CET 2018 - jslaby@suse.cz
- dm bufio: fix shrinker scans when (nr_to_scan < retain_target)
(bnc#1012628).
- KVM: Fix stack-out-of-bounds read in write_mmio (bnc#1012628).
- can: vxcan: improve handling of missing peer name attribute
(bnc#1012628).
- can: gs_usb: fix return value of the "set_bittiming" callback
(bnc#1012628).
- IB/srpt: Disable RDMA access by the initiator (bnc#1012628).
- IB/srpt: Fix ACL lookup during login (bnc#1012628).
- MIPS: Validate PR_SET_FP_MODE prctl(2) requests against the
ABI of the task (bnc#1012628).
- MIPS: Factor out NT_PRFPREG regset access helpers (bnc#1012628).
- MIPS: Guard against any partial write attempt with
PTRACE_SETREGSET (bnc#1012628).
- MIPS: Consistently handle buffer counter with PTRACE_SETREGSET
(bnc#1012628).
- MIPS: Fix an FCSR access API regression with NT_PRFPREG and MSA
(bnc#1012628).
- MIPS: Also verify sizeof `elf_fpreg_t' with PTRACE_SETREGSET
(bnc#1012628).
- MIPS: Disallow outsized PTRACE_SETREGSET NT_PRFPREG regset
accesses (bnc#1012628).
- cgroup: fix css_task_iter crash on CSS_TASK_ITER_PROC
(bnc#1012628).
- kvm: vmx: Scrub hardware GPRs at VM-exit (bnc#1012628
bnc#1068032 CVE-2017-5715).
- platform/x86: wmi: Call acpi_wmi_init() later (bnc#1012628).
- iw_cxgb4: only call the cq comp_handler when the cq is armed
(bnc#1012628).
- iw_cxgb4: atomically flush the qp (bnc#1012628).
- iw_cxgb4: only clear the ARMED bit if a notification is needed
(bnc#1012628).
- iw_cxgb4: reflect the original WR opcode in drain cqes
(bnc#1012628).
- iw_cxgb4: when flushing, complete all wrs in a chain
(bnc#1012628).
- x86/acpi: Handle SCI interrupts above legacy space gracefully
(bnc#1012628).
- ALSA: pcm: Remove incorrect snd_BUG_ON() usages (bnc#1012628).
- ALSA: pcm: Workaround for weird PulseAudio behavior on rewind
error (bnc#1012628).
- ALSA: pcm: Add missing error checks in OSS emulation plugin
builder (bnc#1012628).
- ALSA: pcm: Abort properly at pending signal in OSS read/write
loops (bnc#1012628).
- ALSA: pcm: Allow aborting mutex lock at OSS read/write loops
(bnc#1012628).
- ALSA: aloop: Release cable upon open error path (bnc#1012628).
- ALSA: aloop: Fix inconsistent format due to incomplete rule
(bnc#1012628).
- ALSA: aloop: Fix racy hw constraints adjustment (bnc#1012628).
- x86/acpi: Reduce code duplication in mp_override_legacy_irq()
(bnc#1012628).
- 8021q: fix a memory leak for VLAN 0 device (bnc#1012628).
- ip6_tunnel: disable dst caching if tunnel is dual-stack
(bnc#1012628).
- net: core: fix module type in sock_diag_bind (bnc#1012628).
- phylink: ensure we report link down when LOS asserted
(bnc#1012628).
- RDS: Heap OOB write in rds_message_alloc_sgs() (bnc#1012628).
- RDS: null pointer dereference in rds_atomic_free_op
(bnc#1012628).
- net: fec: restore dev_id in the cases of probe error
(bnc#1012628).
- net: fec: defer probe if regulator is not ready (bnc#1012628).
- net: fec: free/restore resource in related probe error pathes
(bnc#1012628).
- sctp: do not retransmit upon FragNeeded if PMTU discovery is
disabled (bnc#1012628).
- sctp: fix the handling of ICMP Frag Needed for too small MTUs
(bnc#1012628).
- sh_eth: fix TSU resource handling (bnc#1012628).
- net: stmmac: enable EEE in MII, GMII or RGMII only
(bnc#1012628).
- sh_eth: fix SH7757 GEther initialization (bnc#1012628).
- ipv6: fix possible mem leaks in ipv6_make_skb() (bnc#1012628).
- ethtool: do not print warning for applications using legacy API
(bnc#1012628).
- mlxsw: spectrum_router: Fix NULL pointer deref (bnc#1012628).
- net/sched: Fix update of lastuse in act modules implementing
stats_update (bnc#1012628).
- ipv6: sr: fix TLVs not being copied using setsockopt
(bnc#1012628).
- mlxsw: spectrum: Relax sanity checks during enslavement
(bnc#1012628).
- sfp: fix sfp-bus oops when removing socket/upstream
(bnc#1012628).
- Revert "Revert "xfrm: Fix stack-out-of-bounds read in
xfrm_state_find."" (bnc#1012628).
- membarrier: Disable preemption when calling
smp_call_function_many() (bnc#1012628).
- crypto: algapi - fix NULL dereference in crypto_remove_spawns()
(bnc#1012628).
- mmc: renesas_sdhi: Add MODULE_LICENSE (bnc#1012628).
- rbd: reacquire lock should update lock owner client id
(bnc#1012628).
- rbd: set max_segments to USHRT_MAX (bnc#1012628).
- iwlwifi: pcie: fix DMA memory mapping / unmapping (bnc#1012628).
- x86/microcode/intel: Extend BDW late-loading with a revision
check (bnc#1012628).
- KVM: x86: Add memory barrier on vmcs field lookup (bnc#1012628).
- KVM: PPC: Book3S PR: Fix WIMG handling under pHyp (bnc#1012628).
- KVM: PPC: Book3S HV: Drop prepare_done from struct
kvm_resize_hpt (bnc#1012628).
- KVM: PPC: Book3S HV: Fix use after free in case of multiple
resize requests (bnc#1012628).
- KVM: PPC: Book3S HV: Always flush TLB in
kvmppc_alloc_reset_hpt() (bnc#1012628).
- drm/vmwgfx: Don't cache framebuffer maps (bnc#1012628).
- drm/vmwgfx: Potential off by one in vmw_view_add()
(bnc#1012628).
- drm/i915/gvt: Clear the shadow page table entry after post-sync
(bnc#1012628).
- drm/i915: Whitelist SLICE_COMMON_ECO_CHICKEN1 on Geminilake
(bnc#1012628).
- drm/i915: Move init_clock_gating() back to where it was
(bnc#1012628).
- drm/i915: Fix init_clock_gating for resume (bnc#1012628).
- bpf: arsh is not supported in 32 bit alu thus reject it
(bnc#1012628).
- USB: serial: cp210x: add IDs for LifeScan OneTouch Verio IQ
(bnc#1012628).
- USB: serial: cp210x: add new device ID ELV ALC 8xxx
(bnc#1012628).
- usb: misc: usb3503: make sure reset is low for at least 100us
(bnc#1012628).
- USB: fix usbmon BUG trigger (bnc#1012628).
- USB: UDC core: fix double-free in usb_add_gadget_udc_release
(bnc#1012628).
- usbip: remove kernel addresses from usb device and urb debug
msgs (bnc#1012628).
- usbip: fix vudc_rx: harden CMD_SUBMIT path to handle malicious
input (bnc#1012628).
- usbip: vudc_tx: fix v_send_ret_submit() vulnerability to null
xfer buffer (bnc#1012628).
- staging: android: ashmem: fix a race condition in
ASHMEM_SET_SIZE ioctl (bnc#1012628).
- Bluetooth: Prevent stack info leak from the EFS element
(bnc#1012628).
- uas: ignore UAS for Norelsys NS1068(X) chips (bnc#1012628).
- mux: core: fix double get_device() (bnc#1012628).
- kdump: write correct address of mem_section into vmcoreinfo
(bnc#1012628).
- apparmor: fix ptrace label match when matching stacked labels
(bnc#1012628).
- e1000e: Fix e1000_check_for_copper_link_ich8lan return value
(bnc#1012628).
- x86/pti: Unbreak EFI old_memmap (bnc#1012628).
- x86/Documentation: Add PTI description (bnc#1012628).
- x86/cpufeatures: Add X86_BUG_SPECTRE_V[12] (bnc#1012628).
- sysfs/cpu: Add vulnerability folder (bnc#1012628).
- x86/cpu: Implement CPU vulnerabilites sysfs functions
(bnc#1012628).
- x86/tboot: Unbreak tboot with PTI enabled (bnc#1012628).
- x86/mm/pti: Remove dead logic in pti_user_pagetable_walk*()
(bnc#1012628).
- sysfs/cpu: Fix typos in vulnerability documentation
(bnc#1012628).
- x86/alternatives: Fix optimize_nops() checking (bnc#1012628).
- x86/pti: Make unpoison of pgd for trusted boot work for real
(bnc#1012628).
- objtool: Detect jumps to retpoline thunks (bnc#1012628).
- objtool: Allow alternatives to be ignored (bnc#1012628).
- x86/retpoline: Add initial retpoline support (bnc#1012628
bnc#1068032 CVE-2017-5715).
- x86/spectre: Add boot time option to select Spectre v2
mitigation (bnc#1012628).
- x86/retpoline/crypto: Convert crypto assembler indirect jumps
(bnc#1012628).
- x86/retpoline/entry: Convert entry assembler indirect jumps
(bnc#1012628).
- x86/retpoline/ftrace: Convert ftrace assembler indirect jumps
(bnc#1012628).
- x86/retpoline/hyperv: Convert assembler indirect jumps
(bnc#1012628).
- x86/retpoline/xen: Convert Xen hypercall indirect jumps
(bnc#1012628).
- x86/retpoline/checksum32: Convert assembler indirect jumps
(bnc#1012628).
- x86/retpoline/irq32: Convert assembler indirect jumps
(bnc#1012628).
- x86/retpoline: Fill return stack buffer on vmexit (bnc#1012628
bnc#1068032 CVE-2017-5715).
- selftests/x86: Add test_vsyscall (bnc#1012628).
- x86/pti: Fix !PCID and sanitize defines (bnc#1012628).
- security/Kconfig: Correct the Documentation reference for PTI
(bnc#1012628).
- x86,perf: Disable intel_bts when PTI (bnc#1012628).
- x86/retpoline: Remove compile time warning (bnc#1012628).
- Update config files.
- Refresh
patches.suse/0007-x86-enter-Use-IBRS-on-syscall-and-interrupts.patch.
- Refresh
patches.suse/0016-x86-kvm-add-MSR_IA32_SPEC_CTRL-and-MSR_IA32_PRED_CMD.patch.
- Refresh
patches.suse/0029-kvm-svm-add-MSR_IA32_SPEC_CTRL-and-MSR_IA32_PRED_CMD.patch.
- Refresh
patches.suse/0031-Reverting-the-commit-e5247c4f209530-to-replace.patch.
- Refresh
patches.suse/0032-kvm-vmx-add-MSR_IA32_SPEC_CTRL-and-MSR_IA32_PRED_CMD.patch.
- Delete patches.suse/0019-x86-kvm-Pad-RSB-on-VM-transition.patch.
- Delete
patches.suse/0020-x86-kvm-clear-registers-on-VM-exit.patch.
- Delete
patches.suse/0026-Revert-x86-kvm-Pad-RSB-on-VM-transition.patch.
- Delete patches.suse/0027-x86-kvm-Pad-RSB-on-VM-transition.patch.
- Delete
patches.suse/0036-Clear-the-host-registers-after-setbe.patch.
- commit edd3e75
-------------------------------------------------------------------
Mon Jan 15 15:08:48 CET 2018 - jeffm@suse.com
- Update to 4.15-rc8.
- Eliminated 3 patches.
- Config changes:
- Security:
- BPF_JIT_ALWAYS_ON=y
- RETPOLINE=n (depends on gcc with -mindirect-branch=thunk-extern)
- commit 05e4405
-------------------------------------------------------------------
Fri Jan 12 17:34:16 CET 2018 - jslaby@suse.cz
- bpf, array: fix overflow in max_entries and undefined behavior
in index_mask (bsc#1068032 CVE-2017-5753).
- commit 3283516
-------------------------------------------------------------------
Fri Jan 12 15:12:13 CET 2018 - jslaby@suse.cz
- Refresh
patches.suse/bpf-prevent-out-of-bounds-speculation.patch.
- Delete
patches.suse/0004-bpf-prevent-speculative-execution-in-eBPF-interprete.patch.
Update the upstream status of the former.
And removed the latter as it has been just replaced by the proper
upstream solution.
- commit 20ac09f
-------------------------------------------------------------------
Fri Jan 12 10:04:49 CET 2018 - jslaby@suse.cz
- bpf: prevent out-of-bounds speculation (bsc#1068032
CVE-2017-5753).
- commit 0eca303
-------------------------------------------------------------------
Thu Jan 11 19:57:16 CET 2018 - matwey.kornilov@gmail.com
- config: arm64: Enable Aardvark PCIe controller
Aardvark PCIe controller is a part of Marvel Armada 3700 SoC.
This option is required to support PCIe for JeOS-espressobin.
- commit b0bb655
-------------------------------------------------------------------
Thu Jan 11 16:39:36 CET 2018 - tiwai@suse.de
- drm/nouveau/disp/gf119: add missing drive vfunc ptr
(bsc#1075613).
- commit 80f2eaf
-------------------------------------------------------------------
Thu Jan 11 10:41:47 CET 2018 - lpechacek@suse.com
- rpm/kernel-binary.spec.in: more specific kGraft Provides: (fate#323682)
Follow openSUSE packaging practices described at
https://en.opensuse.org/openSUSE:Package_dependencies#Renaming_a_package.
- commit 050081b
-------------------------------------------------------------------
Thu Jan 11 09:01:53 CET 2018 - mkubecek@suse.cz
- Update
patches.kernel.org/4.14.9-161-bpf-fix-branch-pruning-logic.patch
references (add CVE-2017-17862 CVE-2017-17864 bsc#1073928).
- Update
patches.kernel.org/4.14.9-166-bpf-verifier-fix-bounds-calculation-on-BPF_RSH.patch
references (add CVE-2017-17853 bsc#1073928).
- Update
patches.kernel.org/4.14.9-167-bpf-fix-incorrect-sign-extension-in-check_alu_.patch
references (add CVE-2017-16995 bsc#1073928).
- Update
patches.kernel.org/4.14.9-168-bpf-fix-incorrect-tracking-of-register-size-tr.patch
references (add CVE-2017-16996 bsc#1073928).
- Update
patches.kernel.org/4.14.9-169-bpf-fix-32-bit-ALU-op-verification.patch
references (add CVE-2017-17852 bsc#1073928).
- Update
patches.kernel.org/4.14.9-170-bpf-fix-missing-error-return-in-check_stack_bo.patch
references (add CVE-2017-17857 bsc#1073928).
- Update
patches.kernel.org/4.14.9-171-bpf-force-strict-alignment-checks-for-stack-po.patch
references (add CVE-2017-17856 bsc#1073928).
- Update
patches.kernel.org/4.14.9-172-bpf-don-t-prune-branches-when-a-scalar-is-repl.patch
references (add CVE-2017-17855 bsc#1073928).
- Update
patches.kernel.org/4.14.9-173-bpf-fix-integer-overflows.patch
references (add CVE-2017-17854 bsc#1073928).
- Update
patches.kernel.org/4.14.9-174-selftests-bpf-add-tests-for-recent-bugfixes.patch
references (add bsc#1073928).
- commit 4a4cd97
-------------------------------------------------------------------
Thu Jan 11 08:55:30 CET 2018 - mkubecek@suse.cz
- Fix mainline reference:
patches.kernel.org/4.14.9-170-bpf-fix-missing-error-return-in-check_stack_bo.patch.
- commit 98662d2
-------------------------------------------------------------------
Thu Jan 11 08:42:23 CET 2018 - mkubecek@suse.cz
- Update
patches.kernel.org/4.14.11-084-net-Fix-double-free-and-memory-corruption-in-.patch
references (add CVE-2017-15129 bsc#1074839).
- commit 7acebb3
-------------------------------------------------------------------
Wed Jan 10 10:40:45 CET 2018 - jslaby@suse.cz
- x86/cpu/AMD: Make LFENCE a serializing instruction (bsc#1068032
CVE-2017-5754).
- x86/cpu/AMD: Use LFENCE_RDTSC in preference to MFENCE_RDTSC
(bsc#1068032 CVE-2017-5754).
- Delete
patches.suse/0001-x86-cpu-AMD-Make-the-LFENCE-instruction-serialized.patch.
- Delete
patches.suse/0002-x86-cpu-AMD-Remove-now-unused-definition-of-MFENCE_R.patch.
Use the variants from upstream (tip tree).
- commit 33b16eb
-------------------------------------------------------------------
Wed Jan 10 10:14:27 CET 2018 - jslaby@suse.cz
- Linux 4.14.13 (bnc#1012628).
- x86/mm: Set MODULES_END to 0xffffffffff000000 (bnc#1012628).
- x86/mm: Map cpu_entry_area at the same place on 4/5 level
(bnc#1012628).
- x86/kaslr: Fix the vaddr_end mess (bnc#1012628).
- x86/events/intel/ds: Use the proper cache flush method for
mapping ds buffers (bnc#1012628).
- x86/tlb: Drop the _GPL from the cpu_tlbstate export
(bnc#1012628).
- x86/alternatives: Add missing '\n' at end of ALTERNATIVE inline
asm (bnc#1012628).
- x86/pti: Rename BUG_CPU_INSECURE to BUG_CPU_MELTDOWN
(bnc#1012628).
- kernel/acct.c: fix the acct->needcheck check in
check_free_space() (bnc#1012628).
- mm/mprotect: add a cond_resched() inside change_pmd_range()
(bnc#1012628).
- mm/sparse.c: wrong allocation for mem_section (bnc#1012628).
- userfaultfd: clear the vma->vm_userfaultfd_ctx if
UFFD_EVENT_FORK fails (bnc#1012628).
- btrfs: fix refcount_t usage when deleting btrfs_delayed_nodes
(bnc#1012628).
- efi/capsule-loader: Reinstate virtual capsule mapping
(bnc#1012628).
- crypto: n2 - cure use after free (bnc#1012628).
- crypto: chacha20poly1305 - validate the digest size
(bnc#1012628).
- crypto: pcrypt - fix freeing pcrypt instances (bnc#1012628).
- crypto: chelsio - select CRYPTO_GF128MUL (bnc#1012628).
- drm/i915: Disable DC states around GMBUS on GLK (bnc#1012628).
- drm/i915: Apply Display WA #1183 on skl, kbl, and cfl
(bnc#1012628).
- sunxi-rsb: Include OF based modalias in device uevent
(bnc#1012628).
- fscache: Fix the default for fscache_maybe_release_page()
(bnc#1012628).
- x86 / CPU: Avoid unnecessary IPIs in arch_freq_get_on_cpu()
(bnc#1012628).
- x86 / CPU: Always show current CPU frequency in /proc/cpuinfo
(bnc#1012628).
- kernel/signal.c: protect the traced SIGNAL_UNKILLABLE tasks
from SIGKILL (bnc#1012628).
- kernel/signal.c: protect the SIGNAL_UNKILLABLE tasks from
!sig_kernel_only() signals (bnc#1012628).
- kernel/signal.c: remove the no longer needed SIGNAL_UNKILLABLE
check in complete_signal() (bnc#1012628).
- iommu/arm-smmu-v3: Don't free page table ops twice
(bnc#1012628).
- iommu/arm-smmu-v3: Cope with duplicated Stream IDs
(bnc#1012628).
- ARC: uaccess: dont use "l" gcc inline asm constraint modifier
(bnc#1012628).
- powerpc/mm: Fix SEGV on mapped region to return SEGV_ACCERR
(bnc#1012628).
- Input: elantech - add new icbody type 15 (bnc#1012628).
- apparmor: fix regression in mount mediation when feature set
is pinned (bnc#1012628).
- parisc: Fix alignment of pa_tlb_lock in assembly on 32-bit
SMP kernel (bnc#1012628).
- parisc: qemu idle sleep support (bnc#1012628).
- mtd: nand: pxa3xx: Fix READOOB implementation (bnc#1012628).
- KVM: s390: fix cmma migration for multiple memory slots
(bnc#1012628).
- KVM: s390: prevent buffer overrun on memory hotplug during
migration (bnc#1012628).
- commit bd444a0
-------------------------------------------------------------------
Tue Jan 9 14:35:46 CET 2018 - jslaby@suse.cz
- Refresh
patches.suse/0007-x86-enter-Use-IBRS-on-syscall-and-interrupts.patch.
- Refresh
patches.suse/0013-x86-entry-Stuff-RSB-for-entry-to-kernel-for-non-SMEP.patch.
- Refresh
patches.suse/0015-x86-syscall-Clear-unused-extra-registers-on-32-bit-c.patch.
Fix double fault in 32bit binaries (bnc#1074869, bnc#1074918,
bnc#1074920, bnc#1074921, bnc#1075018, bnc#1075034)
- commit f4b3cf0
-------------------------------------------------------------------
Mon Jan 8 11:23:11 CET 2018 - msuchanek@suse.de
- kernel-obs-build.spec.in: add --no-hostonly-cmdline to dracut invocation (boo#1062303).
call dracut with --no-hostonly-cmdline to avoid the random rootfs UUID
being added into the initrd's /etc/cmdline.d/95root-dev.conf
- commit da5186f
-------------------------------------------------------------------
Mon Jan 8 04:46:44 CET 2018 - jeffm@suse.com
- Update to 4.15-rc7.
- Eliminated 1 patch.
- commit b07c570
-------------------------------------------------------------------
Sat Jan 6 10:10:30 CET 2018 - jslaby@suse.cz
- rpm/constraints.in: lower kernel-syzkaller's mem requirements
OBS now reports that it needs only around 2G, so lower the limit to
8G, so that more compliant workers can be used.
- commit a73399a
-------------------------------------------------------------------
Fri Jan 5 19:15:55 CET 2018 - jslaby@suse.cz
- Linux 4.14.12 (bnc#1012628).
- exec: Weaken dumpability for secureexec (bnc#1012628).
- capabilities: fix buffer overread on very short xattr
(bnc#1012628).
- x86/cpu, x86/pti: Do not enable PTI on AMD processors
(bnc#1012628).
- x86/pti: Make sure the user/kernel PTEs match (bnc#1012628).
- x86/dumpstack: Fix partial register dumps (bnc#1012628).
- x86/dumpstack: Print registers for first stack frame
(bnc#1012628).
- x86/pti: Switch to kernel CR3 at early in entry_SYSCALL_compat()
(bnc#1012628).
- x86/process: Define cpu_tss_rw in same section as declaration
(bnc#1012628).
- Revert "xfrm: Fix stack-out-of-bounds read in
xfrm_state_find." (bnc#1012628).
- rtc: m41t80: m41t80_sqw_set_rate should return 0 on success
(bnc#1012628).
- rtc: m41t80: fix m41t80_sqw_round_rate return value
(bnc#1012628).
- rtc: m41t80: avoid i2c read in m41t80_sqw_recalc_rate
(bnc#1012628).
- rtc: m41t80: avoid i2c read in m41t80_sqw_is_prepared
(bnc#1012628).
- rtc: m41t80: remove unneeded checks from m41t80_sqw_set_rate
(bnc#1012628).
- Refresh
patches.suse/0002-x86-stacktrace-make-clear-the-success-paths.patch.
- Refresh
patches.suse/0007-x86-enter-Use-IBRS-on-syscall-and-interrupts.patch.
- Refresh
patches.suse/0013-x86-entry-Stuff-RSB-for-entry-to-kernel-for-non-SMEP.patch.
- Refresh
patches.suse/0015-x86-syscall-Clear-unused-extra-registers-on-32-bit-c.patch.
- commit 3cf399e
-------------------------------------------------------------------
Thu Jan 4 12:32:07 CET 2018 - jslaby@suse.cz
- Refresh
patches.suse/0027-x86-kvm-Pad-RSB-on-VM-transition.patch.
- Refresh
patches.suse/0031-Reverting-the-commit-e5247c4f209530-to-replace.patch.
Fix i386 build.
- commit c36893f
-------------------------------------------------------------------
Wed Jan 3 21:41:58 CET 2018 - jslaby@suse.cz
- Set IBPB when running a different VCPU (bnc#1068032
CVE-2017-5715).
- Clear the host registers after setbe (bnc#1068032
CVE-2017-5715).
- Use the ibpb_inuse variable (bnc#1068032 CVE-2017-5715).
- Remove the code that uses MSR save/restore list (bnc#1068032
CVE-2017-5715).
- KVM: x86: add SPEC_CTRL to MSR and CPUID lists (bnc#1068032
CVE-2017-5715).
- kvm: vmx: add MSR_IA32_SPEC_CTRL and MSR_IA32_PRED_CMD
(bnc#1068032 CVE-2017-5715).
- Reverting the commit e5247c4f209530 to replace (bnc#1068032
CVE-2017-5715).
- Use the "ibrs_inuse" variable (bnc#1068032 CVE-2017-5715).
- kvm: svm: add MSR_IA32_SPEC_CTRL and MSR_IA32_PRED_CMD
(bnc#1068032 CVE-2017-5715).
- x86/svm: Set IBPB when running a different VCPU (bnc#1068032
CVE-2017-5715).
- x86/kvm: Pad RSB on VM transition (bnc#1068032 CVE-2017-5715).
- Revert x86/kvm: Pad RSB on VM transition (bnc#1068032
CVE-2017-5715).
- x86/cpu/AMD: Add speculative control support for AMD
(bnc#1068032 CVE-2017-5715).
- x86/microcode: Recheck IBRS and IBPB feature on microcode reload
(bnc#1068032 CVE-2017-5715).
- x86: Move IBRS/IBPB feature detection to scattered.c
(bnc#1068032 CVE-2017-5715).
- x86/spec_ctrl: Add lock to serialize changes to ibrs and ibpb
control (bnc#1068032 CVE-2017-5715).
- x86/spec_ctrl: Add sysctl knobs to enable/disable SPEC_CTRL
feature (bnc#1068032 CVE-2017-5715).
- x86/kvm: clear registers on VM exit (bnc#1068032 CVE-2017-5715).
- x86/kvm: Pad RSB on VM transition (bnc#1068032 CVE-2017-5715).
- x86/kvm: Toggle IBRS on VM entry and exit (bnc#1068032
CVE-2017-5715).
- x86/kvm: Set IBPB when switching VM (bnc#1068032 CVE-2017-5715).
- x86/kvm: add MSR_IA32_SPEC_CTRL and MSR_IA32_PRED_CMD to kvm
(bnc#1068032 CVE-2017-5715).
- x86/syscall: Clear unused extra registers on 32-bit compatible
syscall entrance (bnc#1068032 CVE-2017-5715).
- x86/syscall: Clear unused extra registers on syscall entrance
(bnc#1068032 CVE-2017-5715).
- x86/entry: Stuff RSB for entry to kernel for non-SMEP platform
(bnc#1068032 CVE-2017-5715).
- x86/mm: Only set IBPB when the new thread cannot ptrace current
thread (bnc#1068032 CVE-2017-5715).
- x86/mm: Set IBPB upon context switch (bnc#1068032
CVE-2017-5715).
- x86/idle: Disable IBRS when offlining cpu and re-enable on
wakeup (bnc#1068032 CVE-2017-5715).
- x86/idle: Disable IBRS entering idle and enable it on wakeup
(bnc#1068032 CVE-2017-5715).
- x86/spec_ctrl: save IBRS MSR value in paranoid_entry
(bnc#1068032 CVE-2017-5715).
- x86/enter: Use IBRS on syscall and interrupts (bnc#1068032
CVE-2017-5715).
- x86: Add macro that does not save rax, rcx, rdx on stack to
disable IBRS (bnc#1068032 CVE-2017-5715).
- x86/enter: MACROS to set/clear IBRS and set IBPB (bnc#1068032
CVE-2017-5715).
- x86/feature: Report presence of IBPB and IBRS control
(bnc#1068032 CVE-2017-5715).
- x86: Add STIBP feature enumeration (bnc#1068032 CVE-2017-5715).
- x86/cpufeature: Add X86_FEATURE_IA32_ARCH_CAPS and
X86_FEATURE_IBRS_ATT (bnc#1068032 CVE-2017-5715).
- x86/feature: Enable the x86 feature to control Speculation
(bnc#1068032 CVE-2017-5715).
- commit 816f713
-------------------------------------------------------------------
Wed Jan 3 19:49:03 CET 2018 - jslaby@suse.cz
- userns: prevent speculative execution (bnc#1068032
CVE-2017-5753).
- udf: prevent speculative execution (bnc#1068032 CVE-2017-5753).
- net: mpls: prevent speculative execution (bnc#1068032
CVE-2017-5753).
- fs: prevent speculative execution (bnc#1068032 CVE-2017-5753).
- ipv6: prevent speculative execution (bnc#1068032 CVE-2017-5753).
- ipv4: prevent speculative execution (bnc#1068032 CVE-2017-5753).
- Thermal/int340x: prevent speculative execution (bnc#1068032
CVE-2017-5753).
- cw1200: prevent speculative execution (bnc#1068032
CVE-2017-5753).
- qla2xxx: prevent speculative execution (bnc#1068032
CVE-2017-5753).
- p54: prevent speculative execution (bnc#1068032 CVE-2017-5753).
- carl9170: prevent speculative execution (bnc#1068032
CVE-2017-5753).
- uvcvideo: prevent speculative execution (bnc#1068032
CVE-2017-5753).
- x86, bpf, jit: prevent speculative execution when JIT is enabled
(bnc#1068032 CVE-2017-5753).
- bpf: prevent speculative execution in eBPF interpreter
(bnc#1068032 CVE-2017-5753).
- locking/barriers: introduce new observable speculation barrier
(bnc#1068032 CVE-2017-5753).
- x86/cpu/AMD: Remove now unused definition of MFENCE_RDTSC
feature (bnc#1068032 CVE-2017-5753).
- x86/cpu/AMD: Make the LFENCE instruction serialized (bnc#1068032
CVE-2017-5753).
- commit cf46932
-------------------------------------------------------------------
Wed Jan 3 16:57:12 CET 2018 - jslaby@suse.cz
- Linux 4.14.11 (bnc#1012628).
- tracing: Remove extra zeroing out of the ring buffer page
(bnc#1012628).
- tracing: Fix possible double free on failure of allocating
trace buffer (bnc#1012628).
- tracing: Fix crash when it fails to alloc ring buffer
(bnc#1012628).
- x86/cpufeatures: Add X86_BUG_CPU_INSECURE (bnc#1012628).
- x86/mm/pti: Disable global pages if PAGE_TABLE_ISOLATION=y
(bnc#1012628).
- x86/mm/pti: Prepare the x86/entry assembly code for entry/exit
CR3 switching (bnc#1012628).
- x86/mm/pti: Add infrastructure for page table isolation
(bnc#1012628).
- x86/pti: Add the pti= cmdline option and documentation
(bnc#1012628).
- x86/mm/pti: Add mapping helper functions (bnc#1012628).
- x86/mm/pti: Allow NX poison to be set in p4d/pgd (bnc#1012628).
- x86/mm/pti: Allocate a separate user PGD (bnc#1012628).
- x86/mm/pti: Populate user PGD (bnc#1012628).
- x86/mm/pti: Add functions to clone kernel PMDs (bnc#1012628).
- x86/mm/pti: Force entry through trampoline when PTI active
(bnc#1012628).
- x86/mm/pti: Share cpu_entry_area with user space page tables
(bnc#1012628).
- x86/entry: Align entry text section to PMD boundary
(bnc#1012628).
- x86/mm/pti: Share entry text PMD (bnc#1012628).
- x86/mm/pti: Map ESPFIX into user space (bnc#1012628).
- x86/cpu_entry_area: Add debugstore entries to cpu_entry_area
(bnc#1012628).
- x86/events/intel/ds: Map debug buffers in cpu_entry_area
(bnc#1012628).
- x86/mm/64: Make a full PGD-entry size hole in the memory map
(bnc#1012628).
- x86/pti: Put the LDT in its own PGD if PTI is on (bnc#1012628).
- x86/pti: Map the vsyscall page if needed (bnc#1012628).
- x86/mm: Allow flushing for future ASID switches (bnc#1012628).
- x86/mm: Abstract switching CR3 (bnc#1012628).
- x86/mm: Use/Fix PCID to optimize user/kernel switches
(bnc#1012628).
- x86/mm: Optimize RESTORE_CR3 (bnc#1012628).
- x86/mm: Use INVPCID for __native_flush_tlb_single()
(bnc#1012628).
- x86/mm: Clarify the whole ASID/kernel PCID/user PCID naming
(bnc#1012628).
- x86/dumpstack: Indicate in Oops whether PTI is configured and
enabled (bnc#1012628).
- x86/mm/pti: Add Kconfig (bnc#1012628).
- x86/mm/dump_pagetables: Add page table directory to the debugfs
VFS hierarchy (bnc#1012628).
- x86/mm/dump_pagetables: Check user space page table for WX pages
(bnc#1012628).
- x86/mm/dump_pagetables: Allow dumping current pagetables
(bnc#1012628).
- x86/ldt: Make the LDT mapping RO (bnc#1012628).
- ring-buffer: Mask out the info bits when returning buffer page
length (bnc#1012628).
- ring-buffer: Do no reuse reader page if still in use
(bnc#1012628).
- iw_cxgb4: Only validate the MSN for successful completions
(bnc#1012628).
- ASoC: codecs: msm8916-wcd: Fix supported formats (bnc#1012628).
- ASoC: wm_adsp: Fix validation of firmware and coeff lengths
(bnc#1012628).
- ASoC: da7218: fix fix child-node lookup (bnc#1012628).
- ASoC: fsl_ssi: AC'97 ops need regmap, clock and cleaning up
on failure (bnc#1012628).
- ASoC: twl4030: fix child-node lookup (bnc#1012628).
- ASoC: tlv320aic31xx: Fix GPIO1 register definition
(bnc#1012628).
- gpio: fix "gpio-line-names" property retrieval (bnc#1012628).
- IB/hfi: Only read capability registers if the capability exists
(bnc#1012628).
- IB/mlx5: Serialize access to the VMA list (bnc#1012628).
- IB/uverbs: Fix command checking as part of
ib_uverbs_ex_modify_qp() (bnc#1012628).
- IB/core: Verify that QP is security enabled in create and
destroy (bnc#1012628).
- ALSA: hda: Drop useless WARN_ON() (bnc#1012628).
- ALSA: hda - Add MIC_NO_PRESENCE fixup for 2 HP machines
(bnc#1012628).
- ALSA: hda - change the location for one mic on a Lenovo machine
(bnc#1012628).
- ALSA: hda - fix headset mic detection issue on a Dell machine
(bnc#1012628).
- ALSA: hda - Fix missing COEF init for ALC225/295/299
(bnc#1012628).
- cpufreq: schedutil: Use idle_calls counter of the remote CPU
(bnc#1012628).
- block: fix blk_rq_append_bio (bnc#1012628).
- block: don't let passthrough IO go into .make_request_fn()
(bnc#1012628).
- kbuild: add '-fno-stack-check' to kernel build options
(bnc#1012628).
- ipv4: igmp: guard against silly MTU values (bnc#1012628).
- ipv6: mcast: better catch silly mtu values (bnc#1012628).
- net: fec: unmap the xmit buffer that are not transferred by DMA
(bnc#1012628).
- net: igmp: Use correct source address on IGMPv3 reports
(bnc#1012628).
- net: qmi_wwan: add Sierra EM7565 1199:9091 (bnc#1012628).
- net: reevalulate autoflowlabel setting after sysctl setting
(bnc#1012628).
- ptr_ring: add barriers (bnc#1012628).
- RDS: Check cmsg_len before dereferencing CMSG_DATA
(bnc#1012628).
- tcp_bbr: record "full bw reached" decision in new
full_bw_reached bit (bnc#1012628).
- tcp md5sig: Use skb's saddr when replying to an incoming segment
(bnc#1012628).
- tg3: Fix rx hang on MTU change with 5717/5719 (bnc#1012628).
- tcp_bbr: reset full pipe detection on loss recovery undo
(bnc#1012628).
- tcp_bbr: reset long-term bandwidth sampling on loss recovery
undo (bnc#1012628).
- s390/qeth: apply takeover changes when mode is toggled
(bnc#1012628).
- s390/qeth: don't apply takeover changes to RXIP (bnc#1012628).
- s390/qeth: lock IP table while applying takeover changes
(bnc#1012628).
- s390/qeth: update takeover IPs after configuration change
(bnc#1012628).
- net: ipv4: fix for a race condition in raw_sendmsg
(bnc#1012628).
- net: mvmdio: disable/unprepare clocks in EPROBE_DEFER case
(bnc#1012628).
- sctp: Replace use of sockets_allocated with specified macro
(bnc#1012628).
- adding missing rcu_read_unlock in ipxip6_rcv (bnc#1012628).
- ip6_gre: fix device features for ioctl setup (bnc#1012628).
- ipv4: Fix use-after-free when flushing FIB tables (bnc#1012628).
- net: bridge: fix early call to br_stp_change_bridge_id and
plug newlink leaks (bnc#1012628).
- net: Fix double free and memory corruption in get_net_ns_by_id()
(bnc#1012628).
- net: phy: micrel: ksz9031: reconfigure autoneg after phy
autoneg workaround (bnc#1012628).
- sock: free skb in skb_complete_tx_timestamp on error
(bnc#1012628).
- tcp: invalidate rate samples during SACK reneging (bnc#1012628).
- net/mlx5: Fix rate limit packet pacing naming and struct
(bnc#1012628).
- net/mlx5e: Fix possible deadlock of VXLAN lock (bnc#1012628).
- net/mlx5e: Fix features check of IPv6 traffic (bnc#1012628).
- net/mlx5e: Add refcount to VXLAN structure (bnc#1012628).
- net/mlx5e: Prevent possible races in VXLAN control flow
(bnc#1012628).
- net/mlx5: Fix error flow in CREATE_QP command (bnc#1012628).
- openvswitch: Fix pop_vlan action for double tagged frames
(bnc#1012628).
- sfc: pass valid pointers from efx_enqueue_unwind (bnc#1012628).
- net: dsa: bcm_sf2: Clear IDDQ_GLOBAL_PWR bit for PHY
(bnc#1012628).
- s390/qeth: fix error handling in checksum cmd callback
(bnc#1012628).
- sctp: make sure stream nums can match optlen in
sctp_setsockopt_reset_streams (bnc#1012628).
- tipc: fix hanging poll() for stream sockets (bnc#1012628).
- mlxsw: spectrum: Disable MAC learning for ovs port
(bnc#1012628).
- tcp: fix potential underestimation on rcv_rtt (bnc#1012628).
- net: phy: marvell: Limit 88m1101 autoneg errata to 88E1145 as
well (bnc#1012628).
- ipv6: Honor specified parameters in fibmatch lookup
(bnc#1012628).
- tcp: refresh tcp_mstamp from timers callbacks (bnc#1012628).
- net/mlx5: FPGA, return -EINVAL if size is zero (bnc#1012628).
- vxlan: restore dev->mtu setting based on lower device
(bnc#1012628).
- net: sched: fix static key imbalance in case of
ingress/clsact_init error (bnc#1012628).
- bnxt_en: Fix sources of spurious netpoll warnings (bnc#1012628).
- phylink: ensure the PHY interface mode is appropriately set
(bnc#1012628).
- phylink: ensure AN is enabled (bnc#1012628).
- ipv4: fib: Fix metrics match when deleting a route
(bnc#1012628).
- ipv6: set all.accept_dad to 0 by default (bnc#1012628).
- Revert "mlx5: move affinity hints assignments to generic code"
(bnc#1012628).
- skbuff: orphan frags before zerocopy clone (bnc#1012628).
- skbuff: skb_copy_ubufs must release uarg even without user frags
(bnc#1012628).
- skbuff: in skb_copy_ubufs unclone before releasing zerocopy
(bnc#1012628).
- sparc64: repair calling incorrect hweight function from stubs
(bnc#1012628).
- usbip: fix usbip bind writing random string after command in
match_busid (bnc#1012628).
- usbip: prevent leaking socket pointer address in messages
(bnc#1012628).
- usbip: stub: stop printing kernel pointer addresses in messages
(bnc#1012628).
- usbip: vhci: stop printing kernel pointer addresses in messages
(bnc#1012628).
- USB: chipidea: msm: fix ulpi-node lookup (bnc#1012628).
- USB: serial: ftdi_sio: add id for Airbus DS P8GR (bnc#1012628).
- USB: serial: qcserial: add Sierra Wireless EM7565 (bnc#1012628).
- USB: serial: option: add support for Telit ME910 PID 0x1101
(bnc#1012628).
- USB: serial: option: adding support for YUGA CLM920-NC5
(bnc#1012628).
- usb: Add device quirk for Logitech HD Pro Webcam C925e
(bnc#1012628).
- usb: add RESET_RESUME for ELSA MicroLink 56K (bnc#1012628).
- USB: Fix off by one in type-specific length check of BOS SSP
capability (bnc#1012628).
- usb: xhci: Add XHCI_TRUST_TX_LENGTH for Renesas uPD720201
(bnc#1012628).
- timers: Use deferrable base independent of base::nohz_active
(bnc#1012628).
- timers: Invoke timer_start_debug() where it makes sense
(bnc#1012628).
- timers: Reinitialize per cpu bases on hotplug (bnc#1012628).
- binder: fix proc->files use-after-free (bnc#1012628).
- phy: tegra: fix device-tree node lookups (bnc#1012628).
- drivers: base: cacheinfo: fix cache type for non-architected
system cache (bnc#1012628).
- staging: android: ion: Fix dma direction for
dma_sync_sg_for_cpu/device (bnc#1012628).
- nohz: Prevent a timer interrupt storm in
tick_nohz_stop_sched_tick() (bnc#1012628).
- x86/smpboot: Remove stale TLB flush invocations (bnc#1012628).
- x86/mm: Remove preempt_disable/enable() from
__native_flush_tlb() (bnc#1012628).
- x86-32: Fix kexec with stack canary (CONFIG_CC_STACKPROTECTOR)
(bnc#1012628).
- x86/espfix/64: Fix espfix double-fault handling on 5-level
systems (bnc#1012628).
- x86/ldt: Plug memory leak in error path (bnc#1012628).
- x86/ldt: Make LDT pgtable free conditional (bnc#1012628).
- n_tty: fix EXTPROC vs ICANON interaction with TIOCINQ (aka
FIONREAD) (bnc#1012628).
- tty: fix tty_ldisc_receive_buf() documentation (bnc#1012628).
- Update config files.
- commit 58fec0f
-------------------------------------------------------------------
Wed Jan 3 15:34:27 CET 2018 - jeffm@suse.com
- config: x86, PAGE_TABLE_ISOLATION=y (bsc#1068032).
- commit 4343d87
-------------------------------------------------------------------
Tue Jan 2 15:14:16 CET 2018 - jslaby@suse.cz
- userns: prevent speculative execution (bnc#1068032
CVE-2017-5753).
- udf: prevent speculative execution (bnc#1068032 CVE-2017-5753).
- net: mpls: prevent speculative execution (bnc#1068032
CVE-2017-5753).
- fs: prevent speculative execution (bnc#1068032 CVE-2017-5753).
- ipv6: prevent speculative execution (bnc#1068032 CVE-2017-5753).
- ipv4: prevent speculative execution (bnc#1068032 CVE-2017-5753).
- Thermal/int340x: prevent speculative execution (bnc#1068032
CVE-2017-5753).
- cw1200: prevent speculative execution (bnc#1068032
CVE-2017-5753).
- qla2xxx: prevent speculative execution (bnc#1068032
CVE-2017-5753).
- p54: prevent speculative execution (bnc#1068032 CVE-2017-5753).
- carl9170: prevent speculative execution (bnc#1068032
CVE-2017-5753).
- uvcvideo: prevent speculative execution (bnc#1068032
CVE-2017-5753).
- x86, bpf, jit: prevent speculative execution when JIT is enabled
(bnc#1068032 CVE-2017-5753).
- bpf: prevent speculative execution in eBPF interpreter
(bnc#1068032 CVE-2017-5753).
- locking/barriers: introduce new observable speculation barrier
(bnc#1068032 CVE-2017-5753).
- x86/cpu/AMD: Remove now unused definition of MFENCE_RDTSC
feature (bnc#1068032 CVE-2017-5753).
- x86/cpu/AMD: Make the LFENCE instruction serialized (bnc#1068032
CVE-2017-5753).
- commit ee4aa62
-------------------------------------------------------------------
Tue Jan 2 04:37:31 CET 2018 - jeffm@suse.com
- Update to 4.15-rc6.
- Config changes:
- x86: PAGE_TABLE_ISOLATION=n (default, performance)
- commit cd70bd8
-------------------------------------------------------------------
Mon Jan 1 09:55:10 CET 2018 - jslaby@suse.cz
- Update config files.
- i386: NR_CPUS 128->64
- 7bbcbd3d1cd (x86/Kconfig: Limit NR_CPUS on 32-bit to a sane
amount)
- commit 69201cf
-------------------------------------------------------------------
Fri Dec 29 19:51:33 CET 2017 - jslaby@suse.cz
- Linux 4.14.10 (bnc#1012628).
- Revert "ipmi_si: fix memory leak on new_smi" (bnc#1012628).
- net: mvneta: eliminate wrong call to handle rx descriptor error
(bnc#1012628).
- net: mvneta: use proper rxq_number in loop on rx queues
(bnc#1012628).
- net: mvneta: clear interface link status on port disable
(bnc#1012628).
- libnvdimm, pfn: fix start_pad handling for aligned namespaces
(bnc#1012628).
- libnvdimm, btt: Fix an incompatibility in the log layout
(bnc#1012628).
- libnvdimm, dax: fix 1GB-aligned namespaces vs physical
misalignment (bnc#1012628).
- drm/sun4i: Fix error path handling (bnc#1012628).
- drm/i915: Flush pending GTT writes before unbinding
(bnc#1012628).
- powerpc/perf: Dereference BHRB entries safely (bnc#1012628).
- clk: sunxi: sun9i-mmc: Implement reset callback for reset
controls (bnc#1012628).
- kvm: x86: fix RSM when PCID is non-zero (bnc#1012628).
- KVM: X86: Fix load RFLAGS w/o the fixed bit (bnc#1012628).
- KVM: MMU: Fix infinite loop when there is no available mmu page
(bnc#1012628).
- KVM: PPC: Book3S HV: Fix pending_pri value in
kvmppc_xive_get_icp() (bnc#1012628).
- KVM: PPC: Book3S: fix XIVE migration of pending interrupts
(bnc#1012628).
- KVM: arm/arm64: Fix HYP unmapping going off limits
(bnc#1012628).
- arm64: kvm: Prevent restoring stale PMSCR_EL1 for vcpu
(bnc#1012628).
- pinctrl: cherryview: Mask all interrupts on Intel_Strago based
systems (bnc#1012628).
- spi: a3700: Fix clk prescaling for coefficient over 15
(bnc#1012628).
- spi: xilinx: Detect stall with Unknown commands (bnc#1012628).
- Revert "parisc: Re-enable interrupts early" (bnc#1012628).
- parisc: Hide Diva-built-in serial aux and graphics card
(bnc#1012628).
- parisc: Fix indenting in puts() (bnc#1012628).
- parisc: Align os_hpmc_size on word boundary (bnc#1012628).
- block-throttle: avoid double charge (bnc#1012628).
- block: unalign call_single_data in struct request (bnc#1012628).
- PCI / PM: Force devices to D0 in pci_pm_thaw_noirq()
(bnc#1012628).
- ALSA: usb-audio: Fix the missing ctl name suffix at parsing SU
(bnc#1012628).
- ALSA: usb-audio: Add native DSD support for Esoteric D-05X
(bnc#1012628).
- ALSA: hda - Add vendor id for Cannonlake HDMI codec
(bnc#1012628).
- ALSA: hda/realtek - Fix Dell AIO LineOut issue (bnc#1012628).
- ALSA: rawmidi: Avoid racy info ioctl via ctl device
(bnc#1012628).
- mfd: twl6040: Fix child-node lookup (bnc#1012628).
- mfd: twl4030-audio: Fix sibling-node lookup (bnc#1012628).
- mfd: cros ec: spi: Don't send first message too soon
(bnc#1012628).
- crypto: af_alg - fix race accessing cipher request
(bnc#1012628).
- crypto: af_alg - wait for data at beginning of recvmsg
(bnc#1012628).
- crypto: mcryptd - protect the per-CPU queue with a lock
(bnc#1012628).
- crypto: skcipher - set walk.iv for zero-length inputs
(bnc#1012628).
- acpi, nfit: fix health event notification (bnc#1012628).
- ACPI: APEI / ERST: Fix missing error handling in erst_reader()
(bnc#1012628).
- x86/cpu_entry_area: Prevent wraparound in
setup_cpu_entry_area_ptes() on 32bit (bnc#1012628).
- init: Invoke init_espfix_bsp() from mm_init() (bnc#1012628).
- x86/cpu_entry_area: Move it out of the fixmap (bnc#1012628).
- x86/cpu_entry_area: Move it to a separate unit (bnc#1012628).
- x86/mm: Create asm/invpcid.h (bnc#1012628).
- x86/mm: Put MMU to hardware ASID translation in one place
(bnc#1012628).
- x86/mm: Remove hard-coded ASID limit checks (bnc#1012628).
- x86/mm: Move the CR3 construction functions to tlbflush.h
(bnc#1012628).
- x86/mm: Add comments to clarify which TLB-flush functions are
supposed to flush what (bnc#1012628).
- x86/mm: Remove superfluous barriers (bnc#1012628).
- x86/mm: Use __flush_tlb_one() for kernel memory (bnc#1012628).
- x86/microcode: Dont abuse the TLB-flush interface (bnc#1012628).
- x86/uv: Use the right TLB-flush API (bnc#1012628).
- x86/entry: Rename SYSENTER_stack to CPU_ENTRY_AREA_entry_stack
(bnc#1012628).
- x86/doc: Remove obvious weirdnesses from the x86 MM layout
documentation (bnc#1012628).
- x86/mm/64: Improve the memory map documentation (bnc#1012628).
- x86/ldt: Prevent LDT inheritance on exec (bnc#1012628).
- x86/ldt: Rework locking (bnc#1012628).
- arch, mm: Allow arch_dup_mmap() to fail (bnc#1012628).
- x86/vsyscall/64: Warn and fail vsyscall emulation in NATIVE mode
(bnc#1012628).
- x86/vsyscall/64: Explicitly set _PAGE_USER in the pagetable
hierarchy (bnc#1012628).
- x86/mm/dump_pagetables: Make the address hints correct and
readable (bnc#1012628).
- x86/mm/dump_pagetables: Check PAGE_PRESENT for real
(bnc#1012628).
- x86/Kconfig: Limit NR_CPUS on 32-bit to a sane amount
(bnc#1012628).
- x86/insn-eval: Add utility functions to get segment selector
(bnc#1012628).
- x86/decoder: Fix and update the opcodes map (bnc#1012628).
- objtool: Fix 64-bit build on 32-bit host (bnc#1012628).
- tools/headers: Sync objtool UAPI header (bnc#1012628).
- objtool: Fix cross-build (bnc#1012628).
- objtool: Move kernel headers/code sync check to a script
(bnc#1012628).
- objtool: Move synced files to their original relative locations
(bnc#1012628).
- Revert "ipv6: grab rt->rt6i_ref before allocating pcpu rt"
(bnc#1012628).
- commit a9b9f85
-------------------------------------------------------------------
Mon Dec 25 16:42:48 CET 2017 - jslaby@suse.cz
- Linux 4.14.9 (bnc#1012628).
- x86/asm: Remove unnecessary \n\t in front of CC_SET() from
asm templates (bnc#1012628).
- ACPI / APEI: adjust a local variable type in
ghes_ioremap_pfn_irq() (bnc#1012628).
- x86/fpu/debug: Remove unused 'x86_fpu_state' and
'x86_fpu_deactivate_state' tracepoints (bnc#1012628).
- bitops: Add clear/set_bit32() to linux/bitops.h (bnc#1012628).
- x86/cpuid: Add generic table for CPUID dependencies
(bnc#1012628).
- x86/fpu: Parse clearcpuid= as early XSAVE argument
(bnc#1012628).
- x86/fpu: Make XSAVE check the base CPUID features before
enabling (bnc#1012628).
- x86/fpu: Remove the explicit clearing of XSAVE dependent
features (bnc#1012628).
- x86/platform/UV: Convert timers to use timer_setup()
(bnc#1012628).
- x86/cpuid: Prevent out of bound access in do_clear_cpu_cap()
(bnc#1012628).
- mm/sparsemem: Allocate mem_section at runtime for
CONFIG_SPARSEMEM_EXTREME=y (bnc#1012628).
- x86/kasan: Use the same shadow offset for 4- and 5-level paging
(bnc#1012628).
- x86/xen: Provide pre-built page tables only for CONFIG_XEN_PV=y
and CONFIG_XEN_PVH=y (bnc#1012628).
- x86/xen: Drop 5-level paging support code from the XEN_PV code
(bnc#1012628).
- ACPI / APEI: remove the unused dead-code for SEA/NMI
notification type (bnc#1012628).
- x86/asm: Don't use the confusing '.ifeq' directive
(bnc#1012628).
- x86/build: Beautify build log of syscall headers (bnc#1012628).
- x86/mm/64: Rename the register_page_bootmem_memmap() 'size'
parameter to 'nr_pages' (bnc#1012628).
- x86/cpufeatures: Enable new SSE/AVX/AVX512 CPU features
(bnc#1012628).
- x86/mm: Relocate page fault error codes to traps.h
(bnc#1012628).
- x86/boot: Relocate definition of the initial state of CR0
(bnc#1012628).
- ptrace,x86: Make user_64bit_mode() available to 32-bit builds
(bnc#1012628).
- x86/entry/64: Remove the restore_c_regs_and_iret label
(bnc#1012628).
- x86/entry/64: Split the IRET-to-user and IRET-to-kernel paths
(bnc#1012628).
- x86/entry/64: Move SWAPGS into the common IRET-to-usermode path
(bnc#1012628).
- x86/entry/64: Simplify reg restore code in the standard IRET
paths (bnc#1012628).
- x86/entry/64: Shrink paranoid_exit_restore and make labels local
(bnc#1012628).
- x86/entry/64: Use pop instead of movq in
syscall_return_via_sysret (bnc#1012628).
- x86/entry/64: Merge the fast and slow SYSRET paths
(bnc#1012628).
- x86/entry/64: Use POP instead of MOV to restore regs on NMI
return (bnc#1012628).
- x86/entry/64: Remove the RESTORE_..._REGS infrastructure
(bnc#1012628).
- xen, x86/entry/64: Add xen NMI trap entry (bnc#1012628).
- x86/entry/64: De-Xen-ify our NMI code (bnc#1012628).
- x86/entry/32: Pull the MSR_IA32_SYSENTER_CS update code out
of native_load_sp0() (bnc#1012628).
- x86/entry/64: Pass SP0 directly to load_sp0() (bnc#1012628).
- x86/entry: Add task_top_of_stack() to find the top of a task's
stack (bnc#1012628).
- x86/xen/64, x86/entry/64: Clean up SP code in
cpu_initialize_context() (bnc#1012628).
- x86/entry/64: Stop initializing TSS.sp0 at boot (bnc#1012628).
- x86/entry/64: Remove all remaining direct thread_struct::sp0
reads (bnc#1012628).
- x86/entry/32: Fix cpu_current_top_of_stack initialization at
boot (bnc#1012628).
- x86/entry/64: Remove thread_struct::sp0 (bnc#1012628).
- x86/traps: Use a new on_thread_stack() helper to clean up an
assertion (bnc#1012628).
- x86/entry/64: Shorten TEST instructions (bnc#1012628).
- x86/cpuid: Replace set/clear_bit32() (bnc#1012628).
- bitops: Revert cbe96375025e ("bitops: Add clear/set_bit32()
to linux/bitops.h") (bnc#1012628).
- x86/mm: Define _PAGE_TABLE using _KERNPG_TABLE (bnc#1012628).
- x86/cpufeatures: Re-tabulate the X86_FEATURE definitions
(bnc#1012628).
- x86/cpufeatures: Fix various details in the feature definitions
(bnc#1012628).
- selftests/x86/ldt_gdt: Add infrastructure to test
set_thread_area() (bnc#1012628).
- selftests/x86/ldt_gdt: Run most existing LDT test cases against
the GDT as well (bnc#1012628).
- ACPI / APEI: Replace ioremap_page_range() with fixmap
(bnc#1012628).
- x86/virt, x86/platform: Merge 'struct x86_hyper' into 'struct
x86_platform' and 'struct x86_init' (bnc#1012628).
- x86/virt: Add enum for hypervisors to replace x86_hyper
(bnc#1012628).
- drivers/misc/intel/pti: Rename the header file to free up the
namespace (bnc#1012628).
- x86/cpufeature: Add User-Mode Instruction Prevention definitions
(bnc#1012628).
- x86: Make X86_BUG_FXSAVE_LEAK detectable in CPUID on AMD
(bnc#1012628).
- perf/x86: Enable free running PEBS for REGS_USER/INTR
(bnc#1012628).
- bpf: fix build issues on um due to mising bpf_perf_event.h
(bnc#1012628).
- locking/barriers: Add implicit smp_read_barrier_depends()
to READ_ONCE() (bnc#1012628).
- locking/barriers: Convert users of lockless_dereference()
to READ_ONCE() (bnc#1012628).
- x86/mm/kasan: Don't use vmemmap_populate() to initialize shadow
(bnc#1012628).
- x86/entry/64/paravirt: Use paravirt-safe macro to access eflags
(bnc#1012628).
- x86/unwinder/orc: Dont bail on stack overflow (bnc#1012628).
- x86/unwinder: Handle stack overflows more gracefully
(bnc#1012628).
- x86/irq: Remove an old outdated comment about context tracking
races (bnc#1012628).
- x86/irq/64: Print the offending IP in the stack overflow warning
(bnc#1012628).
- x86/entry/64: Allocate and enable the SYSENTER stack
(bnc#1012628).
- x86/dumpstack: Add get_stack_info() support for the SYSENTER
stack (bnc#1012628).
- x86/entry/gdt: Put per-CPU GDT remaps in ascending order
(bnc#1012628).
- x86/mm/fixmap: Generalize the GDT fixmap mechanism, introduce
struct cpu_entry_area (bnc#1012628).
- x86/kasan/64: Teach KASAN about the cpu_entry_area
(bnc#1012628).
- x86/entry: Fix assumptions that the HW TSS is at the beginning
of cpu_tss (bnc#1012628).
- x86/dumpstack: Handle stack overflow on all stacks
(bnc#1012628).
- x86/entry: Move SYSENTER_stack to the beginning of struct
tss_struct (bnc#1012628).
- x86/entry: Remap the TSS into the CPU entry area (bnc#1012628).
- x86/entry/64: Separate cpu_current_top_of_stack from TSS.sp0
(bnc#1012628).
- x86/espfix/64: Stop assuming that pt_regs is on the entry stack
(bnc#1012628).
- x86/entry/64: Use a per-CPU trampoline stack for IDT entries
(bnc#1012628).
- x86/entry/64: Return to userspace from the trampoline stack
(bnc#1012628).
- x86/entry/64: Create a per-CPU SYSCALL entry trampoline
(bnc#1012628).
- x86/entry/64: Move the IST stacks into struct cpu_entry_area
(bnc#1012628).
- x86/entry/64: Remove the SYSENTER stack canary (bnc#1012628).
- x86/entry: Clean up the SYSENTER_stack code (bnc#1012628).
- x86/entry/64: Make cpu_entry_area.tss read-only (bnc#1012628).
- x86/paravirt: Dont patch flush_tlb_single (bnc#1012628).
- x86/paravirt: Provide a way to check for hypervisors
(bnc#1012628).
- x86/cpufeatures: Make CPU bugs sticky (bnc#1012628).
- optee: fix invalid of_node_put() in optee_driver_init()
(bnc#1012628).
- backlight: pwm_bl: Fix overflow condition (bnc#1012628).
- drm: Add retries for lspcon mode detection (bnc#1012628).
- clk: sunxi-ng: nm: Check if requested rate is supported by
fractional clock (bnc#1012628).
- clk: sunxi-ng: sun5i: Fix bit offset of audio PLL post-divider
(bnc#1012628).
- crypto: crypto4xx - increase context and scatter ring buffer
elements (bnc#1012628).
- crypto: lrw - Fix an error handling path in 'create()'
(bnc#1012628).
- rtc: pl031: make interrupt optional (bnc#1012628).
- kvm, mm: account kvm related kmem slabs to kmemcg (bnc#1012628).
- net: phy: at803x: Change error to EINVAL for invalid MAC
(bnc#1012628).
- PCI: Avoid bus reset if bridge itself is broken (bnc#1012628).
- scsi: cxgb4i: fix Tx skb leak (bnc#1012628).
- scsi: mpt3sas: Fix IO error occurs on pulling out a drive from
RAID1 volume created on two SATA drive (bnc#1012628).
- PCI: Create SR-IOV virtfn/physfn links before attaching driver
(bnc#1012628).
- PM / OPP: Move error message to debug level (bnc#1012628).
- igb: check memory allocation failure (bnc#1012628).
- i40e: use the safe hash table iterator when deleting mac filters
(bnc#1012628).
- iio: st_sensors: add register mask for status register
(bnc#1012628).
- ixgbe: fix use of uninitialized padding (bnc#1012628).
- IB/rxe: check for allocation failure on elem (bnc#1012628).
- block,bfq: Disable writeback throttling (bnc#1012628).
- md: always set THREAD_WAKEUP and wake up wqueue if thread
existed (bnc#1012628).
- ip_gre: check packet length and mtu correctly in erspan tx
(bnc#1012628).
- ipv6: grab rt->rt6i_ref before allocating pcpu rt (bnc#1012628).
- leds: pca955x: Don't invert requested value in
pca955x_gpio_set_value() (bnc#1012628).
- Bluetooth: hci_uart_set_flow_control: Fix NULL deref when
using serdev (bnc#1012628).
- Bluetooth: hci_bcm: Fix setting of irq trigger type
(bnc#1012628).
- i40e/i40evf: spread CPU affinity hints across online CPUs only
(bnc#1012628).
- PCI/AER: Report non-fatal errors only to the affected endpoint
(bnc#1012628).
- tracing: Exclude 'generic fields' from histograms (bnc#1012628).
- ASoC: codecs: msm8916-wcd-analog: fix micbias level
(bnc#1012628).
- ASoC: img-parallel-out: Add pm_runtime_get/put to set_fmt
callback (bnc#1012628).
- powerpc/xmon: Avoid tripping SMP hardlockup watchdog
(bnc#1012628).
- powerpc/watchdog: Do not trigger SMP crash from
touch_nmi_watchdog (bnc#1012628).
- sctp: silence warns on sctp_stream_init allocations
(bnc#1012628).
- ASoC: codecs: msm8916-wcd-analog: fix module autoload
(bnc#1012628).
- fm10k: fix mis-ordered parameters in declaration for
.ndo_set_vf_bw (bnc#1012628).
- scsi: lpfc: Fix secure firmware updates (bnc#1012628).
- scsi: lpfc: PLOGI failures during NPIV testing (bnc#1012628).
- scsi: lpfc: Fix warning messages when NVME_TARGET_FC not defined
(bnc#1012628).
- i40e: fix client notify of VF reset (bnc#1012628).
- vfio/pci: Virtualize Maximum Payload Size (bnc#1012628).
- ARM: exynos_defconfig: Enable UAS support for Odroid HC1 board
(bnc#1012628).
- fm10k: ensure we process SM mbx when processing VF mbx
(bnc#1012628).
- ibmvnic: Set state UP (bnc#1012628).
- net: ipv6: send NS for DAD when link operationally up
(bnc#1012628).
- RDMA/hns: Avoid NULL pointer exception (bnc#1012628).
- staging: greybus: light: Release memory obtained by kasprintf
(bnc#1012628).
- clk: sunxi-ng: sun6i: Rename HDMI DDC clock to avoid name
collision (bnc#1012628).
- tcp: fix under-evaluated ssthresh in TCP Vegas (bnc#1012628).
- rtc: set the alarm to the next expiring timer (bnc#1012628).
- cpuidle: fix broadcast control when broadcast can not be entered
(bnc#1012628).
- drm/vc4: Avoid using vrefresh==0 mode in DSI htotal math
(bnc#1012628).
- IB/opa_vnic: Properly clear Mac Table Digest (bnc#1012628).
- IB/opa_vnic: Properly return the total MACs in UC MAC list
(bnc#1012628).
- thermal/drivers/hisi: Fix missing interrupt enablement
(bnc#1012628).
- thermal/drivers/hisi: Fix kernel panic on alarm interrupt
(bnc#1012628).
- thermal/drivers/hisi: Simplify the temperature/step computation
(bnc#1012628).
- thermal/drivers/hisi: Fix multiple alarm interrupts firing
(bnc#1012628).
- platform/x86: asus-wireless: send an EV_SYN/SYN_REPORT between
state changes (bnc#1012628).
- mm/sparsemem: Fix ARM64 boot crash when
CONFIG_SPARSEMEM_EXTREME=y (bnc#1012628).
- bpf: fix branch pruning logic (bnc#1012628).
- bpf: fix corruption on concurrent perf_event_output calls
(bnc#1012628).
- bpf, s390x: do not reload skb pointers in non-skb context
(bnc#1012628).
- bpf, ppc64: do not reload skb pointers in non-skb context
(bnc#1012628).
- bpf, sparc: fix usage of wrong reg for load_skb_regs after call
(bnc#1012628).
- bpf/verifier: fix bounds calculation on BPF_RSH (bnc#1012628).
- bpf: fix incorrect sign extension in check_alu_op()
(bnc#1012628).
- bpf: fix incorrect tracking of register size truncation
(bnc#1012628).
- bpf: fix 32-bit ALU op verification (bnc#1012628).
- bpf: fix missing error return in check_stack_boundary()
(bnc#1012628).
- bpf: force strict alignment checks for stack pointers
(bnc#1012628).
- bpf: don't prune branches when a scalar is replaced with a
pointer (bnc#1012628).
- bpf: fix integer overflows (bnc#1012628).
- selftests/bpf: add tests for recent bugfixes (bnc#1012628).
- linux/compiler.h: Split into compiler.h and compiler_types.h
(bnc#1012628).
- Refresh patches.suse/dm-mpath-accept-failed-paths.
- Refresh patches.suse/suse-hv-guest-os-id.patch.
- commit 9423ca2
-------------------------------------------------------------------
Mon Dec 25 03:42:33 CET 2017 - jeffm@suse.com
- config: disable BUG_ON_DATA_CORRUPTION
On its face this option makes sense but it brings along with it
DEBUG_LIST, which is very expensive and obvious on benchmarks.
- commit 7bfff34
-------------------------------------------------------------------
Mon Dec 25 01:45:31 CET 2017 - jeffm@suse.com
- config: refresh i386/default
Commit 4735d41aeeb added a disabled CONFIG_SPI_INTEL_SPI_PLATFORM option
that doesn't exist on i386/default (at least in 4.15-rc5).
- commit 84167ae
-------------------------------------------------------------------
Sun Dec 24 19:43:43 CET 2017 - jeffm@suse.com
- Update to 4.15-rc5.
- Config changes:
- i386: NR_CPUS 128->64
- 7bbcbd3d1cd (x86/Kconfig: Limit NR_CPUS on 32-bit to a sane amount)
- commit 9e8deb3
-------------------------------------------------------------------
Thu Dec 21 13:31:54 CET 2017 - msuchanek@suse.de
- kernel-obs-build: use pae and lpae kernels where available
(bsc#1073579).
- commit 1ac1946
-------------------------------------------------------------------
Thu Dec 21 11:54:37 CET 2017 - tiwai@suse.de
- Disable CONFIG_SPI_INTEL_SPI_PCI as well (bsc#1073836)
- commit ddb33b2
-------------------------------------------------------------------
Thu Dec 21 11:29:01 CET 2017 - tiwai@suse.de
- Disable CONFIG_SPI_INTEL_SPI_PLATFORM for BIOS breakge on Lenovo laptops
(bsc#1073836)
- commit 4735d41
-------------------------------------------------------------------
Wed Dec 20 11:40:41 CET 2017 - jslaby@suse.cz
- Linux 4.14.8 (bnc#1012628).
- mfd: fsl-imx25: Clean up irq settings during removal
(bnc#1012628).
- crypto: algif_aead - fix reference counting of null skcipher
(bnc#1012628).
- crypto: rsa - fix buffer overread when stripping leading zeroes
(bnc#1012628).
- crypto: hmac - require that the underlying hash algorithm is
unkeyed (bnc#1012628).
- crypto: salsa20 - fix blkcipher_walk API usage (bnc#1012628).
- crypto: af_alg - fix NULL pointer dereference in (bnc#1012628).
- cifs: fix NULL deref in SMB2_read (bnc#1012628).
- string.h: workaround for increased stack usage (bnc#1012628).
- autofs: fix careless error in recent commit (bnc#1012628).
- kernel: make groups_sort calling a responsibility group_info
allocators (bnc#1012628).
- mm, oom_reaper: fix memory corruption (bnc#1012628).
- tracing: Allocate mask_str buffer dynamically (bnc#1012628).
- USB: uas and storage: Add US_FL_BROKEN_FUA for another JMicron
JMS567 ID (bnc#1012628).
- USB: core: prevent malicious bNumInterfaces overflow
(bnc#1012628).
- ovl: Pass ovl_get_nlink() parameters in right order
(bnc#1012628).
- ovl: update ctx->pos on impure dir iteration (bnc#1012628).
- usbip: fix stub_rx: get_pipe() to validate endpoint number
(bnc#1012628).
- usbip: fix stub_rx: harden CMD_SUBMIT path to handle malicious
input (bnc#1012628).
- usbip: prevent vhci_hcd driver from leaking a socket pointer
address (bnc#1012628).
- usbip: fix stub_send_ret_submit() vulnerability to null
transfer_buffer (bnc#1012628).
- mmc: core: apply NO_CMD23 quirk to some specific cards
(bnc#1012628).
- ceph: drop negative child dentries before try pruning inode's
alias (bnc#1012628).
- usb: xhci: fix TDS for MTK xHCI1.1 (bnc#1012628).
- xhci: Don't add a virt_dev to the devs array before it's fully
allocated (bnc#1012628).
- IB/core: Bound check alternate path port number (bnc#1012628).
- IB/core: Don't enforce PKey security on SMI MADs (bnc#1012628).
- nfs: don't wait on commit in nfs_commit_inode() if there were
no commit requests (bnc#1012628).
- arm64: mm: Fix pte_mkclean, pte_mkdirty semantics (bnc#1012628).
- arm64: Initialise high_memory global variable earlier
(bnc#1012628).
- arm64: fix CONFIG_DEBUG_WX address reporting (bnc#1012628).
- scsi: core: Fix a scsi_show_rq() NULL pointer dereference
(bnc#1012628).
- scsi: libsas: fix length error in sas_smp_handler()
(bnc#1012628).
- sched/rt: Do not pull from current CPU if only one CPU to pull
(bnc#1012628).
- dm: fix various targets to dm_register_target after module
__init resources created (bnc#1012628).
- SUNRPC: Fix a race in the receive code path (bnc#1012628).
- iw_cxgb4: only insert drain cqes if wq is flushed (bnc#1012628).
- x86/boot/compressed/64: Detect and handle 5-level paging at
boot-time (bnc#1012628).
- x86/boot/compressed/64: Print error if 5-level paging is not
supported (bnc#1012628).
- eeprom: at24: change nvmem stride to 1 (bnc#1012628).
- posix-timer: Properly check sigevent->sigev_notify
(bnc#1012628).
- dmaengine: dmatest: move callback wait queue to thread context
(bnc#1012628).
- Revert "exec: avoid RLIMIT_STACK races with prlimit()"
(bnc#1012628).
- ext4: support fast symlinks from ext3 file systems
(bnc#1012628).
- ext4: fix fdatasync(2) after fallocate(2) operation
(bnc#1012628).
- ext4: add missing error check in __ext4_new_inode()
(bnc#1012628).
- ext4: fix crash when a directory's i_size is too small
(bnc#1012628).
- IB/mlx4: Fix RSS's QPC attributes assignments (bnc#1012628).
- HID: cp2112: fix broken gpio_direction_input callback
(bnc#1012628).
- sfc: don't warn on successful change of MAC (bnc#1012628).
- fbdev: controlfb: Add missing modes to fix out of bounds access
(bnc#1012628).
- video: udlfb: Fix read EDID timeout (bnc#1012628).
- video: fbdev: au1200fb: Release some resources if a memory
allocation fails (bnc#1012628).
- video: fbdev: au1200fb: Return an error code if a memory
allocation fails (bnc#1012628).
- rtc: pcf8563: fix output clock rate (bnc#1012628).
- scsi: aacraid: use timespec64 instead of timeval (bnc#1012628).
- drm/amdgpu: bypass lru touch for KIQ ring submission
(bnc#1012628).
- PM / s2idle: Clear the events_check_enabled flag (bnc#1012628).
- ASoC: Intel: Skylake: Fix uuid_module memory leak in failure
case (bnc#1012628).
- dmaengine: ti-dma-crossbar: Correct am335x/am43xx mux value type
(bnc#1012628).
- mlxsw: spectrum: Fix error return code in mlxsw_sp_port_create()
(bnc#1012628).
- PCI/PME: Handle invalid data when reading Root Status
(bnc#1012628).
- powerpc/powernv/cpufreq: Fix the frequency read by /proc/cpuinfo
(bnc#1012628).
- PCI: Do not allocate more buses than available in parent
(bnc#1012628).
- iommu/mediatek: Fix driver name (bnc#1012628).
- thunderbolt: tb: fix use after free in tb_activate_pcie_devices
(bnc#1012628).
- netfilter: ipvs: Fix inappropriate output of procfs
(bnc#1012628).
- powerpc/opal: Fix EBUSY bug in acquiring tokens (bnc#1012628).
- powerpc/ipic: Fix status get and status clear (bnc#1012628).
- powerpc/pseries/vio: Dispose of virq mapping on vdevice
unregister (bnc#1012628).
- platform/x86: intel_punit_ipc: Fix resource ioremap warning
(bnc#1012628).
- target/iscsi: Detect conn_cmd_list corruption early
(bnc#1012628).
- target/iscsi: Fix a race condition in
iscsit_add_reject_from_cmd() (bnc#1012628).
- iscsi-target: fix memory leak in lio_target_tiqn_addtpg()
(bnc#1012628).
- target:fix condition return in core_pr_dump_initiator_port()
(bnc#1012628).
- target/file: Do not return error for UNMAP if length is zero
(bnc#1012628).
- badblocks: fix wrong return value in badblocks_set if badblocks
are disabled (bnc#1012628).
- iommu/amd: Limit the IOVA page range to the specified addresses
(bnc#1012628).
- xfs: truncate pagecache before writeback in xfs_setattr_size()
(bnc#1012628).
- arm-ccn: perf: Prevent module unload while PMU is in use
(bnc#1012628).
- crypto: tcrypt - fix buffer lengths in test_aead_speed()
(bnc#1012628).
- mm: Handle 0 flags in _calc_vm_trans() macro (bnc#1012628).
- net: hns3: fix for getting advertised_caps in
hns3_get_link_ksettings (bnc#1012628).
- net: hns3: Fix a misuse to devm_free_irq (bnc#1012628).
- staging: rtl8188eu: Revert part of "staging: rtl8188eu: fix
comments with lines over 80 characters" (bnc#1012628).
- clk: mediatek: add the option for determining PLL source clock
(bnc#1012628).
- clk: imx: imx7d: Fix parent clock for OCRAM_CLK (bnc#1012628).
- clk: imx6: refine hdmi_isfr's parent to make HDMI work on
i.MX6 SoCs w/o VPU (bnc#1012628).
- media: camss-vfe: always initialize reg at vfe_set_xbar_cfg()
(bnc#1012628).
- clk: hi6220: mark clock cs_atb_syspll as critical (bnc#1012628).
- blk-mq-sched: dispatch from scheduler IFF progress is made in
->dispatch (bnc#1012628).
- clk: tegra: Use readl_relaxed_poll_timeout_atomic() in
tegra210_clock_init() (bnc#1012628).
- clk: tegra: Fix cclk_lp divisor register (bnc#1012628).
- ppp: Destroy the mutex when cleanup (bnc#1012628).
- ASoC: rsnd: rsnd_ssi_run_mods() needs to care ssi_parent_mod
(bnc#1012628).
- thermal/drivers/step_wise: Fix temperature regulation
misbehavior (bnc#1012628).
- misc: pci_endpoint_test: Fix failure path return values in probe
(bnc#1012628).
- misc: pci_endpoint_test: Avoid triggering a BUG() (bnc#1012628).
- scsi: scsi_debug: write_same: fix error report (bnc#1012628).
- GFS2: Take inode off order_write list when setting jdata flag
(bnc#1012628).
- media: usbtv: fix brightness and contrast controls
(bnc#1012628).
- rpmsg: glink: Initialize the "intent_req_comp" completion
variable (bnc#1012628).
- bcache: explicitly destroy mutex while exiting (bnc#1012628).
- bcache: fix wrong cache_misses statistics (bnc#1012628).
- Ib/hfi1: Return actual operational VLs in port info query
(bnc#1012628).
- Bluetooth: hci_ldisc: Fix another race when closing the tty
(bnc#1012628).
- arm64: prevent regressions in compressed kernel image size
when upgrading to binutils 2.27 (bnc#1012628).
- btrfs: fix false EIO for missing device (bnc#1012628).
- btrfs: Explicitly handle btrfs_update_root failure
(bnc#1012628).
- btrfs: undo writable superblocke when sprouting fails
(bnc#1012628).
- btrfs: avoid null pointer dereference on fs_info when calling
btrfs_crit (bnc#1012628).
- btrfs: tests: Fix a memory leak in error handling path in
'run_test()' (bnc#1012628).
- qtnfmac: modify full Tx queue error reporting (bnc#1012628).
- mtd: spi-nor: stm32-quadspi: Fix uninitialized error return code
(bnc#1012628).
- ARM64: dts: meson-gxbb-odroidc2: fix usb1 power supply
(bnc#1012628).
- Bluetooth: btusb: Add new NFA344A entry (bnc#1012628).
- samples/bpf: adjust rlimit RLIMIT_MEMLOCK for xdp1
(bnc#1012628).
- liquidio: fix kernel panic in VF driver (bnc#1012628).
- platform/x86: hp_accel: Add quirk for HP ProBook 440 G4
(bnc#1012628).
- nvme: use kref_get_unless_zero in nvme_find_get_ns
(bnc#1012628).
- l2tp: cleanup l2tp_tunnel_delete calls (bnc#1012628).
- xfs: fix log block underflow during recovery cycle verification
(bnc#1012628).
- xfs: return a distinct error code value for IGET_INCORE cache
misses (bnc#1012628).
- xfs: fix incorrect extent state in
xfs_bmap_add_extent_unwritten_real (bnc#1012628).
- net: dsa: lan9303: Do not disable switch fabric port 0 at .probe
(bnc#1012628).
- net: hns3: fix a bug in hclge_uninit_client_instance
(bnc#1012628).
- net: hns3: add nic_client check when initialize roce base
information (bnc#1012628).
- net: hns3: fix the bug of hns3_set_txbd_baseinfo (bnc#1012628).
- RDMA/cxgb4: Declare stag as __be32 (bnc#1012628).
- PCI: Detach driver before procfs & sysfs teardown on device
remove (bnc#1012628).
- scsi: hisi_sas: fix the risk of freeing slot twice
(bnc#1012628).
- scsi: hpsa: cleanup sas_phy structures in sysfs when unloading
(bnc#1012628).
- scsi: hpsa: destroy sas transport properties before scsi_host
(bnc#1012628).
- mfd: mxs-lradc: Fix error handling in mxs_lradc_probe()
(bnc#1012628).
- net: hns3: fix the TX/RX ring.queue_index in hns3_ring_get_cfg
(bnc#1012628).
- net: hns3: fix the bug when map buffer fail (bnc#1012628).
- net: hns3: fix a bug when alloc new buffer (bnc#1012628).
- serdev: ttyport: enforce tty-driver open() requirement
(bnc#1012628).
- powerpc/perf/hv-24x7: Fix incorrect comparison in memord
(bnc#1012628).
- powerpc/xmon: Check before calling xive functions (bnc#1012628).
- soc: mediatek: pwrap: fix compiler errors (bnc#1012628).
- ipv4: ipv4_default_advmss() should use route mtu (bnc#1012628).
- KVM: nVMX: Fix EPT switching advertising (bnc#1012628).
- tty fix oops when rmmod 8250 (bnc#1012628).
- dev/dax: fix uninitialized variable build warning (bnc#1012628).
- pinctrl: adi2: Fix Kconfig build problem (bnc#1012628).
- raid5: Set R5_Expanded on parity devices as well as data
(bnc#1012628).
- IB/core: Fix use workqueue without WQ_MEM_RECLAIM (bnc#1012628).
- IB/core: Fix calculation of maximum RoCE MTU (bnc#1012628).
- vt6655: Fix a possible sleep-in-atomic bug in vt6655_suspend
(bnc#1012628).
- IB/hfi1: Mask out A bit from psn trace (bnc#1012628).
- rtl8188eu: Fix a possible sleep-in-atomic bug in
rtw_createbss_cmd (bnc#1012628).
- rtl8188eu: Fix a possible sleep-in-atomic bug in
rtw_disassoc_cmd (bnc#1012628).
- nullb: fix error return code in null_init() (bnc#1012628).
- scsi: sd: change manage_start_stop to bool in sysfs interface
(bnc#1012628).
- scsi: sd: change allow_restart to bool in sysfs interface
(bnc#1012628).
- scsi: bfa: integer overflow in debugfs (bnc#1012628).
- raid5-ppl: check recovery_offset when performing ppl recovery
(bnc#1012628).
- md-cluster: fix wrong condition check in raid1_write_request
(bnc#1012628).
- xprtrdma: Don't defer fencing an async RPC's chunks
(bnc#1012628).
- udf: Avoid overflow when session starts at large offset
(bnc#1012628).
- macvlan: Only deliver one copy of the frame to the macvlan
interface (bnc#1012628).
- IB/core: Fix endianness annotation in rdma_is_multicast_addr()
(bnc#1012628).
- RDMA/cma: Avoid triggering undefined behavior (bnc#1012628).
- IB/ipoib: Grab rtnl lock on heavy flush when calling
ndo_open/stop (bnc#1012628).
- icmp: don't fail on fragment reassembly time exceeded
(bnc#1012628).
- lightnvm: pblk: prevent gc kicks when gc is not operational
(bnc#1012628).
- lightnvm: pblk: fix changing GC group list for a line
(bnc#1012628).
- lightnvm: pblk: use right flag for GC allocation (bnc#1012628).
- lightnvm: pblk: initialize debug stat counter (bnc#1012628).
- lightnvm: pblk: fix min size for page mempool (bnc#1012628).
- lightnvm: pblk: protect line bitmap while submitting meta io
(bnc#1012628).
- ath9k: fix tx99 potential info leak (bnc#1012628).
- ath10k: fix core PCI suspend when WoWLAN is supported but
disabled (bnc#1012628).
- ath10k: fix build errors with !CONFIG_PM (bnc#1012628).
- usb: musb: da8xx: fix babble condition handling (bnc#1012628).
- commit 674981b
-------------------------------------------------------------------
Tue Dec 19 02:20:44 CET 2017 - jeffm@suse.com
- Update to 4.15-rc4.
- Eliminated 1 patch.
- Config changes:
- ARM:
- QCOM_FALKOR_ERRATUM_E1041=y
- Overlayfs:
- OVERLAY_FS_REDIRECT_ALWAYS_FOLLOW=y (preserves existing behavior)
- commit ff8819c
-------------------------------------------------------------------
Sun Dec 17 23:11:47 CET 2017 - jslaby@suse.cz
- Linux 4.14.7 (bnc#1012628).
- net: qmi_wwan: add Quectel BG96 2c7c:0296 (bnc#1012628).
- net: thunderx: Fix TCP/UDP checksum offload for IPv6 pkts
(bnc#1012628).
- net: thunderx: Fix TCP/UDP checksum offload for IPv4 pkts
(bnc#1012628).
- net: realtek: r8169: implement set_link_ksettings()
(bnc#1012628).
- s390/qeth: fix early exit from error path (bnc#1012628).
- tipc: fix memory leak in tipc_accept_from_sock() (bnc#1012628).
- vhost: fix skb leak in handle_rx() (bnc#1012628).
- rds: Fix NULL pointer dereference in __rds_rdma_map
(bnc#1012628).
- sit: update frag_off info (bnc#1012628).
- tcp: add tcp_v4_fill_cb()/tcp_v4_restore_cb() (bnc#1012628).
- packet: fix crash in fanout_demux_rollover() (bnc#1012628).
- net/packet: fix a race in packet_bind() and packet_notifier()
(bnc#1012628).
- tcp: remove buggy call to tcp_v6_restore_cb() (bnc#1012628).
- usbnet: fix alignment for frames with no ethernet header
(bnc#1012628).
- net: remove hlist_nulls_add_tail_rcu() (bnc#1012628).
- stmmac: reset last TSO segment size after device open
(bnc#1012628).
- tcp/dccp: block bh before arming time_wait timer (bnc#1012628).
- s390/qeth: build max size GSO skbs on L2 devices (bnc#1012628).
- s390/qeth: fix thinko in IPv4 multicast address tracking
(bnc#1012628).
- s390/qeth: fix GSO throughput regression (bnc#1012628).
- tcp: use IPCB instead of TCP_SKB_CB in inet_exact_dif_match()
(bnc#1012628).
- tipc: call tipc_rcv() only if bearer is up in tipc_udp_recv()
(bnc#1012628).
- tcp: use current time in tcp_rcv_space_adjust() (bnc#1012628).
- net: sched: cbq: create block for q->link.block (bnc#1012628).
- tap: free skb if flags error (bnc#1012628).
- tcp: when scheduling TLP, time of RTO should account for
current ACK (bnc#1012628).
- tun: free skb in early errors (bnc#1012628).
- net: ipv6: Fixup device for anycast routes during copy
(bnc#1012628).
- tun: fix rcu_read_lock imbalance in tun_build_skb (bnc#1012628).
- net: accept UFO datagrams from tuntap and packet (bnc#1012628).
- net: openvswitch: datapath: fix data type in queue_gso_packets
(bnc#1012628).
- cls_bpf: don't decrement net's refcount when offload fails
(bnc#1012628).
- sctp: use right member as the param of list_for_each_entry
(bnc#1012628).
- ipmi: Stop timers before cleaning up the module (bnc#1012628).
- usb: gadget: ffs: Forbid usb_ep_alloc_request from sleeping
(bnc#1012628).
- fcntl: don't cap l_start and l_end values for F_GETLK64 in
compat syscall (bnc#1012628).
- fix kcm_clone() (bnc#1012628).
- KVM: arm/arm64: vgic-its: Preserve the revious read from the
pending table (bnc#1012628).
- kbuild: do not call cc-option before KBUILD_CFLAGS
initialization (bnc#1012628).
- powerpc/powernv/idle: Round up latency and residency values
(bnc#1012628).
- ipvlan: fix ipv6 outbound device (bnc#1012628).
- ide: ide-atapi: fix compile error with defining macro DEBUG
(bnc#1012628).
- blk-mq: Avoid that request queue removal can trigger list
corruption (bnc#1012628).
- nvmet-rdma: update queue list during ib_device removal
(bnc#1012628).
- audit: Allow auditd to set pid to 0 to end auditing
(bnc#1012628).
- audit: ensure that 'audit=1' actually enables audit for PID 1
(bnc#1012628).
- dm raid: fix panic when attempting to force a raid to sync
(bnc#1012628).
- md: free unused memory after bitmap resize (bnc#1012628).
- RDMA/cxgb4: Annotate r2 and stag as __be32 (bnc#1012628).
- x86/intel_rdt: Fix potential deadlock during resctrl unmount
(bnc#1012628).
- Refresh patches.suse/supported-flag.
- commit 0598608
-------------------------------------------------------------------
Fri Dec 15 10:16:23 CET 2017 - jslaby@suse.cz
- x86/stacktrace: make clear the success paths (bnc#1058115).
- Refresh
patches.suse/0003-x86-stacktrace-remove-STACKTRACE_DUMP_ONCE-from-__sa.patch.
- Refresh
patches.suse/0004-x86-stacktrace-do-not-fail-for-ORC-with-regs-on-stac.patch.
- Delete
patches.suse/0002-x86-stacktrace-remove-unwind_state-error.patch.
Fix livepatch to succeed also for kthreads and idle tasks.
- commit 580e12c
-------------------------------------------------------------------
Thu Dec 14 10:42:26 CET 2017 - jslaby@suse.cz
- Linux 4.14.6 (bnc#1012628).
- usb: gadget: udc: renesas_usb3: fix number of the pipes
(bnc#1012628).
- usb: gadget: core: Fix ->udc_set_speed() speed handling
(bnc#1012628).
- serdev: ttyport: add missing receive_buf sanity checks
(bnc#1012628).
- serdev: ttyport: fix NULL-deref on hangup (bnc#1012628).
- serdev: ttyport: fix tty locking in close (bnc#1012628).
- usb: f_fs: Force Reserved1=1 in OS_DESC_EXT_COMPAT
(bnc#1012628).
- can: mcba_usb: fix device disconnect bug (bnc#1012628).
- can: peak/pci: fix potential bug when probe() fails
(bnc#1012628).
- can: flexcan: fix VF610 state transition issue (bnc#1012628).
- can: ti_hecc: Fix napi poll return value for repoll
(bnc#1012628).
- can: kvaser_usb: free buf in error paths (bnc#1012628).
- can: kvaser_usb: Fix comparison bug in
kvaser_usb_read_bulk_callback() (bnc#1012628).
- can: kvaser_usb: ratelimit errors if incomplete messages are
received (bnc#1012628).
- can: kvaser_usb: cancel urb on -EPIPE and -EPROTO (bnc#1012628).
- can: mcba_usb: cancel urb on -EPROTO (bnc#1012628).
- can: ems_usb: cancel urb on -EPIPE and -EPROTO (bnc#1012628).
- can: esd_usb2: cancel urb on -EPIPE and -EPROTO (bnc#1012628).
- can: usb_8dev: cancel urb on -EPIPE and -EPROTO (bnc#1012628).
- can: peak/pcie_fd: fix potential bug in restarting tx queue
(bnc#1012628).
- virtio: release virtio index when fail to device_register
(bnc#1012628).
- iio: stm32: fix adc/trigger link error (bnc#1012628).
- iio: health: max30102: Temperature should be in milli Celsius
(bnc#1012628).
- iio: adc: cpcap: fix incorrect validation (bnc#1012628).
- iio: adc: meson-saradc: fix the bit_idx of the adc_en clock
(bnc#1012628).
- iio: adc: meson-saradc: initialize the bandgap correctly on
older SoCs (bnc#1012628).
- iio: adc: meson-saradc: Meson8 and Meson8b do not have REG11
and REG13 (bnc#1012628).
- pinctrl: armada-37xx: Fix direction_output() callback behavior
(bnc#1012628).
- Drivers: hv: vmbus: Fix a rescind issue (bnc#1012628).
- hv: kvp: Avoid reading past allocated blocks from KVP file
(bnc#1012628).
- firmware: cleanup FIRMWARE_IN_KERNEL message (bnc#1012628).
- firmware: vpd: Destroy vpd sections in remove function
(bnc#1012628).
- firmware: vpd: Tie firmware kobject to device lifetime
(bnc#1012628).
- firmware: vpd: Fix platform driver and device
registration/unregistration (bnc#1012628).
- isa: Prevent NULL dereference in isa_bus driver callbacks
(bnc#1012628).
- scsi: dma-mapping: always provide dma_get_cache_alignment
(bnc#1012628).
- scsi: use dma_get_cache_alignment() as minimum DMA alignment
(bnc#1012628).
- scsi: libsas: align sata_device's rps_resp on a cacheline
(bnc#1012628).
- efi: Move some sysfs files to be read-only by root
(bnc#1012628).
- efi/esrt: Use memunmap() instead of kfree() to free the
remapping (bnc#1012628).
- ASN.1: fix out-of-bounds read when parsing indefinite length
item (bnc#1012628).
- ASN.1: check for error from ASN1_OP_END__ACT actions
(bnc#1012628).
- KEYS: add missing permission check for request_key() destination
(bnc#1012628).
- KEYS: reject NULL restriction string when type is specified
(bnc#1012628).
- X.509: reject invalid BIT STRING for subjectPublicKey
(bnc#1012628).
- X.509: fix comparisons of ->pkey_algo (bnc#1012628).
- x86/idt: Load idt early in start_secondary (bnc#1012628).
- x86/PCI: Make broadcom_postcore_init() check acpi_disabled
(bnc#1012628).
- KVM: x86: fix APIC page invalidation (bnc#1012628).
- btrfs: fix missing error return in btrfs_drop_snapshot
(bnc#1012628).
- btrfs: handle errors while updating refcounts in
update_ref_for_cow (bnc#1012628).
- ALSA: hda/realtek - New codec support for ALC257 (bnc#1012628).
- ALSA: pcm: prevent UAF in snd_pcm_info (bnc#1012628).
- ALSA: seq: Remove spurious WARN_ON() at timer check
(bnc#1012628).
- ALSA: usb-audio: Fix out-of-bound error (bnc#1012628).
- ALSA: usb-audio: Add check return value for usb_string()
(bnc#1012628).
- iommu/vt-d: Fix scatterlist offset handling (bnc#1012628).
- smp/hotplug: Move step CPUHP_AP_SMPCFD_DYING to the correct
place (bnc#1012628).
- s390: always save and restore all registers on context switch
(bnc#1012628).
- s390/mm: fix off-by-one bug in 5-level page table handling
(bnc#1012628).
- s390: fix compat system call table (bnc#1012628).
- KVM: s390: Fix skey emulation permission check (bnc#1012628).
- Revert "powerpc: Do not call ppc_md.panic in fadump panic
notifier" (bnc#1012628).
- powerpc/64s: Initialize ISAv3 MMU registers before setting
partition table (bnc#1012628).
- iwlwifi: mvm: mark MIC stripped MPDUs (bnc#1012628).
- iwlwifi: mvm: don't use transmit queue hang detection when it
is not possible (bnc#1012628).
- iwlwifi: mvm: flush queue before deleting ROC (bnc#1012628).
- iwlwifi: add new cards for 9260 and 22000 series (bnc#1012628).
- iwlwifi: mvm: fix packet injection (bnc#1012628).
- iwlwifi: mvm: enable RX offloading with TKIP and WEP
(bnc#1012628).
- brcmfmac: change driver unbind order of the sdio function
devices (bnc#1012628).
- kdb: Fix handling of kallsyms_symbol_next() return value
(bnc#1012628).
- md/r5cache: move mddev_lock() out of r5c_journal_mode_set()
(bnc#1012628).
- drm/exynos: gem: Drop NONCONTIG flag for buffers allocated
without IOMMU (bnc#1012628).
- drm/i915: Fix vblank timestamp/frame counter jumps on gen2
(bnc#1012628).
- media: dvb: i2c transfers over usb cannot be done from stack
(bnc#1012628).
- media: rc: sir_ir: detect presence of port (bnc#1012628).
- media: rc: partial revert of "media: rc: per-protocol repeat
period" (bnc#1012628).
- arm64: KVM: fix VTTBR_BADDR_MASK BUG_ON off-by-one
(bnc#1012628).
- arm: KVM: Fix VTTBR_BADDR_MASK BUG_ON off-by-one (bnc#1012628).
- KVM: VMX: remove I/O port 0x80 bypass on Intel hosts
(bnc#1012628).
- KVM: arm/arm64: Fix broken GICH_ELRSR big endian conversion
(bnc#1012628).
- KVM: arm/arm64: vgic-irqfd: Fix MSI entry allocation
(bnc#1012628).
- KVM: arm/arm64: vgic: Preserve the revious read from the
pending table (bnc#1012628).
- KVM: arm/arm64: vgic-its: Check result of allocation before use
(bnc#1012628).
- arm64: fpsimd: Prevent registers leaking from dead tasks
(bnc#1012628).
- arm64: SW PAN: Point saved ttbr0 at the zero page when switching
to init_mm (bnc#1012628).
- arm64: SW PAN: Update saved ttbr0 value on enter_lazy_tlb
(bnc#1012628).
- Revert "ARM: dts: imx53: add srtc node" (bnc#1012628).
- bus: arm-cci: Fix use of smp_processor_id() in preemptible
context (bnc#1012628).
- bus: arm-ccn: Check memory allocation failure (bnc#1012628).
- bus: arm-ccn: Fix use of smp_processor_id() in preemptible
context (bnc#1012628).
- bus: arm-ccn: fix module unloading Error: Removing state 147
which has instances left (bnc#1012628).
- IB/core: Avoid unnecessary return value check (bnc#1012628).
- IB/core: Only enforce security for InfiniBand (bnc#1012628).
- crypto: talitos - fix AEAD test failures (bnc#1012628).
- crypto: talitos - fix memory corruption on SEC2 (bnc#1012628).
- crypto: talitos - fix setkey to check key weakness
(bnc#1012628).
- crypto: talitos - fix AEAD for sha224 on non sha224 capable
chips (bnc#1012628).
- crypto: talitos - fix use of sg_link_tbl_len (bnc#1012628).
- crypto: talitos - fix ctr-aes-talitos (bnc#1012628).
- ARM: BUG if jumping to usermode address in kernel mode
(bnc#1012628).
- ARM: avoid faulting on qemu (bnc#1012628).
- irqchip/qcom: Fix u32 comparison with value less than zero
(bnc#1012628).
- net/smc: use sk_rcvbuf as start for rmb creation (bnc#1012628).
- kbuild: pkg: use --transform option to prefix paths in tar
(bnc#1012628).
- coccinelle: fix parallel build with CHECK=scripts/coccicheck
(bnc#1012628).
- powerpc/perf: Fix pmu_count to count only nest imc pmus
(bnc#1012628).
- apparmor: fix leak of null profile name if profile allocation
fails (bnc#1012628).
- x86/mpx/selftests: Fix up weird arrays (bnc#1012628).
- mac80211_hwsim: Fix memory leak in hwsim_new_radio_nl()
(bnc#1012628).
- gre6: use log_ecn_error module parameter in ip6_tnl_rcv()
(bnc#1012628).
- route: also update fnhe_genid when updating a route cache
(bnc#1012628).
- route: update fnhe_expires for redirect when the fnhe exists
(bnc#1012628).
- rsi: fix memory leak on buf and usb_reg_buf (bnc#1012628).
- drivers/rapidio/devices/rio_mport_cdev.c: fix resource leak
in error handling path in 'rio_dma_transfer()' (bnc#1012628).
- pipe: match pipe_max_size data type with procfs (bnc#1012628).
- lib/genalloc.c: make the avail variable an atomic_long_t
(bnc#1012628).
- dynamic-debug-howto: fix optional/omitted ending line number
to be LARGE instead of 0 (bnc#1012628).
- NFS: Fix a typo in nfs_rename() (bnc#1012628).
- sunrpc: Fix rpc_task_begin trace point (bnc#1012628).
- nfp: inherit the max_mtu from the PF netdev (bnc#1012628).
- nfp: fix flower offload metadata flag usage (bnc#1012628).
- xfs: fix forgotten rcu read unlock when skipping inode reclaim
(bnc#1012628).
- dt-bindings: usb: fix reg-property port-number range
(bnc#1012628).
- block: wake up all tasks blocked in get_request() (bnc#1012628).
- sparc64/mm: set fields in deferred pages (bnc#1012628).
- zsmalloc: calling zs_map_object() from irq is a bug
(bnc#1012628).
- slub: fix sysfs duplicate filename creation when slub_debug=O
(bnc#1012628).
- sctp: do not free asoc when it is already dead in sctp_sendmsg
(bnc#1012628).
- sctp: use the right sk after waking up from wait_buf sleep
(bnc#1012628).
- fcntl: don't leak fd reference when fixup_compat_flock fails
(bnc#1012628).
- geneve: fix fill_info when link down (bnc#1012628).
- bpf: fix lockdep splat (bnc#1012628).
- clk: stm32h7: fix test of clock config (bnc#1012628).
- clk: sunxi-ng: a83t: Fix i2c buses bits (bnc#1012628).
- clk: qcom: common: fix legacy board-clock registration
(bnc#1012628).
- clk: uniphier: fix DAPLL2 clock rate of Pro5 (bnc#1012628).
- clk: hi3660: fix incorrect uart3 clock freqency (bnc#1012628).
- mailbox: mailbox-test: don't rely on rx_buffer content to
signal data ready (bnc#1012628).
- kbuild: rpm-pkg: fix jobserver unavailable warning
(bnc#1012628).
- atm: horizon: Fix irq release error (bnc#1012628).
- jump_label: Invoke jump_label_test() via early_initcall()
(bnc#1012628).
- tls: Use kzalloc for aead_request allocation (bnc#1012628).
- xfrm: Copy policy family in clone_policy (bnc#1012628).
- f2fs: fix to clear FI_NO_PREALLOC (bnc#1012628).
- bnxt_re: changing the ip address shouldn't affect new
connections (bnc#1012628).
- IB/mlx4: Increase maximal message size under UD QP
(bnc#1012628).
- IB/mlx5: Assign send CQ and recv CQ of UMR QP (bnc#1012628).
- afs: Fix total-length calculation for multiple-page send
(bnc#1012628).
- afs: Connect up the CB.ProbeUuid (bnc#1012628).
- commit 45f120a
-------------------------------------------------------------------
Wed Dec 13 15:39:44 CET 2017 - msuchanek@suse.de
- s390/sclp: disable FORTIFY_SOURCE for early sclp code (-).
- commit 62412b6
-------------------------------------------------------------------
Tue Dec 12 19:55:02 CET 2017 - bp@suse.de
- x86/microcode/AMD: Add support for fam17h microcode loading
(bsc#1068032).
- commit c1a1611
-------------------------------------------------------------------
Tue Dec 12 10:36:33 CET 2017 - mkubecek@suse.cz
- netfilter: xt_osf: Add missing permission checks (CVE-2017-17450
bsc#1071695).
- commit a1ac243
-------------------------------------------------------------------
Tue Dec 12 10:30:19 CET 2017 - mkubecek@suse.cz
- netlink: Add netns check on taps (CVE-2017-17449 bsc#1071694).
- commit 9e5e383
-------------------------------------------------------------------
Tue Dec 12 10:27:00 CET 2017 - mkubecek@suse.cz
- netfilter: nfnetlink_cthelper: Add missing permission checks
(CVE-2017-17448 bsc#1071693).
- commit a208c29
-------------------------------------------------------------------
Tue Dec 12 09:43:24 CET 2017 - mkubecek@suse.cz
- dccp: CVE-2017-8824: use-after-free in DCCP code (CVE-2017-8824
bsc#1070771).
- commit bd1657a
-------------------------------------------------------------------
Mon Dec 11 09:31:08 CET 2017 - jslaby@suse.cz
- Linux 4.14.5 (bnc#1012628).
- Update config files.
- locking/refcounts: Do not force refcount_t usage as GPL-only
export (bnc#1012628).
- usb: host: fix incorrect updating of offset (bnc#1012628).
- USB: usbfs: Filter flags passed in from user space
(bnc#1012628).
- USB: core: Add type-specific length check of BOS descriptors
(bnc#1012628).
- usb: xhci: fix panic in xhci_free_virt_devices_depth_first
(bnc#1012628).
- xhci: Don't show incorrect WARN message about events for empty
rings (bnc#1012628).
- USB: ulpi: fix bus-node lookup (bnc#1012628).
- usb: hub: Cycle HUB power when initialization fails
(bnc#1012628).
- staging: ccree: fix leak of import() after init() (bnc#1012628).
- dma-buf/sw_sync: force signal all unsignaled fences on dying
timeline (bnc#1012628).
- powerpc/kprobes: Disable preemption before invoking probe
handler for optprobes (bnc#1012628).
- powerpc/jprobes: Disable preemption when triggered through
ftrace (bnc#1012628).
- locking/refcounts, x86/asm: Enable CONFIG_ARCH_HAS_REFCOUNT
(bnc#1012628).
- iio: multiplexer: add NULL check on devm_kzalloc() and
devm_kmemdup() return values (bnc#1012628).
- iio: adc: ti-ads1015: add 10% to conversion wait time
(bnc#1012628).
- mm, x86/mm: Fix performance regression in get_user_pages_fast()
(bnc#1012628).
- perf tools: Fix leaking rec_argv in error cases (bnc#1012628).
- tools include: Do not use poison with C++ (bnc#1012628).
- s390/ptrace: fix guarded storage regset handling (bnc#1012628).
- locking/refcounts, x86/asm: Use unique .text section for
refcount exceptions (bnc#1012628).
- kprobes/x86: Disable preemption in ftrace-based jprobes
(bnc#1012628).
- perf test attr: Fix python error on empty result (bnc#1012628).
- perf test attr: Fix ignored test case result (bnc#1012628).
- staging: fsl-mc/dpio: Fix incorrect comparison (bnc#1012628).
- serial: imx: Update cached mctrl value when changing RTS
(bnc#1012628).
- usbip: tools: Install all headers needed for libusbip
development (bnc#1012628).
- serial: sh-sci: suppress warning for ports without dma channels
(bnc#1012628).
- sysrq : fix Show Regs call trace on ARM (bnc#1012628).
- usb: xhci: Return error when host is dead in xhci_disable_slot()
(bnc#1012628).
- ARM: cpuidle: Correct driver unregistration if init fails
(bnc#1012628).
- staging: rtl8822be: Keep array subscript no lower than zero
(bnc#1012628).
- staging: fsl-dpaa2/eth: Account for Rx FD buffers on error path
(bnc#1012628).
- usb: mtu3: fix error return code in ssusb_gadget_init()
(bnc#1012628).
- EDAC, sb_edac: Fix missing break in switch (bnc#1012628).
- dt-bindings: timer: renesas, cmt: Fix SoC-specific compatible
values (bnc#1012628).
- clocksource/drivers/arm_arch_timer: Validate CNTFRQ after
enabling frame (bnc#1012628).
- x86/entry: Use SYSCALL_DEFINE() macros for sys_modify_ldt()
(bnc#1012628).
- kprobes: Use synchronize_rcu_tasks() for optprobe with
CONFIG_PREEMPT=y (bnc#1012628).
- serial: 8250: Preserve DLD[7:4] for PORT_XR17V35X (bnc#1012628).
- x86/intel_rdt: Fix potential deadlock during resctrl mount
(bnc#1012628).
- x86/intel_rdt: Initialize bitmask of shareable resource if
CDP enabled (bnc#1012628).
- PCI: dra7xx: Create functional dependency between PCIe and PHY
(bnc#1012628).
- usb: phy: tahvo: fix error handling in tahvo_usb_probe()
(bnc#1012628).
- perf/core: Fix __perf_read_group_add() locking (bnc#1012628).
- hwmon: (pmbus/core) Prevent unintentional setting of page to
0xFF (bnc#1012628).
- mmc: sdhci-msm: fix issue with power irq (bnc#1012628).
- mmc: tmio: check mmc_regulator_get_supply return value
(bnc#1012628).
- spi: spi-axi: fix potential use-after-free after deregistration
(bnc#1012628).
- spi: sh-msiof: Fix DMA transfer size check (bnc#1012628).
- staging: rtl8188eu: avoid a null dereference on pmlmepriv
(bnc#1012628).
- staging: rtl8822be: fix wrong dma unmap len (bnc#1012628).
- serial: 8250_fintek: Fix rs485 disablement on invalid ioctl()
(bnc#1012628).
- m68k: fix ColdFire node shift size calculation (bnc#1012628).
- staging: greybus: loopback: Fix iteration count on async path
(bnc#1012628).
- selftests/x86/ldt_gdt: Robustify against set_thread_area()
and LAR oddities (bnc#1012628).
- selftests/x86/ldt_get: Add a few additional tests for limits
(bnc#1012628).
- s390/pci: do not require AIS facility (bnc#1012628).
- PM / Domains: Fix genpd to deal with drivers returning 1 from
->prepare() (bnc#1012628).
- s390: vfio-ccw: Do not attempt to free no-op, test and tic cda
(bnc#1012628).
- ima: fix hash algorithm initialization (bnc#1012628).
- MIPS: Add custom serial.h with BASE_BAUD override for generic
kernel (bnc#1012628).
- serial: 8250_early: Only set divisor if valid clk & baud
(bnc#1012628).
- USB: serial: usb_debug: add new USB device id (bnc#1012628).
- USB: serial: option: add Quectel BG96 id (bnc#1012628).
- ANDROID: binder: fix transaction leak (bnc#1012628).
- serial: 8250_pci: Add Amazon PCI serial device ID (bnc#1012628).
- usb: quirks: Add no-lpm quirk for KY-688 USB 3.1 Type-C Hub
(bnc#1012628).
- uas: Always apply US_FL_NO_ATA_1X quirk to Seagate devices
(bnc#1012628).
- usbip: Fix USB device hang due to wrong enabling of
scatter-gather (bnc#1012628).
- usbip: fix usbip attach to find a port that matches the
requested speed (bnc#1012628).
- s390/runtime instrumentation: simplify task exit handling
(bnc#1012628).
- drm/amdgpu: Use unsigned ring indices in amdgpu_queue_mgr_map
(bnc#1012628).
- drm/fsl-dcu: enable IRQ before drm_atomic_helper_resume()
(bnc#1012628).
- drm/fsl-dcu: avoid disabling pixel clock twice on suspend
(bnc#1012628).
- commit c4edabf
-------------------------------------------------------------------
Mon Dec 11 03:44:03 CET 2017 - jeffm@suse.com
- Update to 4.15-rc3.
- Eliminated 1 patch.
- commit 383d72f
-------------------------------------------------------------------
Sat Dec 9 20:12:16 CET 2017 - afaerber@suse.de
- config: armv7hl: Enable SUN4I_A10_CCU for Allwinner A20 (boo#1072032)
- commit 170d177
-------------------------------------------------------------------
Fri Dec 8 14:10:52 CET 2017 - msuchanek@suse.de
- Add undefine _unique_build_ids (bsc#964063)
- commit 47e91a1
-------------------------------------------------------------------
Tue Dec 5 15:58:24 CET 2017 - jslaby@suse.cz
- Linux 4.14.4 (bnc#1012628).
- platform/x86: hp-wmi: Fix tablet mode detection for convertibles
(bnc#1012628).
- mm, memory_hotplug: do not back off draining pcp free pages
from kworker context (bnc#1012628).
- mm, oom_reaper: gather each vma to prevent leaking TLB entry
(bnc#1012628).
- mm, thp: Do not make page table dirty unconditionally in
touch_p[mu]d() (bnc#1012628).
- mm/cma: fix alloc_contig_range ret code/potential leak
(bnc#1012628).
- mm: fix device-dax pud write-faults triggered by
get_user_pages() (bnc#1012628).
- mm, hugetlbfs: introduce ->split() to vm_operations_struct
(bnc#1012628).
- device-dax: implement ->split() to catch invalid munmap attempts
(bnc#1012628).
- mm: introduce get_user_pages_longterm (bnc#1012628).
- mm: fail get_vaddr_frames() for filesystem-dax mappings
(bnc#1012628).
- v4l2: disable filesystem-dax mapping support (bnc#1012628).
- IB/core: disable memory registration of filesystem-dax vmas
(bnc#1012628).
- exec: avoid RLIMIT_STACK races with prlimit() (bnc#1012628).
- mm/madvise.c: fix madvise() infinite loop under special
circumstances (bnc#1012628).
- mm: migrate: fix an incorrect call of prep_transhuge_page()
(bnc#1012628).
- mm, memcg: fix mem_cgroup_swapout() for THPs (bnc#1012628).
- fs/fat/inode.c: fix sb_rdonly() change (bnc#1012628).
- autofs: revert "autofs: take more care to not update last_used
on path walk" (bnc#1012628).
- autofs: revert "autofs: fix AT_NO_AUTOMOUNT not being honored"
(bnc#1012628).
- mm/hugetlb: fix NULL-pointer dereference on 5-level paging
machine (bnc#1012628).
- btrfs: clear space cache inode generation always (bnc#1012628).
- nfsd: Fix stateid races between OPEN and CLOSE (bnc#1012628).
- nfsd: Fix another OPEN stateid race (bnc#1012628).
- nfsd: fix panic in posix_unblock_lock called from
nfs4_laundromat (bnc#1012628).
- crypto: algif_aead - skip SGL entries with NULL page
(bnc#1012628).
- crypto: af_alg - remove locking in async callback (bnc#1012628).
- crypto: skcipher - Fix skcipher_walk_aead_common (bnc#1012628).
- lockd: lost rollback of set_grace_period() in lockd_down_net()
(bnc#1012628).
- s390: revert ELF_ET_DYN_BASE base changes (bnc#1012628).
- drm: omapdrm: Fix DPI on platforms using the DSI VDDS
(bnc#1012628).
- omapdrm: hdmi4: Correct the SoC revision matching (bnc#1012628).
- arm64: module-plts: factor out PLT generation code for ftrace
(bnc#1012628).
- arm64: ftrace: emit ftrace-mod.o contents through code
(bnc#1012628).
- powerpc/powernv: Fix kexec crashes caused by tlbie tracing
(bnc#1012628).
- powerpc/kexec: Fix kexec/kdump in P9 guest kernels
(bnc#1012628).
- KVM: x86: pvclock: Handle first-time write to pvclock-page
contains random junk (bnc#1012628).
- KVM: x86: Exit to user-mode on #UD intercept when emulator
requires (bnc#1012628).
- KVM: x86: inject exceptions produced by x86_decode_insn
(bnc#1012628).
- KVM: lapic: Split out x2apic ldr calculation (bnc#1012628).
- KVM: lapic: Fixup LDR on load in x2apic (bnc#1012628).
- mmc: block: Fix missing blk_put_request() (bnc#1012628).
- mmc: block: Check return value of blk_get_request()
(bnc#1012628).
- mmc: core: Do not leave the block driver in a suspended state
(bnc#1012628).
- mmc: block: Ensure that debugfs files are removed (bnc#1012628).
- mmc: core: prepend 0x to pre_eol_info entry in sysfs
(bnc#1012628).
- mmc: core: prepend 0x to OCR entry in sysfs (bnc#1012628).
- ACPI / EC: Fix regression related to PM ops support in ECDT
device (bnc#1012628).
- eeprom: at24: fix reading from 24MAC402/24MAC602 (bnc#1012628).
- eeprom: at24: correctly set the size for at24mac402
(bnc#1012628).
- eeprom: at24: check at24_read/write arguments (bnc#1012628).
- i2c: i801: Fix Failed to allocate irq -2147483648 error
(bnc#1012628).
- cxl: Check if vphb exists before iterating over AFU devices
(bnc#1012628).
- bcache: Fix building error on MIPS (bnc#1012628).
- bcache: only permit to recovery read error when cache device
is clean (bnc#1012628).
- bcache: recover data from backing when data is clean
(bnc#1012628).
- hwmon: (jc42) optionally try to disable the SMBUS timeout
(bnc#1012628).
- nvme-pci: add quirk for delay before CHK RDY for WDC SN200
(bnc#1012628).
- Revert "drm/radeon: dont switch vt on suspend" (bnc#1012628).
- drm/amdgpu: potential uninitialized variable in
amdgpu_vce_ring_parse_cs() (bnc#1012628).
- drm/amdgpu: Potential uninitialized variable in
amdgpu_vm_update_directories() (bnc#1012628).
- drm/amdgpu: correct reference clock value on vega10
(bnc#1012628).
- drm/amdgpu: fix error handling in amdgpu_bo_do_create
(bnc#1012628).
- drm/amdgpu: Properly allocate VM invalidate eng v2
(bnc#1012628).
- drm/amdgpu: Remove check which is not valid for certain VBIOS
(bnc#1012628).
- drm/ttm: fix ttm_bo_cleanup_refs_or_queue once more
(bnc#1012628).
- dma-buf: make reservation_object_copy_fences rcu save
(bnc#1012628).
- drm/amdgpu: reserve root PD while releasing it (bnc#1012628).
- drm/ttm: Always and only destroy bo->ttm_resv in
ttm_bo_release_list (bnc#1012628).
- drm/vblank: Fix flip event vblank count (bnc#1012628).
- drm/vblank: Tune drm_crtc_accurate_vblank_count() WARN down
to a debug (bnc#1012628).
- drm/tilcdc: Precalculate total frametime in
tilcdc_crtc_set_mode() (bnc#1012628).
- drm/radeon: fix atombios on big endian (bnc#1012628).
- drm/panel: simple: Add missing panel_simple_unprepare() calls
(bnc#1012628).
- drm/hisilicon: Ensure LDI regs are properly configured
(bnc#1012628).
- drm/ttm: once more fix ttm_buffer_object_transfer (bnc#1012628).
- drm/amd/pp: fix typecast error in powerplay (bnc#1012628).
- drm/fb_helper: Disable all crtc's when initial setup fails
(bnc#1012628).
- drm/fsl-dcu: Don't set connector DPMS property (bnc#1012628).
- drm/edid: Don't send non-zero YQ in AVI infoframe for HDMI
1.x sinks (bnc#1012628).
- drm/amdgpu: move UVD/VCE and VCN structure out from union
(bnc#1012628).
- drm/amdgpu: Set adev->vcn.irq.num_types for VCN (bnc#1012628).
- include/linux/compiler-clang.h: handle randomizable anonymous
structs (bnc#1012628).
- IB/core: Do not warn on lid conversions for OPA (bnc#1012628).
- IB/hfi1: Do not warn on lid conversions for OPA (bnc#1012628).
- e1000e: fix the use of magic numbers for buffer overrun issue
(bnc#1012628).
- md: forbid a RAID5 from having both a bitmap and a journal
(bnc#1012628).
- drm/i915: Fix false-positive assert_rpm_wakelock_held in
i915_pmic_bus_access_notifier v2 (bnc#1012628).
- drm/i915: Re-register PMIC bus access notifier on runtime resume
(bnc#1012628).
- drm/i915/fbdev: Serialise early hotplug events with async
fbdev config (bnc#1012628).
- drm/i915/gvt: Correct ADDR_4K/2M/1G_MASK definition
(bnc#1012628).
- drm/i915: Don't try indexed reads to alternate slave addresses
(bnc#1012628).
- drm/i915: Prevent zero length "index" write (bnc#1012628).
- Revert "x86/entry/64: Add missing irqflags tracing to
native_load_gs_index()" (bnc#1012628).
- commit b29439c
-------------------------------------------------------------------
Tue Dec 5 10:13:14 CET 2017 - jslaby@suse.cz
- x86/stacktrace: do now unwind after user regs (bnc#1058115).
- x86/stacktrace: remove unwind_state->error (bnc#1058115).
- x86/stacktrace: remove STACKTRACE_DUMP_ONCE from
__save_stack_trace_reliable (bnc#1058115).
- x86/stacktrace: do not fail for ORC with regs on stack
(bnc#1058115).
More make-ORC-reliable patches.
- commit a6a5b05
-------------------------------------------------------------------
Mon Dec 4 16:10:35 CET 2017 - jeffm@suse.com
- Update to 4.15-rc2.
- Eliminated 2 patches.
- commit 68549b6
-------------------------------------------------------------------
Thu Nov 30 10:05:48 CET 2017 - jslaby@suse.cz
- Linux 4.14.3 (bnc#1012628).
- s390: fix transactional execution control register handling
(bnc#1012628).
- s390/noexec: execute kexec datamover without DAT (bnc#1012628).
- s390/runtime instrumention: fix possible memory corruption
(bnc#1012628).
- s390/guarded storage: fix possible memory corruption
(bnc#1012628).
- s390/disassembler: add missing end marker for e7 table
(bnc#1012628).
- s390/disassembler: increase show_code buffer size (bnc#1012628).
- ACPI / PM: Fix acpi_pm_notifier_lock vs flush_workqueue()
deadlock (bnc#1012628).
- ACPI / EC: Fix regression related to triggering source of EC
event handling (bnc#1012628).
- cpufreq: schedutil: Reset cached_raw_freq when not in sync
with next_freq (bnc#1012628).
- serdev: fix registration of second slave (bnc#1012628).
- sched: Make resched_cpu() unconditional (bnc#1012628).
- lib/mpi: call cond_resched() from mpi_powm() loop (bnc#1012628).
- x86/boot: Fix boot failure when SMP MP-table is based at 0
(bnc#1012628).
- x86/decoder: Add new TEST instruction pattern (bnc#1012628).
- x86/entry/64: Fix entry_SYSCALL_64_after_hwframe() IRQ tracing
(bnc#1012628).
- x86/entry/64: Add missing irqflags tracing to
native_load_gs_index() (bnc#1012628).
- perf/x86/intel: Hide TSX events when RTM is not supported
(bnc#1012628).
- arm64: Implement arch-specific pte_access_permitted()
(bnc#1012628).
- ARM: 8722/1: mm: make STRICT_KERNEL_RWX effective for LPAE
(bnc#1012628).
- ARM: 8721/1: mm: dump: check hardware RO bit for LPAE
(bnc#1012628).
- uapi: fix linux/tls.h userspace compilation error (bnc#1012628).
- uapi: fix linux/rxrpc.h userspace compilation errors
(bnc#1012628).
- MIPS: cmpxchg64() and HAVE_VIRT_CPU_ACCOUNTING_GEN don't work
for 32-bit SMP (bnc#1012628).
- MIPS: ralink: Fix MT7628 pinmux (bnc#1012628).
- MIPS: ralink: Fix typo in mt7628 pinmux function (bnc#1012628).
- net: mvneta: fix handling of the Tx descriptor counter
(bnc#1012628).
- nbd: wait uninterruptible for the dead timeout (bnc#1012628).
- nbd: don't start req until after the dead connection logic
(bnc#1012628).
- PM / OPP: Add missing of_node_put(np) (bnc#1012628).
- PCI/ASPM: Account for downstream device's Port
Common_Mode_Restore_Time (bnc#1012628).
- PCI/ASPM: Use correct capability pointer to program
LTR_L1.2_THRESHOLD (bnc#1012628).
- PCI: hv: Use effective affinity mask (bnc#1012628).
- PCI: Set Cavium ACS capability quirk flags to assert RR/CR/SV/UF
(bnc#1012628).
- PCI: Apply Cavium ThunderX ACS quirk to more Root Ports
(bnc#1012628).
- ALSA: hda: Add Raven PCI ID (bnc#1012628).
- dm integrity: allow unaligned bv_offset (bnc#1012628).
- dm cache: fix race condition in the writeback mode overwrite_bio
optimisation (bnc#1012628).
- dm crypt: allow unaligned bv_offset (bnc#1012628).
- dm zoned: ignore last smaller runt zone (bnc#1012628).
- dm mpath: remove annoying message of 'blk_get_request()
returned -11' (bnc#1012628).
- dm bufio: fix integer overflow when limiting maximum cache size
(bnc#1012628).
- ovl: Put upperdentry if ovl_check_origin() fails (bnc#1012628).
- dm: allocate struct mapped_device with kvzalloc (bnc#1012628).
- sched/rt: Simplify the IPI based RT balancing logic
(bnc#1012628).
- MIPS: pci: Remove KERN_WARN instance inside the mt7620 driver
(bnc#1012628).
- dm: fix race between dm_get_from_kobject() and __dm_destroy()
(bnc#1012628).
- dm: discard support requires all targets in a table support
discards (bnc#1012628).
- MIPS: Fix odd fp register warnings with MIPS64r2 (bnc#1012628).
- MIPS: Fix MIPS64 FP save/restore on 32-bit kernels
(bnc#1012628).
- MIPS: dts: remove bogus bcm96358nb4ser.dtb from dtb-y entry
(bnc#1012628).
- MIPS: Fix an n32 core file generation regset support regression
(bnc#1012628).
- MIPS: BCM47XX: Fix LED inversion for WRT54GSv1 (bnc#1012628).
- MIPS: math-emu: Fix final emulation phase for certain
instructions (bnc#1012628).
- rt2x00usb: mark device removed when get ENOENT usb error
(bnc#1012628).
- mm/z3fold.c: use kref to prevent page free/compact race
(bnc#1012628).
- autofs: don't fail mount for transient error (bnc#1012628).
- nilfs2: fix race condition that causes file system corruption
(bnc#1012628).
- fscrypt: lock mutex before checking for bounce page pool
(bnc#1012628).
- eCryptfs: use after free in ecryptfs_release_messaging()
(bnc#1012628).
- libceph: don't WARN() if user tries to add invalid key
(bnc#1012628).
- bcache: check ca->alloc_thread initialized before wake up it
(bnc#1012628).
- fs: guard_bio_eod() needs to consider partitions (bnc#1012628).
- fanotify: fix fsnotify_prepare_user_wait() failure
(bnc#1012628).
- isofs: fix timestamps beyond 2027 (bnc#1012628).
- btrfs: change how we decide to commit transactions during
flushing (bnc#1012628).
- f2fs: expose some sectors to user in inline data or dentry case
(bnc#1012628).
- NFS: Fix typo in nomigration mount option (bnc#1012628).
- NFS: Revert "NFS: Move the flock open mode check into
nfs_flock()" (bnc#1012628).
- nfs: Fix ugly referral attributes (bnc#1012628).
- NFS: Avoid RCU usage in tracepoints (bnc#1012628).
- NFS: revalidate "." etc correctly on "open" (bnc#1012628).
- nfsd: deal with revoked delegations appropriately (bnc#1012628).
- rtlwifi: rtl8192ee: Fix memory leak when loading firmware
(bnc#1012628).
- rtlwifi: fix uninitialized rtlhal->last_suspend_sec time
(bnc#1012628).
- iwlwifi: fix firmware names for 9000 and A000 series hw
(bnc#1012628).
- md: fix deadlock error in recent patch (bnc#1012628).
- md: don't check MD_SB_CHANGE_CLEAN in md_allow_write
(bnc#1012628).
- Bluetooth: btqcomsmd: Add support for BD address setup
(bnc#1012628).
- md/bitmap: revert a patch (bnc#1012628 bsc#1062597).
- fsnotify: clean up fsnotify_prepare/finish_user_wait()
(bnc#1012628).
- fsnotify: pin both inode and vfsmount mark (bnc#1012628).
- fsnotify: fix pinning group in fsnotify_prepare_user_wait()
(bnc#1012628).
- ata: fixes kernel crash while tracing ata_eh_link_autopsy event
(bnc#1012628).
- ext4: fix interaction between i_size, fallocate, and delalloc
after a crash (bnc#1012628).
- ext4: prevent data corruption with inline data + DAX
(bnc#1012628).
- ext4: prevent data corruption with journaling + DAX
(bnc#1012628).
- ALSA: pcm: update tstamp only if audio_tstamp changed
(bnc#1012628).
- ALSA: usb-audio: Add sanity checks to FE parser (bnc#1012628).
- ALSA: usb-audio: Fix potential out-of-bound access at parsing SU
(bnc#1012628).
- ALSA: usb-audio: Add sanity checks in v2 clock parsers
(bnc#1012628).
- ALSA: timer: Remove kernel warning at compat ioctl error paths
(bnc#1012628).
- ALSA: hda/realtek - Fix ALC275 no sound issue (bnc#1012628).
- ALSA: hda: Fix too short HDMI/DP chmap reporting (bnc#1012628).
- ALSA: hda - Fix yet remaining issue with vmaster 0dB
initialization (bnc#1012628).
- ALSA: hda/realtek - Fix ALC700 family no sound issue
(bnc#1012628).
- ASoC: sun8i-codec: Invert Master / Slave condition
(bnc#1012628).
- ASoC: sun8i-codec: Fix left and right channels inversion
(bnc#1012628).
- ASoC: sun8i-codec: Set the BCLK divider (bnc#1012628).
- mfd: lpc_ich: Avoton/Rangeley uses SPI_BYT method (bnc#1012628).
- fix a page leak in vhost_scsi_iov_to_sgl() error recovery
(bnc#1012628).
- 9p: Fix missing commas in mount options (bnc#1012628).
- fs/9p: Compare qid.path in v9fs_test_inode (bnc#1012628).
- net/9p: Switch to wait_event_killable() (bnc#1012628).
- scsi: qla2xxx: Suppress a kernel complaint in
qla_init_base_qpair() (bnc#1012628).
- scsi: sd_zbc: Fix sd_zbc_read_zoned_characteristics()
(bnc#1012628).
- scsi: lpfc: fix pci hot plug crash in timer management routines
(bnc#1012628).
- scsi: lpfc: fix pci hot plug crash in list_add call
(bnc#1012628).
- scsi: lpfc: Fix crash receiving ELS while detaching driver
(bnc#1012628).
- scsi: lpfc: Fix FCP hba_wqidx assignment (bnc#1012628).
- scsi: lpfc: Fix oops if nvmet_fc_register_targetport fails
(bnc#1012628).
- iscsi-target: Make TASK_REASSIGN use proper se_cmd->cmd_kref
(bnc#1012628).
- iscsi-target: Fix non-immediate TMR reference leak
(bnc#1012628).
- target: fix null pointer regression in core_tmr_drain_tmr_list
(bnc#1012628).
- target: fix buffer offset in core_scsi3_pri_read_full_status
(bnc#1012628).
- target: Fix QUEUE_FULL + SCSI task attribute handling
(bnc#1012628).
- target: Fix caw_sem leak in transport_generic_request_failure
(bnc#1012628).
- target: Fix quiese during transport_write_pending_qf endless
loop (bnc#1012628).
- target: Avoid early CMD_T_PRE_EXECUTE failures during ABORT_TASK
(bnc#1012628).
- mtd: Avoid probe failures when mtd->dbg.dfs_dir is invalid
(bnc#1012628).
- mtd: nand: Export nand_reset() symbol (bnc#1012628).
- mtd: nand: atmel: Actually use the PM ops (bnc#1012628).
- mtd: nand: omap2: Fix subpage write (bnc#1012628).
- mtd: nand: Fix writing mtdoops to nand flash (bnc#1012628).
- mtd: nand: mtk: fix infinite ECC decode IRQ issue (bnc#1012628).
- mailbox: bcm-flexrm-mailbox: Fix FlexRM ring flush sequence
(bnc#1012628).
- p54: don't unregister leds when they are not initialized
(bnc#1012628).
- block: Fix a race between blk_cleanup_queue() and timeout
handling (bnc#1012628).
- raid1: prevent freeze_array/wait_all_barriers deadlock
(bnc#1012628).
- genirq: Track whether the trigger type has been set
(bnc#1012628).
- irqchip/gic-v3: Fix ppi-partitions lookup (bnc#1012628).
- lockd: double unregister of inetaddr notifiers (bnc#1012628).
- KVM: PPC: Book3S HV: Don't call real-mode XICS hypercall
handlers if not enabled (bnc#1012628).
- KVM: nVMX: set IDTR and GDTR limits when loading L1 host state
(bnc#1012628).
- KVM: SVM: obey guest PAT (bnc#1012628).
- kvm: vmx: Reinstate support for CPUs without virtual NMI
(bnc#1012628).
- dax: fix PMD faults on zero-length files (bnc#1012628).
- dax: fix general protection fault in dax_alloc_inode
(bnc#1012628).
- SUNRPC: Fix tracepoint storage issues with svc_recv and
svc_rqst_status (bnc#1012628).
- clk: ti: dra7-atl-clock: fix child-node lookups (bnc#1012628).
- libnvdimm, dimm: clear 'locked' status on successful DIMM enable
(bnc#1012628).
- libnvdimm, pfn: make 'resource' attribute only readable by root
(bnc#1012628).
- libnvdimm, namespace: fix label initialization to use valid
seq numbers (bnc#1012628).
- libnvdimm, region : make 'resource' attribute only readable
by root (bnc#1012628).
- libnvdimm, namespace: make 'resource' attribute only readable
by root (bnc#1012628).
- svcrdma: Preserve CB send buffer across retransmits
(bnc#1012628).
- IB/srpt: Do not accept invalid initiator port names
(bnc#1012628).
- IB/cm: Fix memory corruption in handling CM request
(bnc#1012628).
- IB/hfi1: Fix incorrect available receive user context count
(bnc#1012628).
- IB/srp: Avoid that a cable pull can trigger a kernel crash
(bnc#1012628).
- IB/core: Avoid crash on pkey enforcement failed in received MADs
(bnc#1012628).
- IB/core: Only maintain real QPs in the security lists
(bnc#1012628).
- NFC: fix device-allocation error return (bnc#1012628).
- spi-nor: intel-spi: Fix broken software sequencing codes
(bnc#1012628).
- i40e: Use smp_rmb rather than read_barrier_depends
(bnc#1012628).
- igb: Use smp_rmb rather than read_barrier_depends (bnc#1012628).
- igbvf: Use smp_rmb rather than read_barrier_depends
(bnc#1012628).
- ixgbevf: Use smp_rmb rather than read_barrier_depends
(bnc#1012628).
- i40evf: Use smp_rmb rather than read_barrier_depends
(bnc#1012628).
- fm10k: Use smp_rmb rather than read_barrier_depends
(bnc#1012628).
- ixgbe: Fix skb list corruption on Power systems (bnc#1012628).
- parisc: Fix validity check of pointer size argument in new
CAS implementation (bnc#1012628).
- powerpc: Fix boot on BOOK3S_32 with CONFIG_STRICT_KERNEL_RWX
(bnc#1012628).
- powerpc/mm/radix: Fix crashes on Power9 DD1 with radix MMU
and STRICT_RWX (bnc#1012628).
- powerpc/perf/imc: Use cpu_to_node() not
topology_physical_package_id() (bnc#1012628).
- powerpc/signal: Properly handle return value from
uprobe_deny_signal() (bnc#1012628).
- powerpc/64s: Fix masking of SRR1 bits on instruction fault
(bnc#1012628).
- powerpc/64s/radix: Fix 128TB-512TB virtual address boundary
case allocation (bnc#1012628).
- powerpc/64s/hash: Fix 512T hint detection to use >= 128T
(bnc#1012628).
- powerpc/64s/hash: Fix 128TB-512TB virtual address boundary
case allocation (bnc#1012628).
- powerpc/64s/hash: Fix fork() with 512TB process address space
(bnc#1012628).
- powerpc/64s/hash: Allow MAP_FIXED allocations to cross 128TB
boundary (bnc#1012628).
- media: Don't do DMA on stack for firmware upload in the AS102
driver (bnc#1012628).
- media: rc: check for integer overflow (bnc#1012628).
- media: rc: nec decoder should not send both repeat and keycode
(bnc#1012628).
- media: v4l2-ctrl: Fix flags field on Control events
(bnc#1012628).
- media: venus: fix wrong size on dma_free (bnc#1012628).
- media: venus: venc: fix bytesused v4l2_plane field
(bnc#1012628).
- media: venus: reimplement decoder stop command (bnc#1012628).
- ARM64: dts: meson-gxl: Add alternate ARM Trusted Firmware
reserved memory zone (bnc#1012628).
- iwlwifi: fix wrong struct for a000 device (bnc#1012628).
- iwlwifi: add a new a000 device (bnc#1012628).
- iwlwifi: pcie: sort IDs for the 9000 series for easier
comparisons (bnc#1012628).
- iwlwifi: add new cards for a000 series (bnc#1012628).
- iwlwifi: add new cards for 8265 series (bnc#1012628).
- iwlwifi: add new cards for 8260 series (bnc#1012628).
- iwlwifi: fix PCI IDs and configuration mapping for 9000 series
(bnc#1012628).
- e1000e: Fix error path in link detection (bnc#1012628).
- e1000e: Fix return value test (bnc#1012628).
- e1000e: Separate signaling for link check/link up (bnc#1012628).
- e1000e: Avoid receiver overrun interrupt bursts (bnc#1012628).
- e1000e: fix buffer overrun while the I219 is processing DMA
transactions (bnc#1012628).
- Refresh patches.suse/dm-mpath-accept-failed-paths.
- Delete
patches.suse/0002-md-bitmap-copy-correct-data-for-bitmap-super.patch.
- commit a731a45
-------------------------------------------------------------------
Thu Nov 30 09:52:27 CET 2017 - jslaby@suse.cz
- Refresh
patches.suse/apparmor-fix-oops-in-audit_signal_cb-hook.patch.
Update upstream status.
- commit 00f319b
-------------------------------------------------------------------
Thu Nov 30 09:41:53 CET 2017 - jslaby@suse.cz
- mmc: sdhci: Avoid swiotlb buffer being full (bnc#1068877).
- Delete
patches.suse/revert-mmc-Delete-bounce-buffer-handling.patch.
- mmc: sdhci: Avoid swiotlb buffer being full (bnc#1068877).
- commit acb1236
-------------------------------------------------------------------
Wed Nov 29 20:47:36 CET 2017 - msuchanek@suse.de
- rpm/kernel-binary.spec.in: fix incorrectly moved comment
While moving # END COMMON DEPS moved following comment with it.
- commit 858b7e7
-------------------------------------------------------------------
Tue Nov 28 02:01:48 CET 2017 - afaerber@suse.de
- config: armv7hl: Update to 4.15-rc1
- commit b4c7f19
-------------------------------------------------------------------
Tue Nov 28 01:25:18 CET 2017 - afaerber@suse.de
- config: armv6hl: Update to 4.15-rc1
- commit edcdf48
-------------------------------------------------------------------
Tue Nov 28 01:19:11 CET 2017 - afaerber@suse.de
- config: arm64: Update to 4.15-rc1
- commit 3278861
-------------------------------------------------------------------
Mon Nov 27 18:14:28 CET 2017 - jeffm@suse.com
- Update to 4.15-rc1.
- Eliminated 74 patches.
- ARM configs need updating.
- Config changes:
- General:
- CPU_ISOLATION=y
- GUP_BENCHMARK=n
- x86:
- X86_INTEL_UMIP=y
- PINCTRL_CEDARFORK=m
- INTEL_SOC_PMIC_CHTDC_TI=m
- INTEL_WMI_THUNDERBOLT=m
- DELL_SMBIOS_WMI=m
- DELL_SMBIOS_SMM=m
- CHT_DC_TI_PMIC_OPREGION=y
- RPMSG_CHAR=m
- i386:
- IR_SPI=m
- IR_GPIO_CIR=m
- IR_GPIO_TX=m
- IR_PWM_TX=m
- powerpc:
- PPC_RADIX_MMU_DEFAULT=y (default)
- MEM_SOFT_DIRTY=n (needs arch expert review)
- PINCTRL=n
- PPC_FAST_ENDIAN_SWITCH=n (default)
- s390:
- GCC_PLUGINS=n
- MEM_SOFT_DIRTY=(needs arch expert review)
- PINCTRL=n
- FORTIFY_SOURCE=y
- s390/zfcpdump:
- BPF_STREAM_PARSER=n
- MTD=n
- Network:
- NET_SCH_CBS=m
- VSOCKETS_DIAG=m
- DP83822_PHY=m
- RENESAS_PHY=m
- THUNDERBOLT_NET=m
- Input:
- TOUCHSCREEN_EXC3000=m
- TOUCHSCREEN_HIDEEP=m
- TOUCHSCREEN_S6SY761=m
- DRM_I2C_ADV7511_CEC=y
- Misc:
- IPMI_PROC_INTERFACE=y
- GPIO_MAX3191X=m
- MANAGER_SBS=m
- W1_SLAVE_DS28E17=m
- SENSORS_MAX6621=m
- SENSORS_MAX31785=m
- CEC_GPIO=m
- TYPEC_TPS6598X=m
- RPMSG_VIRTIO=m
- IIO_CROS_EC_ACCEL_LEGACY=m
- RFD77402=m
- NTB_SWITCHTEC=m
- MMC_SDHCI_OMAP=m
- Filesystems:
- XFS_ONLINE_SCRUB=n (still experimental)
- BTRFS_FS_REF_VERIFY=n
- CRAMFS_BLOCKDEV=y
- CRAMFS_MTD=y
- INTEGRITY_TRUSTED_KEYRING=y
- Crypto:
- CRYPTO_SM3=m
- SIGNED_PE_FILE_VERIFICATION=y
- SYSTEM_TRUSTED_KEYS (empty)
- SYSTEM_EXTRA_CERTIFICATE=n
- SECONDARY_TRUSTED_KEYRING=n
- LEDS:
- LEDS_APU=m
- LEDS_TRIGGER_ACTIVITY=m
- RTC:
- RTC_DRV_PCF85363=m
- Xen:
- XEN_PVCALLS_FRONTEND=n
- Graphics:
- DRM_AMD_DC=y
- DRM_AMD_DC_PRE_VEGA=y
- DRM_AMD_DC_FBC=y ?
- DRM_AMD_DC_DCN1_0=y
- DEBUG_KERNEL_DC=n
- NOUVEAU_DEBUG_MMU=n
- Storage:
- NVME_MULTIPATH=y
- IB:
- MLX4_CORE_GEN2=y
- Sound:
- SND_SOC_INTEL_SST_TOPLEVEL=m
- SND_SOC_INTEL_BAYTRAIL=m
- Testing:
- KCOV_ENABLE_COMPARISONS=y (syzkaller)
- BOOTPARAM_LOCKDEP_CROSSRELEASE_FULLSTACK=n
- PREEMPTIRQ_EVENTS=y
- TEST_FIND_BIT=n
- PKCS7_TEST_KEY=n
- CHASH_SELFTEST=n
- CHASH_STATS=n
- commit bc47c49
-------------------------------------------------------------------
Sun Nov 26 19:17:08 CET 2017 - afaerber@suse.de
- config: armv6hl: Enable 8250 irq sharing for RPi Zero W (boo#1069828)
- commit 01942c4
-------------------------------------------------------------------
Sat Nov 25 19:53:15 CET 2017 - mwilck@suse.com
- scsi: libfc: fix ELS request handling (bsc#1069801).
- commit 75312a6
-------------------------------------------------------------------
Sat Nov 25 18:12:36 CET 2017 - afaerber@suse.de
- config: armv6hl: Enable brcmfmac for RPi Zero W (boo#1069830)
- commit 56423d9
-------------------------------------------------------------------
Sat Nov 25 17:23:57 CET 2017 - afaerber@suse.de
- config: armv6hl: Enable 8250 serial console for RPi Zero W (boo#1069828)
- commit 3a3001a
-------------------------------------------------------------------
Fri Nov 24 19:16:10 CET 2017 - bp@suse.de
- dvb_frontend: don't use-after-free the frontend struct
(bsc#1067087 CVE-2017-16648).
- media: dvb-core: always call invoke_release() in fe_free()
(bsc#1067087).
- commit 2a04ad0
-------------------------------------------------------------------
Fri Nov 24 16:42:44 CET 2017 - tiwai@suse.de
- rpm/kernel-binary.spec.in: Correct supplements for recent SLE products (bsc#1067494)
- commit 8f05b9f
-------------------------------------------------------------------
Fri Nov 24 10:13:02 CET 2017 - jbeulich@suse.com
- supported:conf: Remove stale Xen driver entries.
- commit c46464b
-------------------------------------------------------------------
Fri Nov 24 09:17:59 CET 2017 - jslaby@suse.cz
- ipmi_si: fix memory leak on new_smi (git-fixes).
- commit 4ca3b35
-------------------------------------------------------------------
Fri Nov 24 09:05:44 CET 2017 - jslaby@suse.cz
- Linux 4.14.2 (bnc#1012628).
- af_netlink: ensure that NLMSG_DONE never fails in dumps
(bnc#1012628).
- vxlan: fix the issue that neigh proxy blocks all icmpv6 packets
(bnc#1012628).
- net: cdc_ncm: GetNtbFormat endian fix (bnc#1012628).
- fealnx: Fix building error on MIPS (bnc#1012628).
- net/sctp: Always set scope_id in sctp_inet6_skb_msgname
(bnc#1012628).
- ima: do not update security.ima if appraisal status is not
INTEGRITY_PASS (bnc#1012628).
- serial: omap: Fix EFR write on RTS deassertion (bnc#1012628).
- serial: 8250_fintek: Fix finding base_port with activated
SuperIO (bnc#1012628).
- tpm-dev-common: Reject too short writes (bnc#1012628).
- rcu: Fix up pending cbs check in rcu_prepare_for_idle
(bnc#1012628).
- mm/pagewalk.c: report holes in hugetlb ranges (bnc#1012628).
- ocfs2: fix cluster hang after a node dies (bnc#1012628).
- ocfs2: should wait dio before inode lock in ocfs2_setattr()
(bnc#1012628).
- ipmi: fix unsigned long underflow (bnc#1012628).
- mm/page_alloc.c: broken deferred calculation (bnc#1012628).
- mm/page_ext.c: check if page_ext is not prepared (bnc#1012628).
- coda: fix 'kernel memory exposure attempt' in fsync
(bnc#1012628).
- ipmi: Prefer ACPI system interfaces over SMBIOS ones
(bnc#1012628).
- commit 295c90a
-------------------------------------------------------------------
Thu Nov 23 14:48:07 CET 2017 - jslaby@suse.cz
- apparmor: fix oops in audit_signal_cb hook (bnc#1069562).
- Refresh patches.suse/0001-AppArmor-basic-networking-rules.patch.
- commit d091ad8
-------------------------------------------------------------------
Thu Nov 23 13:38:55 CET 2017 - jslaby@suse.cz
- bio: ensure __bio_clone_fast copies bi_partno (bnc#1069605).
- commit 59c6ade
-------------------------------------------------------------------
Tue Nov 21 19:23:59 CET 2017 - jslaby@suse.cz
- Update config files.
After renaming the UNWINDER config options, vanilla has the old names
and they need to be in configs. For example, x86_64 default config
has CONFIG_UNWINDER_ORC=y, vanilla has CONFIG_ORC_UNWINDER=y.
- commit d0dab46
-------------------------------------------------------------------
Tue Nov 21 16:45:43 CET 2017 - jslaby@suse.cz
- objtool: Print top level commands on incorrect usage
(bnc#1058115).
- commit 6603336
-------------------------------------------------------------------
Tue Nov 21 15:10:06 CET 2017 - jslaby@suse.cz
- x86/unwind: Make CONFIG_UNWINDER_ORC=y the default in kconfig
for 64-bit (bnc#1058115).
- Update config files.
- x86/unwind: Rename unwinder config options to
'CONFIG_UNWINDER_*' (bnc#1058115).
- Refresh patches.suse/0001-orc-mark-it-as-reliable.patch.
- Update config files.
- x86/unwinder: Make CONFIG_UNWINDER_ORC=y the default in the
64-bit defconfig (bnc#1058115).
- commit c81ce89
-------------------------------------------------------------------
Tue Nov 21 15:04:50 CET 2017 - jslaby@suse.cz
- x86/stacktrace: Avoid recording save_stack_trace() wrappers
(bnc#1058115).
- commit fa72e96
-------------------------------------------------------------------
Tue Nov 21 14:52:57 CET 2017 - jslaby@suse.cz
- Refresh
patches.suse/0001-objtool-Don-t-report-end-of-section-error-after-an-e.patch.
- Refresh
patches.suse/0002-x86-head-Remove-confusing-comment.patch.
- Refresh
patches.suse/0003-x86-head-Remove-unused-bad_address-code.patch.
- Refresh
patches.suse/0004-x86-head-Fix-head-ELF-function-annotations.patch.
- Refresh
patches.suse/0005-x86-boot-Annotate-verify_cpu-as-a-callable-function.patch.
- Refresh
patches.suse/0006-x86-xen-Fix-xen-head-ELF-annotations.patch.
- Refresh
patches.suse/0007-x86-xen-Add-unwind-hint-annotations.patch.
- Refresh
patches.suse/0008-x86-head-Add-unwind-hint-annotations.patch.
Update upstream status.
- commit f655f80
-------------------------------------------------------------------
Tue Nov 21 10:31:37 CET 2017 - jslaby@suse.cz
- move all patches to patches.suse/
- commit 6fafae6
-------------------------------------------------------------------
Tue Nov 21 10:30:58 CET 2017 - jslaby@suse.cz
- Linux 4.14.1 (bnc#1012628).
- EDAC, sb_edac: Don't create a second memory controller if HA1
is not present (bnc#1012628).
- dmaengine: dmatest: warn user when dma test times out
(bnc#1012628).
- crypto: dh - Fix double free of ctx->p (bnc#1012628).
- crypto: dh - Don't permit 'p' to be 0 (bnc#1012628).
- crypto: dh - Don't permit 'key' or 'g' size longer than 'p'
(bnc#1012628).
- crypto: brcm - Explicity ACK mailbox message (bnc#1012628).
- USB: early: Use new USB product ID and strings for DbC device
(bnc#1012628).
- USB: usbfs: compute urb->actual_length for isochronous
(bnc#1012628).
- USB: Add delay-init quirk for Corsair K70 LUX keyboards
(bnc#1012628).
- usb: gadget: f_fs: Fix use-after-free in ffs_free_inst
(bnc#1012628).
- USB: serial: metro-usb: stop I/O after failed open
(bnc#1012628).
- USB: serial: Change DbC debug device binding ID (bnc#1012628).
- USB: serial: qcserial: add pid/vid for Sierra Wireless EM7355
fw update (bnc#1012628).
- USB: serial: garmin_gps: fix I/O after failed probe and remove
(bnc#1012628).
- USB: serial: garmin_gps: fix memory leak on probe errors
(bnc#1012628).
- selftests/x86/protection_keys: Fix syscall NR redefinition
warnings (bnc#1012628).
- x86/MCE/AMD: Always give panic severity for UC errors in kernel
context (bnc#1012628).
- platform/x86: peaq-wmi: Add DMI check before binding to the
WMI interface (bnc#1012628 bsc#1059807).
- platform/x86: peaq_wmi: Fix missing terminating entry for
peaq_dmi_table (bnc#1012628).
- HID: cp2112: add HIDRAW dependency (bnc#1012628).
- HID: wacom: generic: Recognize WACOM_HID_WD_PEN as a type of
pen collection (bnc#1012628).
- rpmsg: glink: Add missing MODULE_LICENSE (bnc#1012628).
- staging: wilc1000: Fix bssid buffer offset in Txq (bnc#1012628).
- staging: sm750fb: Fix parameter mistake in poke32 (bnc#1012628).
- staging: ccree: fix 64 bit scatter/gather DMA ops (bnc#1012628).
- staging: greybus: spilib: fix use-after-free after
deregistration (bnc#1012628).
- staging: vboxvideo: Fix reporting invalid
suggested-offset-properties (bnc#1012628).
- staging: rtl8188eu: Revert 4 commits breaking ARP (bnc#1012628).
- spi: fix use-after-free at controller deregistration
(bnc#1012628).
- sparc32: Add cmpxchg64() (bnc#1012628).
- sparc64: mmu_context: Add missing include files (bnc#1012628).
- sparc64: Fix page table walk for PUD hugepages (bnc#1012628).
- commit b1ba0c0
-------------------------------------------------------------------
Tue Nov 21 10:22:41 CET 2017 - jslaby@suse.cz
- drm/bridge: analogix dp: Fix runtime PM state in get_modes()
callback (bnc#1069146).
- commit 8354c2c
-------------------------------------------------------------------
Tue Nov 21 10:01:49 CET 2017 - jslaby@suse.cz
- Linux 4.14.1 (bnc#1012628).
- EDAC, sb_edac: Don't create a second memory controller if HA1
is not present (bnc#1012628).
- dmaengine: dmatest: warn user when dma test times out
(bnc#1012628).
- crypto: dh - Fix double free of ctx->p (bnc#1012628).
- crypto: dh - Don't permit 'p' to be 0 (bnc#1012628).
- crypto: dh - Don't permit 'key' or 'g' size longer than 'p'
(bnc#1012628).
- crypto: brcm - Explicity ACK mailbox message (bnc#1012628).
- USB: early: Use new USB product ID and strings for DbC device
(bnc#1012628).
- USB: usbfs: compute urb->actual_length for isochronous
(bnc#1012628).
- USB: Add delay-init quirk for Corsair K70 LUX keyboards
(bnc#1012628).
- usb: gadget: f_fs: Fix use-after-free in ffs_free_inst
(bnc#1012628).
- USB: serial: metro-usb: stop I/O after failed open
(bnc#1012628).
- USB: serial: Change DbC debug device binding ID (bnc#1012628).
- USB: serial: qcserial: add pid/vid for Sierra Wireless EM7355
fw update (bnc#1012628).
- USB: serial: garmin_gps: fix I/O after failed probe and remove
(bnc#1012628).
- USB: serial: garmin_gps: fix memory leak on probe errors
(bnc#1012628).
- selftests/x86/protection_keys: Fix syscall NR redefinition
warnings (bnc#1012628).
- x86/MCE/AMD: Always give panic severity for UC errors in kernel
context (bnc#1012628).
- platform/x86: peaq_wmi: Fix missing terminating entry for
peaq_dmi_table (bnc#1012628).
- HID: cp2112: add HIDRAW dependency (bnc#1012628).
- HID: wacom: generic: Recognize WACOM_HID_WD_PEN as a type of
pen collection (bnc#1012628).
- rpmsg: glink: Add missing MODULE_LICENSE (bnc#1012628).
- staging: wilc1000: Fix bssid buffer offset in Txq (bnc#1012628).
- staging: sm750fb: Fix parameter mistake in poke32 (bnc#1012628).
- staging: ccree: fix 64 bit scatter/gather DMA ops (bnc#1012628).
- staging: greybus: spilib: fix use-after-free after
deregistration (bnc#1012628).
- staging: vboxvideo: Fix reporting invalid
suggested-offset-properties (bnc#1012628).
- staging: rtl8188eu: Revert 4 commits breaking ARP (bnc#1012628).
- spi: fix use-after-free at controller deregistration
(bnc#1012628).
- sparc32: Add cmpxchg64() (bnc#1012628).
- sparc64: mmu_context: Add missing include files (bnc#1012628).
- sparc64: Fix page table walk for PUD hugepages (bnc#1012628).
- commit 5bbb894
-------------------------------------------------------------------
Mon Nov 20 16:48:48 CET 2017 - jslaby@suse.cz
- iwlwifi: mvm: support version 7 of the SCAN_REQ_UMAC FW command
(bnc#1068838).
- commit 1d02750
-------------------------------------------------------------------
Mon Nov 20 14:22:25 CET 2017 - jslaby@suse.cz
- Revert "mmc: Delete bounce buffer handling" (bnc#1068877).
- commit ad8c19c
-------------------------------------------------------------------
Wed Nov 15 15:53:41 CET 2017 - rgoldwyn@suse.com
- apparmor: Fix quieting of audit messages for network mediation
(FATE#300516, boo#1065536).
- apparmor: update apparmor-basic-networking-rules for 4.11-rc1
(FATE#300516, boo#1065536).
- AppArmor: basic networking rules (FATE#300516, boo#1065536).
- commit fca1de8
-------------------------------------------------------------------
Wed Nov 15 15:46:47 CET 2017 - rgoldwyn@suse.com
- VFS: Handle lazytime in do_mount() (boo#1068256).
- commit 0f12060
-------------------------------------------------------------------
Mon Nov 13 15:53:06 CET 2017 - jeffm@suse.com
- Update to 4.14-final.
- commit c152297
-------------------------------------------------------------------
Thu Nov 9 15:52:36 CET 2017 - lpechacek@suse.com
- rpm/kernel-binary.spec.in: rename kGraft to KLP (fate#323682)
- commit 0ed191d
-------------------------------------------------------------------
Wed Nov 8 12:21:09 CET 2017 - tiwai@suse.de
- media: dib0700: fix invalid dvb_detach argument (CVE-2017-16646
bsc#1067105).
- commit c6cd519
-------------------------------------------------------------------
Wed Nov 8 12:15:20 CET 2017 - tiwai@suse.de
- Input: ims-psu - check if CDC union descriptor is sane
(CVE-2017-16645 bsc#1067132).
- commit 0cfe4b6
-------------------------------------------------------------------
Wed Nov 8 12:11:42 CET 2017 - tiwai@suse.de
- net: usb: asix: fill null-ptr-deref in asix_suspend
(CVE-2017-16647 bsc#1067102).
- commit a088160
-------------------------------------------------------------------
Wed Nov 8 10:36:03 CET 2017 - jslaby@suse.cz
- Linux 4.13.12 (bnc#1012628).
- irqchip/irq-mvebu-gicp: Add missing spin_lock init
(bnc#1012628).
- x86/mcelog: Get rid of RCU remnants (bnc#1012628).
- perf/cgroup: Fix perf cgroup hierarchy support (bnc#1012628).
- futex: Fix more put_pi_state() vs. exit_pi_state_list() races
(bnc#1012628).
- powerpc/kprobes: Dereference function pointers only if the
address does not belong to kernel text (bnc#1012628).
- x86: CPU: Fix up "cpu MHz" in /proc/cpuinfo (bnc#1012628).
- Revert "x86: do not use cpufreq_quick_get() for /proc/cpuinfo
"cpu MHz"" (bnc#1012628).
- MIPS: SMP: Fix deadlock & online race (bnc#1012628).
- MIPS: microMIPS: Fix incorrect mask in insn_table_MM
(bnc#1012628).
- MIPS: smp-cmp: Use right include for task_struct (bnc#1012628).
- MIPS: bpf: Fix a typo in build_one_insn() (bnc#1012628).
- Revert "powerpc64/elfv1: Only dereference function descriptor
for non-text symbols" (bnc#1012628).
- drm/i915/edp: read edp display control registers unconditionally
(bnc#1012628).
- drm/i915: Do not rely on wm preservation for ILK watermarks
(bnc#1012628).
- mm, swap: fix race between swap count continuation operations
(bnc#1012628).
- fs/hugetlbfs/inode.c: fix hwpoison reserve accounting
(bnc#1012628).
- ocfs2: fstrim: Fix start offset of first cluster group during
fstrim (bnc#1012628).
- userfaultfd: hugetlbfs: prevent UFFDIO_COPY to fill beyond
the end of i_size (bnc#1012628).
- drm/amdgpu: allow harvesting check for Polaris VCE
(bnc#1012628).
- drm/amdgpu: return -ENOENT from uvd 6.0 early init for
harvesting (bnc#1012628).
- ARM: 8715/1: add a private asm/unaligned.h (bnc#1012628).
- ARM: dts: mvebu: pl310-cache disable double-linefill
(bnc#1012628).
- arm/arm64: kvm: Disable branch profiling in HYP code
(bnc#1012628).
- arm/arm64: KVM: set right LR register value for 32 bit guest
when inject abort (bnc#1012628).
- KVM: arm64: its: Fix missing dynamic allocation check in
scan_its_table (bnc#1012628).
- arm64: ensure __dump_instr() checks addr_limit (bnc#1012628).
- virtio_blk: Fix an SG_IO regression (bnc#1012628).
- ASoC: adau17x1: Workaround for noise bug in ADC (bnc#1012628).
- KEYS: fix out-of-bounds read during ASN.1 parsing (bnc#1012628).
- KEYS: trusted: fix writing past end of buffer in trusted_read()
(bnc#1012628).
- KEYS: return full count in keyring_read() if buffer is too small
(bnc#1012628).
- cifs: check MaxPathNameComponentLength != 0 before using it
(bnc#1012628).
- ALSA: seq: Fix nested rwsem annotation for lockdep splat
(bnc#1012628).
- ALSA: timer: Add missing mutex lock for compat ioctls
(bnc#1012628).
- commit 19cf938
-------------------------------------------------------------------
Mon Nov 6 16:06:51 CET 2017 - jeffm@suse.com
- Update to 4.14-rc8.
- commit 0fbdeee
-------------------------------------------------------------------
Mon Nov 6 14:43:05 CET 2017 - tiwai@suse.de
- media: imon: Fix null-ptr-deref in imon_probe (CVE-2017-16537
bsc#1066573).
- [media] cx231xx-cards: fix NULL-deref on missing association
descriptor (CVE-2017-16536 bsc#1066606).
- media: imon: Fix null-ptr-deref in imon_probe (CVE-2017-16537
bsc#1066573).
- [media] cx231xx-cards: fix NULL-deref on missing association
descriptor (CVE-2017-16536 bsc#1066606).
- commit 0cd38c2
-------------------------------------------------------------------
Mon Nov 6 11:59:19 CET 2017 - jkosina@suse.cz
- rpm/kernel-binary.spec.in: add explicit dependency of kernel-*-devel on
libelf-devel.
Otherwise warning that got turned into error by upstream 3dd40cb3 ("objtool:
Upgrade libelf-devel warning to error...") would trigger and cause any
packages being built against kernel-*-devel (such as KMPs, crash) not to have
the libelf dependency included, and fail to build.
- rpm/kernel-binary.spec.in: add explicit dependency of kernel-*-devel on
libelf-devel. Otherwise warning that got turned into error by e683952999
("objtool: Upgrade libelf-devel warning to error...") would trigger and
cause any packages being built against kernel-*-devel (such as KMPs,
crash) not to have the libelf dependency included, and fail to build.
- commit f6c0f80
-------------------------------------------------------------------
Fri Nov 3 23:20:30 CET 2017 - mcgrof@suse.com
- mac80211: don't compare TKIP TX MIC key in reinstall prevention
(bsc#1066472).
- commit a2a7106
-------------------------------------------------------------------
Fri Nov 3 23:20:05 CET 2017 - mcgrof@suse.com
- mac80211: use constant time comparison with keys (bsc#1066471).
- commit e2a3d57
-------------------------------------------------------------------
Thu Nov 2 20:06:49 CET 2017 - jslaby@suse.cz
- Linux 4.13.11 (bnc#1012628).
- powerpc/xive: Fix the size of the cpumask used in
xive_find_target_in_mask() (bnc#1012628).
- regulator: fan53555: fix I2C device ids (bnc#1012628).
- ipsec: Fix aborted xfrm policy dump crash (bnc#1012628).
- cfg80211: fix connect/disconnect edge cases (bnc#1012628).
- can: kvaser_usb: Ignore CMD_FLUSH_QUEUE_REPLY messages
(bnc#1012628).
- can: kvaser_usb: Correct return value in printout (bnc#1012628).
- can: sun4i: fix loopback mode (bnc#1012628).
- drm/i915/perf: fix perf enable/disable ioctls with 32bits
userspace (bnc#1012628).
- drm/amd/powerplay: fix uninitialized variable (bnc#1012628).
- x86/cpu/AMD: Apply the Erratum 688 fix when the BIOS doesn't
(bnc#1012628).
- scsi: sg: Re-fix off by one in sg_fill_request_table()
(bnc#1012628).
- scsi: qla2xxx: Initialize Work element before requesting IRQs
(bnc#1012628).
- scsi: aacraid: Fix controller initialization failure
(bnc#1012628).
- scsi: zfcp: fix erp_action use-before-initialize in REC action
trace (bnc#1012628).
- assoc_array: Fix a buggy node-splitting case (bnc#1012628).
- SMB3: Validate negotiate request must always be signed
(bnc#1012628).
- Fix encryption labels and lengths for SMB3.1.1 (bnc#1012628).
- Input: gtco - fix potential out-of-bound access (bnc#1012628).
- Input: elan_i2c - add ELAN0611 to the ACPI table (bnc#1012628).
- CIFS: Fix NULL pointer deref on SMB2_tcon() failure
(bnc#1012628).
- cifs: Select all required crypto modules (bnc#1012628).
- xen: fix booting ballooned down hvm guest (bnc#1012628).
- xen/gntdev: avoid out of bounds access in case of partial
gntdev_mmap() (bnc#1012628).
- fuse: fix READDIRPLUS skipping an entry (bnc#1012628).
- ovl: do not cleanup unsupported index entries (bnc#1012628).
- ovl: handle ENOENT on index lookup (bnc#1012628).
- ovl: fix EIO from lookup of non-indexed upper (bnc#1012628).
- ovl: add NULL check in ovl_alloc_inode (bnc#1012628).
- spi: armada-3700: Fix failing commands with quad-SPI
(bnc#1012628).
- spi: bcm-qspi: Fix use after free in bcm_qspi_probe() in error
path (bnc#1012628).
- spi: a3700: Return correct value on timeout detection
(bnc#1012628).
- spi: uapi: spidev: add missing ioctl header (bnc#1012628).
- nbd: handle interrupted sendmsg with a sndtimeo set
(bnc#1012628).
- s390/kvm: fix detection of guest machine checks (bnc#1012628).
- KVM: PPC: Book3S: Protect kvmppc_gpa_to_ua() with SRCU
(bnc#1012628).
- KVM: PPC: Book3S HV: POWER9 more doorbell fixes (bnc#1012628).
- KVM: PPC: Fix oops when checking KVM_CAP_PPC_HTM (bnc#1012628).
- Fix tracing sample code warning (bnc#1012628).
- ceph: unlock dangling spinlock in try_flush_caps()
(bnc#1012628).
- ALSA: hda - fix headset mic problem for Dell machines with
alc236 (bnc#1012628).
- ALSA: hda/realtek - Add support for ALC236/ALC3204
(bnc#1012628).
- nvme-fc: fix iowait hang (bnc#1012628).
- workqueue: replace pool->manager_arb mutex with a flag
(bnc#1012628).
- commit 5a48675
-------------------------------------------------------------------
Mon Oct 30 21:43:06 CET 2017 - neilb@suse.com
- REVERT: md/bitmap: copy correct data for bitmap super
(bsc#1062597).
- commit 9382440
-------------------------------------------------------------------
Mon Oct 30 13:34:26 CET 2017 - jslaby@suse.cz
- x86/unwind: Show function name+offset in ORC error messages
(bnc#1058115).
- x86/entry: Fix idtentry unwind hint (bnc#1058115).
- objtool: Fix memory leak in decode_instructions() (bnc#1058115).
- x86/unwind: Disable unwinder warnings on 32-bit (bnc#1058115).
- x86/unwind: Align stack pointer in unwinder dump (bnc#1058115).
- x86/unwind: Use MSB for frame pointer encoding on 32-bit
(bnc#1058115).
- x86/unwind: Fix dereference of untrusted pointer (bnc#1058115).
- x86/kvm: Move kvm_fastop_exception to .fixup section
(bnc#1058115).
- objtool: Upgrade libelf-devel warning to error for
CONFIG_ORC_UNWINDER (bnc#1058115).
- kprobes/x86: Set up frame pointer in kprobe trampoline
(bnc#1058115).
- objtool: Support unoptimized frame pointer setup (bnc#1058115).
- objtool: Skip unreachable warnings for GCC 4.4 and older
(bnc#1058115).
- commit dd8c5e5
-------------------------------------------------------------------
Mon Oct 30 04:20:05 CET 2017 - jeffm@suse.com
- Update to 4.14-rc7.
- commit dbf3e9b
-------------------------------------------------------------------
Sun Oct 29 08:26:08 CET 2017 - jslaby@suse.cz
- x86/unwind: Show function name+offset in ORC error messages
(bnc#1058115).
- x86/entry: Fix idtentry unwind hint (bnc#1058115).
- commit 951f578
-------------------------------------------------------------------
Fri Oct 27 11:03:09 CEST 2017 - jslaby@suse.cz
- Linux 4.13.10 final
- commit db36cf8
-------------------------------------------------------------------
Fri Oct 27 09:37:37 CEST 2017 - jslaby@suse.cz
- scripts/stableids: revert unwanted change
- commit d6206ec
-------------------------------------------------------------------
Fri Oct 27 09:26:52 CEST 2017 - jslaby@suse.cz
- futex: futex_wake_op, fix sign_extend32 sign bits (bnc#1064590).
- commit a6d946f
-------------------------------------------------------------------
Thu Oct 26 03:52:04 CEST 2017 - neilb@suse.com
- VFS: expedite unmount (bsc#1024412).
- commit 10c4365
-------------------------------------------------------------------
Wed Oct 25 14:47:02 CEST 2017 - jslaby@suse.cz
- Linux 4.13.10 (bnc#1012628).
- staging: bcm2835-audio: Fix memory corruption (bnc#1012628).
- USB: devio: Revert "USB: devio: Don't corrupt user memory"
(bnc#1012628).
- USB: core: fix out-of-bounds access bug in
usb_get_bos_descriptor() (bnc#1012628).
- USB: serial: metro-usb: add MS7820 device id (bnc#1012628).
- usb: cdc_acm: Add quirk for Elatec TWN3 (bnc#1012628).
- usb: quirks: add quirk for WORLDE MINI MIDI keyboard
(bnc#1012628).
- usb: hub: Allow reset retry for USB2 devices on connect bounce
(bnc#1012628).
- ALSA: usb-audio: Add native DSD support for Pro-Ject Pre Box
S2 Digital (bnc#1012628).
- can: gs_usb: fix busy loop if no more TX context is available
(bnc#1012628).
- scsi: qla2xxx: Fix uninitialized work element (bnc#1012628).
- nbd: don't set the device size until we're connected
(bnc#1012628).
- s390/cputime: fix guest/irq/softirq times after CPU hotplug
(bnc#1012628).
- parisc: Fix double-word compare and exchange in LWS code on
32-bit kernels (bnc#1012628).
- parisc: Fix detection of nonsynchronous cr16 cycle counters
(bnc#1012628).
- iio: dummy: events: Add missing break (bnc#1012628).
- usb: musb: sunxi: Explicitly release USB PHY on exit
(bnc#1012628).
- USB: musb: fix session-bit runtime-PM quirk (bnc#1012628).
- USB: musb: fix late external abort on suspend (bnc#1012628).
- usb: musb: musb_cppi41: Fix the address of teardown and autoreq
registers (bnc#1012628).
- usb: musb: musb_cppi41: Fix cppi41_set_dma_mode() for DA8xx
(bnc#1012628).
- usb: musb: musb_cppi41: Configure the number of channels for
DA8xx (bnc#1012628).
- usb: musb: Check for host-mode using is_host_active() on reset
interrupt (bnc#1012628).
- xhci: Identify USB 3.1 capable hosts by their port protocol
capability (bnc#1012628).
- xhci: Cleanup current_cmd in xhci_cleanup_command_queue()
(bnc#1012628).
- usb: xhci: Reset halted endpoint if trb is noop (bnc#1012628).
- usb: xhci: Handle error condition in xhci_stop_device()
(bnc#1012628).
- can: esd_usb2: Fix can_dlc value for received RTR, frames
(bnc#1012628).
- can: af_can: can_pernet_init(): add missing error handling
for kzalloc returning NULL (bnc#1012628).
- can: flexcan: fix state transition regression (bnc#1012628).
- can: flexcan: rename legacy error state quirk (bnc#1012628).
- can: flexcan: implement error passive state quirk (bnc#1012628).
- can: flexcan: fix i.MX6 state transition issue (bnc#1012628).
- can: flexcan: fix i.MX28 state transition issue (bnc#1012628).
- can: flexcan: fix p1010 state transition issue (bnc#1012628).
- KEYS: encrypted: fix dereference of NULL user_key_payload
(bnc#1012628).
- mmc: sdhci-pci: Fix default d3_retune for Intel host controllers
(bnc#1012628).
- drm/i915: Use bdw_ddi_translations_fdi for Broadwell
(bnc#1012628).
- drm/nouveau/kms/nv50: fix oops during DP IRQ handling on
non-MST boards (bnc#1012628).
- drm/nouveau/bsp/g92: disable by default (bnc#1012628).
- drm/nouveau/mmu: flush tlbs before deleting page tables
(bnc#1012628).
- media: s5p-cec: add NACK detection support (bnc#1012628).
- media: cec: Respond to unregistered initiators, when applicable
(bnc#1012628).
- media: dvb: i2c transfers over usb cannot be done from stack
(bnc#1012628).
- tracing/samples: Fix creation and deletion of simple_thread_fn
creation (bnc#1012628).
- ALSA: seq: Enable 'use' locking in all configurations
(bnc#1012628).
- ALSA: hda: Remove superfluous '-' added by printk conversion
(bnc#1012628).
- i2c: ismt: Separate I2C block read from SMBus block read
(bnc#1012628).
- i2c: piix4: Fix SMBus port selection for AMD Family 17h chips
(bnc#1012628).
- Revert "tools/power turbostat: stop migrating, unless '-m'"
(bnc#1012628).
- Input: stmfts - fix setting ABS_MT_POSITION_* maximum size
(bnc#1012628).
- brcmfmac: Add check for short event packets (bnc#1012628).
- brcmsmac: make some local variables 'static const' to reduce
stack size (bnc#1012628).
- ARM: dts: sun6i: Fix endpoint IDs in second display pipeline
(bnc#1012628).
- bus: mbus: fix window size calculation for 4GB windows
(bnc#1012628).
- clockevents/drivers/cs5535: Improve resilience to spurious
interrupts (bnc#1012628).
- rtlwifi: rtl8821ae: Fix connection lost problem (bnc#1012628).
- x86/microcode/intel: Disable late loading on model 79
(bnc#1012628).
- lib/digsig: fix dereference of NULL user_key_payload
(bnc#1012628).
- fscrypt: fix dereference of NULL user_key_payload (bnc#1012628).
- ecryptfs: fix dereference of NULL user_key_payload
(bnc#1012628).
- KEYS: Fix race between updating and finding a negative key
(bnc#1012628).
- FS-Cache: fix dereference of NULL user_key_payload
(bnc#1012628).
- KEYS: don't let add_key() update an uninstantiated key
(bnc#1012628).
- pkcs7: Prevent NULL pointer dereference, since sinfo is not
always set (bnc#1012628).
- arm64: dts: rockchip: correct vqmmc voltage for rk3399 platforms
(bnc#1012628).
- ALSA: hda - Fix incorrect TLV callback check introduced during
set_fs() removal (bnc#1012628).
- iomap_dio_rw: Allocate AIO completion queue before submitting
dio (bnc#1012628).
- xfs: don't unconditionally clear the reflink flag on zero-block
files (bnc#1012628).
- xfs: evict CoW fork extents when performing finsert/fcollapse
(bnc#1012628).
- fs/xfs: Use %pS printk format for direct addresses
(bnc#1012628).
- xfs: report zeroed or not correctly in xfs_zero_range()
(bnc#1012628).
- xfs: update i_size after unwritten conversion in dio completion
(bnc#1012628).
- xfs: perag initialization should only touch m_ag_max_usable
for AG 0 (bnc#1012628).
- xfs: Capture state of the right inode in xfs_iflush_done
(bnc#1012628).
- xfs: always swap the cow forks when swapping extents
(bnc#1012628).
- xfs: handle racy AIO in xfs_reflink_end_cow (bnc#1012628).
- xfs: Don't log uninitialised fields in inode structures
(bnc#1012628).
- xfs: move more RT specific code under CONFIG_XFS_RT
(bnc#1012628).
- xfs: don't change inode mode if ACL update fails (bnc#1012628).
- xfs: reinit btree pointer on attr tree inactivation walk
(bnc#1012628).
- xfs: handle error if xfs_btree_get_bufs fails (bnc#1012628
bsc#1059863).
- xfs: cancel dirty pages on invalidation (bnc#1012628).
- xfs: trim writepage mapping to within eof (bnc#1012628).
- xfs: move two more RT specific functions into CONFIG_XFS_RT
(bnc#1012628).
- scripts/stableids:
- commit e760ea6
-------------------------------------------------------------------
Mon Oct 23 14:34:12 CEST 2017 - jeffm@suse.com
- Update to 4.14-rc6.
- Eliminated 2 patches.
- commit 8b364ca
-------------------------------------------------------------------
Mon Oct 23 11:38:06 CEST 2017 - jslaby@suse.cz
- futex: do not fail on invalid op (bnc#1064590).
- commit e7d7106
-------------------------------------------------------------------
Sun Oct 22 09:24:14 CEST 2017 - jslaby@suse.cz
- Linux 4.13.9 (bnc#1012628).
- vmbus: more host signalling avoidance (bnc#1012628).
- vmbus: eliminate duplicate cached index (bnc#1012628).
- vmbus: refactor hv_signal_on_read (bnc#1012628).
- vmbus: simplify hv_ringbuffer_read (bnc#1012628).
- Drivers: hv: vmbus: Fix bugs in rescind handling (bnc#1012628).
- Drivers: hv: vmbus: Fix rescind handling issues (bnc#1012628).
- HID: hid-elecom: extend to fix descriptor for HUGE trackball
(bnc#1012628).
- mm: page_vma_mapped: ensure pmd is loaded with READ_ONCE
outside of lock (bnc#1012628).
- perf pmu: Unbreak perf record for arm/arm64 with events with
explicit PMU (bnc#1012628).
- x86/apic: Silence "FW_BUG TSC_DEADLINE disabled due to Errata"
on hypervisors (bnc#1012628).
- x86/apic: Silence "FW_BUG TSC_DEADLINE disabled due to Errata"
on CPUs without the feature (bnc#1012628).
- commit abdc07c
-------------------------------------------------------------------
Wed Oct 18 19:36:39 CEST 2017 - mcgrof@suse.com
- mac80211: accept key reinstall without changing anything (CVE-2017-13080 bsc#1063667).
- commit 19d19fc
-------------------------------------------------------------------
Wed Oct 18 12:43:48 CEST 2017 - tiwai@suse.de
- ALSA: hda: Abort capability probe at invalid register read
(bsc#1064017).
- commit d1f5e26
-------------------------------------------------------------------
Wed Oct 18 11:53:30 CEST 2017 - jslaby@suse.cz
- Linux 4.13.8 (bnc#1012628).
- USB: dummy-hcd: Fix deadlock caused by disconnect detection
(bnc#1012628).
- MIPS: math-emu: Remove pr_err() calls from fpu_emu()
(bnc#1012628).
- MIPS: bpf: Fix uninitialised target compiler error
(bnc#1012628).
- mei: always use domain runtime pm callbacks (bnc#1012628).
- dmaengine: edma: Align the memcpy acnt array size with the
transfer (bnc#1012628).
- dmaengine: ti-dma-crossbar: Fix possible race condition with
dma_inuse (bnc#1012628).
- NFS: Fix uninitialized rpc_wait_queue (bnc#1012628).
- nfs/filelayout: fix oops when freeing filelayout segment
(bnc#1012628).
- HID: usbhid: fix out-of-bounds bug (bnc#1012628).
- crypto: skcipher - Fix crash on zero-length input (bnc#1012628).
- crypto: shash - Fix zero-length shash ahash digest crash
(bnc#1012628).
- KVM: MMU: always terminate page walks at level 1 (bnc#1012628).
- KVM: nVMX: fix guest CR4 loading when emulating L2 to L1 exit
(bnc#1012628).
- usb: renesas_usbhs: Fix DMAC sequence for receiving zero-length
packet (bnc#1012628).
- pinctrl/amd: Fix build dependency on pinmux code (bnc#1012628).
- iommu/amd: Finish TLB flush in amd_iommu_unmap() (bnc#1012628).
- device property: Track owner device of device property
(bnc#1012628).
- Revert "vmalloc: back off when the current task is killed"
(bnc#1012628).
- fs/mpage.c: fix mpage_writepage() for pages with buffers
(bnc#1012628).
- ALSA: usb-audio: Kill stray URB at exiting (bnc#1012628).
- ALSA: seq: Fix copy_from_user() call inside lock (bnc#1012628).
- ALSA: caiaq: Fix stray URB at probe error path (bnc#1012628).
- ALSA: line6: Fix NULL dereference at podhd_disconnect()
(bnc#1012628).
- ALSA: line6: Fix missing initialization before error path
(bnc#1012628).
- ALSA: line6: Fix leftover URB at error-path during probe
(bnc#1012628).
- drm/atomic: Unref duplicated drm_atomic_state in
drm_atomic_helper_resume() (bnc#1012628).
- drm/i915/edp: Get the Panel Power Off timestamp after panel
is off (bnc#1012628).
- drm/i915: Read timings from the correct transcoder in
intel_crtc_mode_get() (bnc#1012628).
- drm/i915/bios: parse DDI ports also for CHV for HDMI DDC pin
and DP AUX channel (bnc#1012628).
- drm/i915: Use crtc_state_is_legacy_gamma in intel_color_check
(bnc#1012628).
- usb: gadget: configfs: Fix memory leak of interface directory
data (bnc#1012628).
- usb: gadget: composite: Fix use-after-free in
usb_composite_overwrite_options (bnc#1012628).
- PCI: aardvark: Move to struct pci_host_bridge IRQ mapping
functions (bnc#1012628).
- Revert "PCI: tegra: Do not allocate MSI target memory"
(bnc#1012628).
- direct-io: Prevent NULL pointer access in submit_page_section
(bnc#1012628).
- fix unbalanced page refcounting in bio_map_user_iov
(bnc#1012628).
- more bio_map_user_iov() leak fixes (bnc#1012628).
- bio_copy_user_iov(): don't ignore ->iov_offset (bnc#1012628).
- perf script: Add missing separator for "-F ip,brstack" (and
brstackoff) (bnc#1012628).
- genirq/cpuhotplug: Enforce affinity setting on startup of
managed irqs (bnc#1012628).
- genirq/cpuhotplug: Add sanity check for effective affinity mask
(bnc#1012628).
- USB: serial: ftdi_sio: add id for Cypress WICED dev board
(bnc#1012628).
- USB: serial: cp210x: fix partnum regression (bnc#1012628).
- USB: serial: cp210x: add support for ELV TFD500 (bnc#1012628).
- USB: serial: option: add support for TP-Link LTE module
(bnc#1012628).
- USB: serial: qcserial: add Dell DW5818, DW5819 (bnc#1012628).
- USB: serial: console: fix use-after-free on disconnect
(bnc#1012628).
- USB: serial: console: fix use-after-free after failed setup
(bnc#1012628).
- RAS/CEC: Use the right length for "cec_disable" (bnc#1012628).
- x86/microcode: Do the family check first (bnc#1012628).
- x86/alternatives: Fix alt_max_short macro to really be a max()
(bnc#1012628).
- KVM: nVMX: update last_nonleaf_level when initializing nested
EPT (bnc#1012628).
- commit 569e26e
-------------------------------------------------------------------
Wed Oct 18 02:36:43 CEST 2017 - mcgrof@suse.com
- xfs: handle error if xfs_btree_get_bufs fails (bsc#1059863).
- commit a1a185d
-------------------------------------------------------------------
Mon Oct 16 18:06:20 CEST 2017 - jeffm@suse.com
- Update to 4.14-rc5.
- commit 39eecab
-------------------------------------------------------------------
Mon Oct 16 15:08:41 CEST 2017 - jslaby@suse.cz
- futex: Remove duplicated code and fix undefined behaviour
(bnc#1005915).
- commit b17692d
-------------------------------------------------------------------
Sun Oct 15 14:07:51 CEST 2017 - jslaby@suse.cz
- Linux 4.13.7 (bnc#1012628).
- commit 031d6da
-------------------------------------------------------------------
Fri Oct 13 17:40:41 CEST 2017 - msuchanek@suse.de
- Revert "rpm/constraints.in: Lower default disk space requirement from 25G to 24G"
This reverts commit 406abda1467c038842febffe264faae1fa2e3c1d.
ok, did not wait long enough to see the failure.
- commit ed99981
-------------------------------------------------------------------
Fri Oct 13 17:28:55 CEST 2017 - msuchanek@suse.de
- rpm/constraints.in: Lower default disk space requirement from 25G to 24G
25G is rejected by the build service on ARM.
- commit 406abda
-------------------------------------------------------------------
Fri Oct 13 11:38:39 CEST 2017 - jslaby@suse.cz
- waitid(): Add missing access_ok() checks (bnc#1062473
CVE-2017-5123).
- commit a8d2202
-------------------------------------------------------------------
Thu Oct 12 20:24:34 CEST 2017 - jslaby@suse.cz
- Linux 4.13.6 (bnc#1012628).
- imx-media-of: avoid uninitialized variable warning
(bnc#1012628).
- usb: dwc3: ep0: fix DMA starvation by assigning req->trb on ep0
(bnc#1012628).
- mlxsw: spectrum: Fix EEPROM access in case of SFP/SFP+
(bnc#1012628).
- net: bonding: Fix transmit load balancing in balance-alb mode
if specified by sysfs (bnc#1012628).
- openvswitch: Fix an error handling path in
'ovs_nla_init_match_and_action()' (bnc#1012628).
- mlxsw: spectrum: Prevent mirred-related crash on removal
(bnc#1012628).
- net: bonding: fix tlb_dynamic_lb default value (bnc#1012628).
- net_sched: gen_estimator: fix scaling error in bytes/packets
samples (bnc#1012628).
- net: sched: fix use-after-free in tcf_action_destroy and
tcf_del_walker (bnc#1012628).
- sctp: potential read out of bounds in
sctp_ulpevent_type_enabled() (bnc#1012628).
- tcp: update skb->skb_mstamp more carefully (bnc#1012628).
- bpf/verifier: reject BPF_ALU64|BPF_END (bnc#1012628).
- tcp: fix data delivery rate (bnc#1012628).
- udpv6: Fix the checksum computation when HW checksum does not
apply (bnc#1012628).
- ip6_gre: skb_push ipv6hdr before packing the header in
ip6gre_header (bnc#1012628).
- net: phy: Fix mask value write on gmii2rgmii converter speed
register (bnc#1012628).
- ip6_tunnel: do not allow loading ip6_tunnel if ipv6 is disabled
in cmdline (bnc#1012628).
- net/sched: cls_matchall: fix crash when used with classful qdisc
(bnc#1012628).
- bpf: do not disable/enable BH in bpf_map_free_id()
(bnc#1012628).
- tcp: fastopen: fix on syn-data transmit failure (bnc#1012628).
- net: emac: Fix napi poll list corruption (bnc#1012628).
- net: ipv6: fix regression of no RTM_DELADDR sent after DAD
failure (bnc#1012628).
- packet: hold bind lock when rebinding to fanout hook
(bnc#1012628).
- bpf: one perf event close won't free bpf program attached by
another perf event (bnc#1012628).
- net: change skb->mac_header when Generic XDP calls adjust_head
(bnc#1012628).
- isdn/i4l: fetch the ppp_write buffer in one shot (bnc#1012628).
- net_sched: always reset qdisc backlog in qdisc_reset()
(bnc#1012628).
- net: stmmac: Cocci spatch "of_table" (bnc#1012628).
- net: qcom/emac: specify the correct size when mapping a DMA
buffer (bnc#1012628).
- vti: fix use after free in vti_tunnel_xmit/vti6_tnl_xmit
(bnc#1012628).
- l2tp: fix race condition in l2tp_tunnel_delete (bnc#1012628).
- tun: bail out from tun_get_user() if the skb is empty
(bnc#1012628).
- net: dsa: mv88e6xxx: Allow dsa and cpu ports in multiple vlans
(bnc#1012628).
- net: dsa: Fix network device registration order (bnc#1012628).
- packet: in packet_do_bind, test fanout with bind_lock held
(bnc#1012628).
- packet: only test po->has_vnet_hdr once in packet_snd
(bnc#1012628).
- net: dsa: mv88e6xxx: lock mutex when freeing IRQs (bnc#1012628).
- net: Set sk_prot_creator when cloning sockets to the right proto
(bnc#1012628).
- net/mlx5e: IPoIB, Fix access to invalid memory address
(bnc#1012628).
- netlink: do not proceed if dump's start() errs (bnc#1012628).
- ip6_gre: ip6gre_tap device should keep dst (bnc#1012628).
- ip6_tunnel: update mtu properly for ARPHRD_ETHER tunnel device
in tx path (bnc#1012628).
- IPv4: early demux can return an error code (bnc#1012628).
- tipc: use only positive error codes in messages (bnc#1012628).
- l2tp: fix l2tp_eth module loading (bnc#1012628).
- socket, bpf: fix possible use after free (bnc#1012628).
- net: rtnetlink: fix info leak in RTM_GETSTATS call
(bnc#1012628).
- bpf: fix bpf_tail_call() x64 JIT (bnc#1012628).
- usb: gadget: core: fix ->udc_set_speed() logic (bnc#1012628).
- USB: gadgetfs: Fix crash caused by inadequate synchronization
(bnc#1012628).
- USB: gadgetfs: fix copy_to_user while holding spinlock
(bnc#1012628).
- usb: gadget: udc: atmel: set vbus irqflags explicitly
(bnc#1012628).
- usb: gadget: udc: renesas_usb3: fix for no-data control transfer
(bnc#1012628).
- usb: gadget: udc: renesas_usb3: fix Pn_RAMMAP.Pn_MPKT value
(bnc#1012628).
- usb: gadget: udc: renesas_usb3: Fix return value of
usb3_write_pipe() (bnc#1012628).
- usb-storage: unusual_devs entry to fix write-access regression
for Seagate external drives (bnc#1012628).
- usb-storage: fix bogus hardware error messages for ATA pass-thru
devices (bnc#1012628).
- usb: renesas_usbhs: fix the BCLR setting condition for non-DCP
pipe (bnc#1012628).
- usb: renesas_usbhs: fix usbhsf_fifo_clear() for RX direction
(bnc#1012628).
- ALSA: usb-audio: Check out-of-bounds access by corrupted buffer
descriptor (bnc#1012628).
- usb: pci-quirks.c: Corrected timeout values used in handshake
(bnc#1012628).
- USB: cdc-wdm: ignore -EPIPE from GetEncapsulatedResponse
(bnc#1012628).
- USB: dummy-hcd: fix connection failures (wrong speed)
(bnc#1012628).
- USB: dummy-hcd: fix infinite-loop resubmission bug
(bnc#1012628).
- USB: dummy-hcd: Fix erroneous synchronization change
(bnc#1012628).
- USB: devio: Prevent integer overflow in proc_do_submiturb()
(bnc#1012628).
- USB: devio: Don't corrupt user memory (bnc#1012628).
- USB: g_mass_storage: Fix deadlock when driver is unbound
(bnc#1012628).
- USB: uas: fix bug in handling of alternate settings
(bnc#1012628).
- USB: core: harden cdc_parse_cdc_header (bnc#1012628).
- usb: Increase quirk delay for USB devices (bnc#1012628).
- USB: fix out-of-bounds in usb_set_configuration (bnc#1012628).
- usb: xhci: Free the right ring in xhci_add_endpoint()
(bnc#1012628).
- xhci: fix finding correct bus_state structure for USB 3.1 hosts
(bnc#1012628).
- xhci: fix wrong endpoint ESIT value shown in tracing
(bnc#1012628).
- usb: host: xhci-plat: allow sysdev to inherit from ACPI
(bnc#1012628).
- xhci: Fix sleeping with spin_lock_irq() held in ASmedia 1042A
workaround (bnc#1012628).
- xhci: set missing SuperSpeedPlus Link Protocol bit in roothub
descriptor (bnc#1012628).
- Revert "xhci: Limit USB2 port wake support for AMD Promontory
hosts" (bnc#1012628).
- iio: adc: twl4030: Fix an error handling path in
'twl4030_madc_probe()' (bnc#1012628).
- iio: adc: twl4030: Disable the vusb3v1 rugulator in the error
handling path of 'twl4030_madc_probe()' (bnc#1012628).
- iio: ad_sigma_delta: Implement a dedicated reset function
(bnc#1012628).
- staging: iio: ad7192: Fix - use the dedicated reset function
avoiding dma from stack (bnc#1012628).
- iio: core: Return error for failed read_reg (bnc#1012628).
- IIO: BME280: Updates to Humidity readings need ctrl_reg
write! (bnc#1012628).
- iio: trigger: stm32-timer: preset shouldn't be buffered
(bnc#1012628).
- iio: trigger: stm32-timer: fix a corner case to write preset
(bnc#1012628).
- iio: ad7793: Fix the serial interface reset (bnc#1012628).
- iio: adc: stm32: fix bad error check on max_channels
(bnc#1012628).
- iio: adc: mcp320x: Fix readout of negative voltages
(bnc#1012628).
- iio: adc: mcp320x: Fix oops on module unload (bnc#1012628).
- uwb: properly check kthread_run return value (bnc#1012628).
- uwb: ensure that endpoint is interrupt (bnc#1012628).
- staging: vchiq_2835_arm: Fix NULL ptr dereference in
free_pagelist (bnc#1012628).
- ksm: fix unlocked iteration over vmas in cmp_and_merge_page()
(bnc#1012628).
- mm, hugetlb, soft_offline: save compound page order before
page migration (bnc#1012628).
- mm, oom_reaper: skip mm structs with mmu notifiers
(bnc#1012628).
- mm: fix RODATA_TEST failure "rodata_test: test data was not
read only" (bnc#1012628).
- mm: avoid marking swap cached page as lazyfree (bnc#1012628).
- mm: fix data corruption caused by lazyfree page (bnc#1012628).
- userfaultfd: non-cooperative: fix fork use after free
(bnc#1012628).
- lib/ratelimit.c: use deferred printk() version (bnc#1012628).
- lsm: fix smack_inode_removexattr and xattr_getsecurity memleak
(bnc#1012628).
- ALSA: compress: Remove unused variable (bnc#1012628).
- Revert "ALSA: echoaudio: purge contradictions between dimension
matrix members and total number of members" (bnc#1012628).
- ALSA: usx2y: Suppress kernel warning at page allocation failures
(bnc#1012628).
- powerpc/powernv: Increase memory block size to 1GB on radix
(bnc#1012628).
- powerpc: Fix action argument for cpufeatures-based TLB flush
(bnc#1012628).
- powerpc/64s: Use emergency stack for kernel TM Bad Thing
program checks (bnc#1012628).
- powerpc/tm: Fix illegal TM state in signal handler
(bnc#1012628).
- percpu: make this_cpu_generic_read() atomic w.r.t. interrupts
(bnc#1012628).
- intel_th: pci: Add Lewisburg PCH support (bnc#1012628).
- driver core: platform: Don't read past the end of
"driver_override" buffer (bnc#1012628).
- cgroup: Reinit cgroup_taskset structure before
cgroup_migrate_execute() returns (bnc#1012628).
- Drivers: hv: fcopy: restore correct transfer length
(bnc#1012628).
- vmbus: don't acquire the mutex in
vmbus_hvsock_device_unregister() (bnc#1012628).
- stm class: Fix a use-after-free (bnc#1012628).
- auxdisplay: charlcd: properly restore atomic counter on error
path (bnc#1012628).
- ftrace: Fix kmemleak in unregister_ftrace_graph (bnc#1012628).
- ovl: fix error value printed in ovl_lookup_index()
(bnc#1012628).
- ovl: fix dput() of ERR_PTR in ovl_cleanup_index() (bnc#1012628).
- ovl: fix dentry leak in ovl_indexdir_cleanup() (bnc#1012628).
- ovl: fix missing unlock_rename() in ovl_do_copy_up()
(bnc#1012628).
- ovl: fix regression caused by exclusive upper/work dir
protection (bnc#1012628).
- arm64: dt marvell: Fix AP806 system controller size
(bnc#1012628).
- HID: rmi: Make sure the HID device is opened on resume
(bnc#1012628).
- HID: i2c-hid: allocate hid buffers for real worst case
(bnc#1012628).
- HID: wacom: leds: Don't try to control the EKR's read-only LEDs
(bnc#1012628).
- HID: wacom: Properly report negative values from Intuos Pro
2 Bluetooth (bnc#1012628).
- HID: wacom: Correct coordinate system of touchring and pen twist
(bnc#1012628).
- HID: wacom: generic: Send MSC_SERIAL and ABS_MISC when leaving
prox (bnc#1012628).
- HID: wacom: generic: Clear ABS_MISC when tool leaves proximity
(bnc#1012628).
- HID: wacom: Always increment hdev refcount within
wacom_get_hdev_data (bnc#1012628).
- HID: wacom: bits shifted too much for 9th and 10th buttons
(bnc#1012628).
- btrfs: avoid overflow when sector_t is 32 bit (bnc#1012628).
- Btrfs: fix overlap of fs_info::flags values (bnc#1012628).
- rocker: fix rocker_tlv_put_* functions for KASAN (bnc#1012628).
- netlink: fix nla_put_{u8,u16,u32} for KASAN (bnc#1012628).
- dm crypt: reject sector_size feature if device length is not
aligned to it (bnc#1012628).
- dm ioctl: fix alignment of event number in the device list
(bnc#1012628).
- dm crypt: fix memory leak in crypt_ctr_cipher_old()
(bnc#1012628).
- KVM: PPC: Book3S: Fix server always zero from
kvmppc_xive_get_xive() (bnc#1012628).
- kvm/x86: Avoid async PF preempting the kernel incorrectly
(bnc#1012628).
- iwlwifi: mvm: use IWL_HCMD_NOCOPY for MCAST_FILTER_CMD
(bnc#1012628).
- scsi: sd: Implement blacklist option for WRITE SAME w/ UNMAP
(bnc#1012628).
- scsi: sd: Do not override max_sectors_kb sysfs setting
(bnc#1012628).
- brcmfmac: add length check in brcmf_cfg80211_escan_handler()
(bnc#1012628).
- brcmfmac: setup passive scan if requested by user-space
(bnc#1012628).
- drm/i915: always update ELD connector type after get modes
(bnc#1012628).
- drm/i915/bios: ignore HDMI on port A (bnc#1012628).
- bsg-lib: fix use-after-free under memory-pressure (bnc#1012628).
- nvme-pci: Use PCI bus address for data/queues in CMB
(bnc#1012628).
- mmc: core: add driver strength selection when selecting hs400es
(bnc#1012628).
- nl80211: Define policy for packet pattern attributes
(bnc#1012628).
- clk: samsung: exynos4: Enable VPLL and EPLL clocks for
suspend/resume cycle (bnc#1012628).
- udp: perform source validation for mcast early demux
(bnc#1012628).
- udp: fix bcast packet reception (bnc#1012628).
- base: arch_topology: fix section mismatch build warnings
(bnc#1012628).
- commit 5a88d59
-------------------------------------------------------------------
Wed Oct 11 10:09:24 CEST 2017 - tiwai@suse.de
- ALSA: seq: Fix use-after-free at creating a port
(CVE-2017-15265, bsc#1062520).
- commit fe07a5d
-------------------------------------------------------------------
Mon Oct 9 15:50:44 CEST 2017 - mbrugger@suse.com
- arm64: Ensure fpsimd support is ready before userspace is active
(bsc#1061188).
- arm64: Ensure the instruction emulation is ready for userspace
(bsc#1061188).
- commit d9ee625
-------------------------------------------------------------------
Mon Oct 9 13:32:45 CEST 2017 - jeffm@suse.com
- Update to 4.14-rc4.
- commit 879f297
-------------------------------------------------------------------
Fri Oct 6 22:19:04 CEST 2017 - mwilck@suse.com
- watchdog: Revert "iTCO_wdt: all versions count down twice"
(bsc#1061556).
- commit c6bc876
-------------------------------------------------------------------
Fri Oct 6 16:12:33 CEST 2017 - msuchanek@suse.de
- rpm/kernel-binary.spec.in: add the kernel-binary dependencies to
kernel-binary-base (bsc#1060333).
- commit 955681c
-------------------------------------------------------------------
Fri Oct 6 11:15:55 CEST 2017 - pmladek@suse.com
- Delete
patches.suse/ftrace-x86-xen-use-kernel-identity-mapping-only-when.patch.
The change is not longer needed with PVOPS Xen (bsc#873195).
- commit 8366b6a
-------------------------------------------------------------------
Thu Oct 5 21:17:53 CEST 2017 - jeffm@suse.com
- Delete patches.rpmify/cloneconfig.diff.
- commit 437d08e
-------------------------------------------------------------------
Thu Oct 5 21:07:02 CEST 2017 - jeffm@suse.com
- Only use patches.suse for patches.
This eliminates patches.arch, patches.drivers, and patches.fixes, and moves
the patches contained in them to patches.suse.
Also update feedback for Patch-mainline tags.
- commit 343996e
-------------------------------------------------------------------
Thu Oct 5 20:17:22 CEST 2017 - jslaby@suse.cz
- Linux 4.13.5 (bnc#1012628).
- cifs: check rsp for NULL before dereferencing in SMB2_open
(bnc#1012628).
- cifs: release cifs root_cred after exit_cifs (bnc#1012628).
- cifs: release auth_key.response for reconnect (bnc#1012628).
- nvme-pci: fix host memory buffer allocation fallback
(bnc#1012628).
- nvme-pci: use appropriate initial chunk size for HMB allocation
(bnc#1012628).
- nvme-pci: propagate (some) errors from host memory buffer setup
(bnc#1012628).
- dax: remove the pmem_dax_ops->flush abstraction (bnc#1012628).
- dm integrity: do not check integrity for failed read operations
(bnc#1012628).
- mmc: block: Fix incorrectly initialized requests (bnc#1012628).
- fs/proc: Report eip/esp in /prod/PID/stat for coredumping
(bnc#1012628).
- scsi: scsi_transport_fc: fix NULL pointer dereference in
fc_bsg_job_timeout (bnc#1012628).
- SMB3: Add support for multidialect negotiate (SMB2.1 and later)
(bnc#1012628).
- mac80211: fix VLAN handling with TXQs (bnc#1012628).
- mac80211_hwsim: Use proper TX power (bnc#1012628).
- mac80211: flush hw_roc_start work before cancelling the ROC
(bnc#1012628).
- mac80211: fix deadlock in driver-managed RX BA session start
(bnc#1012628).
- genirq: Make sparse_irq_lock protect what it should protect
(bnc#1012628).
- genirq/msi: Fix populating multiple interrupts (bnc#1012628).
- genirq: Fix cpumask check in __irq_startup_managed()
(bnc#1012628).
- KVM: PPC: Book3S HV: Hold kvm->lock around call to
kvmppc_update_lpcr (bnc#1012628).
- KVM: PPC: Book3S HV: Fix bug causing host SLB to be restored
incorrectly (bnc#1012628).
- KVM: PPC: Book3S HV: Don't access XIVE PIPR register using
byte accesses (bnc#1012628).
- tracing: Fix trace_pipe behavior for instance traces
(bnc#1012628).
- tracing: Erase irqsoff trace with empty write (bnc#1012628).
- tracing: Remove RCU work arounds from stack tracer
(bnc#1012628).
- md/raid5: fix a race condition in stripe batch (bnc#1012628).
- md/raid5: preserve STRIPE_ON_UNPLUG_LIST in
break_stripe_batch_list (bnc#1012628).
- scsi: scsi_transport_iscsi: fix the issue that iscsi_if_rx
doesn't parse nlmsg properly (bnc#1012628).
- scsi: aacraid: Fix 2T+ drives on SmartIOC-2000 (bnc#1012628).
- scsi: aacraid: Add a small delay after IOP reset (bnc#1012628).
- drm/exynos: Fix locking in the suspend/resume paths
(bnc#1012628).
- drm/i915/gvt: Fix incorrect PCI BARs reporting (bnc#1012628).
- Revert "drm/i915/bxt: Disable device ready before shutdown
command" (bnc#1012628).
- drm/radeon: disable hard reset in hibernate for APUs
(bnc#1012628).
- crypto: drbg - fix freeing of resources (bnc#1012628).
- crypto: talitos - Don't provide setkey for non hmac hashing algs
(bnc#1012628).
- crypto: talitos - fix sha224 (bnc#1012628).
- crypto: talitos - fix hashing (bnc#1012628).
- security/keys: properly zero out sensitive key material in
big_key (bnc#1012628).
- security/keys: rewrite all of big_key crypto (bnc#1012628).
- KEYS: fix writing past end of user-supplied buffer in
keyring_read() (bnc#1012628).
- KEYS: prevent creating a different user's keyrings
(bnc#1012628).
- KEYS: prevent KEYCTL_READ on negative key (bnc#1012628).
- libnvdimm, namespace: fix btt claim class crash (bnc#1012628).
- powerpc/eeh: Create PHB PEs after EEH is initialized
(bnc#1012628).
- powerpc/pseries: Fix parent_dn reference leak in add_dt_node()
(bnc#1012628).
- powerpc/tm: Flush TM only if CPU has TM feature (bnc#1012628).
- MIPS: Fix perf event init (bnc#1012628).
- s390/perf: fix bug when creating per-thread event (bnc#1012628).
- s390/mm: make pmdp_invalidate() do invalidation only
(bnc#1012628).
- s390/mm: fix write access check in gup_huge_pmd() (bnc#1012628).
- PM: core: Fix device_pm_check_callbacks() (bnc#1012628).
- Revert "IB/ipoib: Update broadcast object if PKey value was
changed in index 0" (bnc#1012628).
- Fix SMB3.1.1 guest authentication to Samba (bnc#1012628).
- SMB3: Fix endian warning (bnc#1012628).
- SMB3: Warn user if trying to sign connection that authenticated
as guest (bnc#1012628).
- SMB: Validate negotiate (to protect against downgrade) even
if signing off (bnc#1012628).
- SMB3: handle new statx fields (bnc#1012628).
- SMB3: Don't ignore O_SYNC/O_DSYNC and O_DIRECT flags
(bnc#1012628).
- vfs: Return -ENXIO for negative SEEK_HOLE / SEEK_DATA offsets
(bnc#1012628).
- libceph: don't allow bidirectional swap of pg-upmap-items
(bnc#1012628).
- brd: fix overflow in __brd_direct_access (bnc#1012628).
- gfs2: Fix debugfs glocks dump (bnc#1012628).
- bsg-lib: don't free job in bsg_prepare_job (bnc#1012628).
- iw_cxgb4: drop listen destroy replies if no ep found
(bnc#1012628).
- iw_cxgb4: remove the stid on listen create failure
(bnc#1012628).
- iw_cxgb4: put ep reference in pass_accept_req() (bnc#1012628).
- rcu: Allow for page faults in NMI handlers (bnc#1012628).
- mmc: sdhci-pci: Fix voltage switch for some Intel host
controllers (bnc#1012628).
- extable: Consolidate *kernel_text_address() functions
(bnc#1012628).
- extable: Enable RCU if it is not watching in
kernel_text_address() (bnc#1012628).
- selftests/seccomp: Support glibc 2.26 siginfo_t.h (bnc#1012628).
- seccomp: fix the usage of get/put_seccomp_filter() in
seccomp_get_filter() (bnc#1012628).
- arm64: Make sure SPsel is always set (bnc#1012628).
- arm64: mm: Use READ_ONCE when dereferencing pointer to pte table
(bnc#1012628).
- arm64: fault: Route pte translation faults via
do_translation_fault (bnc#1012628).
- KVM: VMX: extract __pi_post_block (bnc#1012628).
- KVM: VMX: avoid double list add with VT-d posted interrupts
(bnc#1012628).
- KVM: VMX: simplify and fix vmx_vcpu_pi_load (bnc#1012628).
- KVM: nVMX: fix HOST_CR3/HOST_CR4 cache (bnc#1012628).
- kvm/x86: Handle async PF in RCU read-side critical sections
(bnc#1012628).
- KVM: VMX: Do not BUG() on out-of-bounds guest IRQ (bnc#1012628).
- kvm: nVMX: Don't allow L2 to access the hardware CR8
(bnc#1012628).
- xfs: validate bdev support for DAX inode flag (bnc#1012628).
- fix infoleak in waitid(2) (bnc#1012628).
- sched/sysctl: Check user input value of sysctl_sched_time_avg
(bnc#1012628).
- irq/generic-chip: Don't replace domain's name (bnc#1012628).
- mtd: Fix partition alignment check on multi-erasesize devices
(bnc#1012628).
- mtd: nand: atmel: fix buffer overflow in atmel_pmecc_user
(bnc#1012628).
- etnaviv: fix submit error path (bnc#1012628).
- etnaviv: fix gem object list corruption (bnc#1012628).
- futex: Fix pi_state->owner serialization (bnc#1012628).
- md: fix a race condition for flush request handling
(bnc#1012628).
- md: separate request handling (bnc#1012628).
- PCI: Fix race condition with driver_override (bnc#1012628).
- btrfs: fix NULL pointer dereference from free_reloc_roots()
(bnc#1012628).
- btrfs: clear ordered flag on cleaning up ordered extents
(bnc#1012628).
- btrfs: finish ordered extent cleaning if no progress is found
(bnc#1012628).
- btrfs: propagate error to btrfs_cmp_data_prepare caller
(bnc#1012628).
- btrfs: prevent to set invalid default subvolid (bnc#1012628).
- PM / OPP: Call notifier without holding opp_table->lock
(bnc#1012628).
- x86/mm: Fix fault error path using unsafe vma pointer
(bnc#1012628).
- x86/fpu: Don't let userspace set bogus xcomp_bv (bnc#1012628).
- KVM: VMX: do not change SN bit in vmx_update_pi_irte()
(bnc#1012628).
- KVM: VMX: remove WARN_ON_ONCE in
kvm_vcpu_trigger_posted_interrupt (bnc#1012628).
- KVM: VMX: use cmpxchg64 (bnc#1012628).
- video: fbdev: aty: do not leak uninitialized padding in clk
to userspace (bnc#1012628).
- Update config files.
- commit 3fd9659
-------------------------------------------------------------------
Thu Oct 5 17:15:40 CEST 2017 - tiwai@suse.de
- Refresh QXL fixes: they've been upstreamed
patches.drivers/qxl-fix-pinning.patch
patches.drivers/qxl-fix-primary-surface-handling.patch
- commit 7034d64
-------------------------------------------------------------------
Thu Oct 5 16:27:06 CEST 2017 - tiwai@suse.de
- platform/x86: peaq-wmi: Add DMI check before binding to the
WMI interface (bsc#1059807).
- commit a6a03ea
-------------------------------------------------------------------
Wed Oct 4 14:14:49 CEST 2017 - jeffm@suse.com
- Delete patches.suse/suse-hv-storvsc-sg_tablesize.patch.
Per Olaf Hering, this is no longer needed.
- commit 83b19a6
-------------------------------------------------------------------
Wed Oct 4 11:35:41 CEST 2017 - jslaby@suse.cz
- orc: mark it as reliable (bnc#1058115).
- Update config files.
- commit 3cbbf06
-------------------------------------------------------------------
Wed Oct 4 10:13:54 CEST 2017 - jslaby@suse.cz
- x86/asm: Use register variable to get stack pointer value
(bnc#1058115).
- commit a5d4692
-------------------------------------------------------------------
Wed Oct 4 09:58:46 CEST 2017 - jslaby@suse.cz
- x86/asm: Fix inline asm call constraints for GCC 4.4
(bnc#1058115).
- commit 034c016
-------------------------------------------------------------------
Wed Oct 4 08:45:21 CEST 2017 - hare@suse.de
- Delete patches.fixes/sd_liberal_28_sense_invalid.diff.
- Delete patches.suse/dm-emulate-blkrrpart-ioctl.
- Delete patches.suse/scsi-netlink-ml.
- commit b8f0083
-------------------------------------------------------------------
Wed Oct 4 02:38:01 CEST 2017 - agraf@suse.de
- Delete patches.arch/arm-OMAP-Fix-missing-usb.h-include.patch.
(no longer needed)
- Delete patches.arch/arm-arndale-usb.patch. (no longer needed)
- Delete
patches.arch/arm64-0006-arm64-Select-reboot-driver-for-X-Gene-platform.patch.
(not needed, our config already includes the driver)
- Delete patches.arch/ppc64le-build-vmlinux.patch. (no longer needed)
- commit 2b9d327
-------------------------------------------------------------------
Tue Oct 3 23:01:53 CEST 2017 - jeffm@suse.com
- Disable patches.suse/binutils2_26.patch for testing.
The issue addressed by this patch should be handled via upstream
commit 6d92bc9d483 (x86/build: Build compressed x86 kernels as PIE).
- commit f27997b
-------------------------------------------------------------------
Tue Oct 3 22:37:09 CEST 2017 - neilb@suse.com
- Delete
patches.fixes/0001-Revert-SUNRPC-xs_sock_mark_closed-does-not-need-to-t.patch.
Not needed, bug was fixed some other way since that patch
was created.
- commit d55ee70
-------------------------------------------------------------------
Tue Oct 3 17:04:49 CEST 2017 - mgorman@suse.de
- Delete patches.suse/connector-read-mostly.
- commit 8ae100a
-------------------------------------------------------------------
Tue Oct 3 15:21:36 CEST 2017 - jeffm@suse.com
- series.conf: remove commented out lines for removed patches
- commit 7ea9bcc
-------------------------------------------------------------------
Tue Oct 3 14:44:32 CEST 2017 - jeffm@suse.com
- Delete patches.arch/arm-refresh-mach-types.diff.
It was marked for refresh in 12/2016 and hasn't been updated.
- commit 8e357d7
-------------------------------------------------------------------
Mon Oct 2 18:28:19 CEST 2017 - jeffm@suse.com
- Remove s390 message catalog patches.
- Delete patches.arch/kmsg-fix-parameter-limitations.
- Delete patches.arch/s390-message-catalog.diff.
- commit 865e88d
-------------------------------------------------------------------
Mon Oct 2 17:02:41 CEST 2017 - jeffm@suse.com
- Refresh patches.suse/dm-mpath-accept-failed-paths.
- commit 04a0a7a
-------------------------------------------------------------------
Mon Oct 2 16:48:12 CEST 2017 - jeffm@suse.com
- Moved powerpc-Blacklist-GCC-5.4-6.1-and-6.2.patch to patches.rpmify.
It's a compiler blacklist addition and should be applied to vanilla too.
- commit e34eae8
-------------------------------------------------------------------
Mon Oct 2 16:36:02 CEST 2017 - jeffm@suse.com
- Update to 4.14-rc3.
- Eliminated 2 patches.
- Config changes:
- Crypto:
- Crypto changes brought by Kconfig changes:
- CONFIG_CRYPTO_GHASH=y (Kconfig dependency change)
- CONFIG_CRYPTO_GCM=y (Kconfig dependency change)
- armv7hl:
-DRM_SUN4I_HDMI_CEC=y
-CONFIG_CEC_PIN=y (dependency)
- s390x/zfcpdump:
- Crypto changes brought by Kconfig changes, consistent with other configs:
- CRYPTO_MANAGER_DISABLE_TESTS=n
- CRYPTO_DRBG_HASH=y
- CRYPTO_DRBG_CTR=y
- Lots of dependencies
- commit 37f329b
-------------------------------------------------------------------
Mon Oct 2 13:07:17 CEST 2017 - jslaby@suse.cz
- platform/x86: fujitsu-laptop: Don't oops when FUJ02E3 is not
presnt (bnc#1058814).
- commit 80338f6
-------------------------------------------------------------------
Mon Oct 2 10:29:24 CEST 2017 - jslaby@suse.cz
- ORC crypto patches: Update upstream status.
- commit 01974c6
-------------------------------------------------------------------
Mon Oct 2 10:19:17 CEST 2017 - jslaby@suse.cz
- Refresh
patches.suse/0001-objtool-Don-t-report-end-of-section-error-after-an-e.patch.
- Refresh
patches.suse/0002-x86-head-Remove-confusing-comment.patch.
- Refresh
patches.suse/0003-x86-head-Remove-unused-bad_address-code.patch.
- Refresh
patches.suse/0004-x86-head-Fix-head-ELF-function-annotations.patch.
- Refresh
patches.suse/0005-x86-boot-Annotate-verify_cpu-as-a-callable-function.patch.
- Refresh
patches.suse/0006-x86-xen-Fix-xen-head-ELF-annotations.patch.
- Refresh
patches.suse/0007-x86-xen-Add-unwind-hint-annotations.patch.
- Refresh
patches.suse/0008-x86-head-Add-unwind-hint-annotations.patch.
- Delete
patches.suse/0002-dwarf-do-not-throw-away-unwind-info.patch.
Update upstream status and drop the dwarf remainder.
- commit c3e0cbe
-------------------------------------------------------------------
Thu Sep 28 11:33:26 CEST 2017 - jeffm@suse.com
- Update to 4.14-rc2.
- Eliminated 21 patches.
- commit b61ed0c
-------------------------------------------------------------------
Wed Sep 27 16:18:58 CEST 2017 - jslaby@suse.cz
- Linux 4.13.4 (bnc#1012628).
- orangefs: Don't clear SGID when inheriting ACLs (bnc#1012628).
- <linux/uaccess.h>: Fix copy_in_user() declaration (bnc#1012628).
- IB/hfi1: Revert egress pkey check enforcement (bnc#1012628).
- IB/{qib, hfi1}: Avoid flow control testing for RDMA write
operation (bnc#1012628).
- IB/mlx5: Fix cached MR allocation flow (bnc#1012628).
- srcu: Provide ordering for CPU not involved in grace period
(bnc#1012628).
- smp/hotplug: Handle removal correctly in cpuhp_store_callbacks()
(bnc#1012628).
- Input: xpad - validate USB endpoint type during probe
(bnc#1012628).
- drm/amdgpu: read reg in each iterator of psp_wait_for loop
(bnc#1012628).
- tty: improve tty_insert_flip_char() fast path (bnc#1012628).
- tty: improve tty_insert_flip_char() slow path (bnc#1012628).
- tty: fix __tty_insert_flip_char regression (bnc#1012628).
- pinctrl: samsung: Fix invalid register offset used for
Exynos5433 external interrupts (bnc#1012628).
- pinctrl: samsung: Fix NULL pointer exception on external
interrupts on S3C24xx (bnc#1012628).
- pinctrl/amd: save pin registers over suspend/resume
(bnc#1012628).
- Input: i8042 - add Gigabyte P57 to the keyboard reset table
(bnc#1012628).
- MIPS: math-emu: <MAX|MAXA|MIN|MINA>.<D|S>: Fix quiet NaN
propagation (bnc#1012628).
- MIPS: math-emu: <MAX|MAXA|MIN|MINA>.<D|S>: Fix cases of both
inputs zero (bnc#1012628).
- MIPS: math-emu: <MAX|MIN>.<D|S>: Fix cases of both inputs
negative (bnc#1012628).
- MIPS: math-emu: <MAXA|MINA>.<D|S>: Fix cases of input values
with opposite signs (bnc#1012628).
- MIPS: math-emu: <MAXA|MINA>.<D|S>: Fix cases of both infinite
inputs (bnc#1012628).
- MIPS: math-emu: MINA.<D|S>: Fix some cases of infinity and
zero inputs (bnc#1012628).
- MIPS: math-emu: <MADDF|MSUBF>.<D|S>: Fix NaN propagation
(bnc#1012628).
- MIPS: math-emu: <MADDF|MSUBF>.<D|S>: Fix some cases of infinite
inputs (bnc#1012628).
- MIPS: math-emu: <MADDF|MSUBF>.<D|S>: Fix some cases of zero
inputs (bnc#1012628).
- MIPS: math-emu: <MADDF|MSUBF>.<D|S>: Clean up "maddf_flags"
enumeration (bnc#1012628).
- MIPS: math-emu: <MADDF|MSUBF>.S: Fix accuracy (32-bit case)
(bnc#1012628).
- MIPS: math-emu: <MADDF|MSUBF>.D: Fix accuracy (64-bit case)
(bnc#1012628).
- docs: disable KASLR when debugging kernel (bnc#1012628).
- crypto: ccp - Fix XTS-AES-128 support on v5 CCPs (bnc#1012628).
- crypto: scompress - don't sleep with preemption disabled
(bnc#1012628).
- crypto: caam/qi - fix typo in authenc alg driver name
(bnc#1012628).
- crypto: caam/qi - properly set IV after {en,de}crypt
(bnc#1012628).
- crypto: AF_ALG - remove SGL terminator indicator when chaining
(bnc#1012628).
- regulator: cpcap: Fix standby mode (bnc#1012628).
- wcn36xx: Introduce mutual exclusion of fw configuration
(bnc#1012628).
- ext4: in ext4_seek_{hole,data}, return -ENXIO for negative
offsets (bnc#1012628).
- ext4: fix incorrect quotaoff if the quota feature is enabled
(bnc#1012628).
- ext4: fix quota inconsistency during orphan cleanup for
read-only mounts (bnc#1012628).
- cxl: Fix driver use count (bnc#1012628).
- powerpc/powernv/npu: Move tlb flush before launching ATSD
(bnc#1012628).
- powerpc/pseries: Don't attempt to acquire drc during memory
hot add for assigned lmbs (bnc#1012628).
- powerpc: Fix DAR reporting when alignment handler faults
(bnc#1012628).
- block: Relax a check in blk_start_queue() (bnc#1012628).
- block: directly insert blk-mq request from
blk_insert_cloned_request() (bnc#1012628).
- md/bitmap: copy correct data for bitmap super (bnc#1012628).
- md/bitmap: disable bitmap_resize for file-backed bitmaps
(bnc#1012628).
- skd: Avoid that module unloading triggers a use-after-free
(bnc#1012628).
- skd: Submit requests to firmware before triggering the doorbell
(bnc#1012628).
- scsi: zfcp: fix queuecommand for scsi_eh commands when DIX
enabled (bnc#1012628).
- scsi: zfcp: add handling for FCP_RESID_OVER to the fcp ingress
path (bnc#1012628).
- scsi: zfcp: fix capping of unsuccessful GPN_FT SAN response
trace records (bnc#1012628).
- scsi: zfcp: fix passing fsf_req to SCSI trace on TMF to
correlate with HBA (bnc#1012628).
- scsi: zfcp: fix missing trace records for early returns in
TMF eh handlers (bnc#1012628).
- scsi: zfcp: fix payload with full FCP_RSP IU in SCSI trace
records (bnc#1012628).
- scsi: zfcp: trace HBA FSF response by default on dismiss or
timedout late response (bnc#1012628).
- scsi: zfcp: trace high part of "new" 64 bit SCSI LUN
(bnc#1012628).
- scsi: qedi: off by one in qedi_get_cmd_from_tid() (bnc#1012628).
- scsi: aacraid: Fix command send race condition (bnc#1012628).
- scsi: megaraid_sas: mismatch of allocated MFI frame size and
length exposed in MFI MPT pass through command (bnc#1012628).
- scsi: megaraid_sas: set minimum value of resetwaittime to be
1 secs (bnc#1012628).
- scsi: megaraid_sas: Check valid aen class range to avoid kernel
panic (bnc#1012628).
- scsi: megaraid_sas: Return pended IOCTLs with cmd_status
MFI_STAT_WRONG_STATE in case adapter is dead (bnc#1012628).
- scsi: storvsc: fix memory leak on ring buffer busy
(bnc#1012628).
- scsi: sg: factor out sg_fill_request_table() (bnc#1012628).
- scsi: sg: fixup infoleak when using SG_GET_REQUEST_TABLE
(bnc#1012628).
- scsi: qla2xxx: Update fw_started flags at qpair creation
(bnc#1012628).
- scsi: qla2xxx: Correction to vha->vref_count timeout
(bnc#1012628).
- scsi: qla2xxx: Fix target multiqueue configuration
(bnc#1012628).
- scsi: qla2xxx: Use BIT_6 to acquire FAWWPN from switch
(bnc#1012628).
- scsi: qla2xxx: Use fabric name for Get Port Speed command
(bnc#1012628).
- mailbox: bcm-flexrm-mailbox: Fix mask used in
CMPL_START_ADDR_VALUE() (bnc#1012628).
- ftrace: Fix debug preempt config name in
stack_tracer_{en,dis}able (bnc#1012628).
- ftrace: Fix selftest goto location on error (bnc#1012628).
- ftrace: Fix memleak when unregistering dynamic ops when tracing
disabled (bnc#1012628).
- tracing: Add barrier to trace_printk() buffer nesting
modification (bnc#1012628).
- tracing: Fix clear of RECORDED_TGID flag when disabling trace
event (bnc#1012628).
- tracing: Apply trace_clock changes to instance max buffer
(bnc#1012628).
- ARC: Re-enable MMU upon Machine Check exception (bnc#1012628).
- PCI: shpchp: Enable bridge bus mastering if MSI is enabled
(bnc#1012628).
- PCI: pciehp: Report power fault only once until we clear it
(bnc#1012628).
- net/netfilter/nf_conntrack_core: Fix net_conntrack_lock()
(bnc#1012628).
- s390/mm: fix local TLB flushing vs. detach of an mm address
space (bnc#1012628).
- s390/mm: fix race on mm->context.flush_mm (bnc#1012628).
- media: v4l2-compat-ioctl32: Fix timespec conversion
(bnc#1012628).
- media: Revert "[media] lirc_dev: remove superfluous
get/put_device() calls" (bnc#1012628).
- media: venus: fix copy/paste error in return_buf_error
(bnc#1012628).
- media: uvcvideo: Prevent heap overflow when accessing mapped
controls (bnc#1012628).
- media: adv7180: add missing adv7180cp, adv7180st i2c device IDs
(bnc#1012628).
- PM / devfreq: Fix memory leak when fail to register device
(bnc#1012628).
- ALSA: seq: Cancel pending autoload work at unbinding device
(bnc#1012628).
- bcache: initialize dirty stripes in flash_dev_run()
(bnc#1012628).
- bcache: Fix leak of bdev reference (bnc#1012628).
- bcache: do not subtract sectors_to_gc for bypassed IO
(bnc#1012628).
- bcache: correct cache_dirty_target in __update_writeback_rate()
(bnc#1012628).
- bcache: Correct return value for sysfs attach errors
(bnc#1012628).
- bcache: fix sequential large write IO bypass (bnc#1012628).
- bcache: fix for gc and write-back race (bnc#1012628).
- bcache: fix bch_hprint crash and improve output (bnc#1012628).
- sched/cpuset/pm: Fix cpuset vs. suspend-resume bugs
(bnc#1012628).
- iwlwifi: add workaround to disable wide channels in 5GHz
(bnc#1012628).
- commit c028f76
-------------------------------------------------------------------
Wed Sep 27 14:07:55 CEST 2017 - jslaby@suse.cz
- usb: quirks: add delay init quirk for Corsair Strafe RGB
keyboard (bnc#1012628).
- USB: serial: option: add support for D-Link DWM-157 C1
(bnc#1012628).
- usb: Add device quirk for Logitech HD Pro Webcam C920-C
(bnc#1012628).
- usb:xhci:Fix regression when ATI chipsets detected
(bnc#1012628).
- USB: musb: fix external abort on suspend (bnc#1012628).
- ANDROID: binder: add padding to binder_fd_array_object
(bnc#1012628).
- ANDROID: binder: add hwbinder,vndbinder to BINDER_DEVICES
(bnc#1012628).
- USB: core: Avoid race of async_completed() w/ usbdev_release()
(bnc#1012628).
- staging/rts5208: fix incorrect shift to extract upper nybble
(bnc#1012628).
- staging: ccree: save ciphertext for CTS IV (bnc#1012628).
- staging: fsl-dpaa2/eth: fix off-by-one FD ctrl bitmaks
(bnc#1012628).
- iio: adc: ti-ads1015: fix incorrect data rate setting update
(bnc#1012628).
- iio: adc: ti-ads1015: fix scale information for ADS1115
(bnc#1012628).
- iio: adc: ti-ads1015: enable conversion when CONFIG_PM is not
set (bnc#1012628).
- iio: adc: ti-ads1015: avoid getting stale result after runtime
resume (bnc#1012628).
- iio: adc: ti-ads1015: don't return invalid value from buffer
setup callbacks (bnc#1012628).
- iio: adc: ti-ads1015: add adequate wait time to get correct
conversion (bnc#1012628).
- driver core: bus: Fix a potential double free (bnc#1012628).
- HID: wacom: Do not completely map WACOM_HID_WD_TOUCHRINGSTATUS
usage (bnc#1012628).
- binder: free memory on error (bnc#1012628).
- crypto: caam/qi - fix compilation with
CONFIG_DEBUG_FORCE_WEAK_PER_CPU=y (bnc#1012628).
- crypto: caam/qi - fix compilation with DEBUG enabled
(bnc#1012628).
- thunderbolt: Fix reset response_type (bnc#1012628).
- fpga: altera-hps2fpga: fix multiple init of l3_remap_lock
(bnc#1012628).
- intel_th: pci: Add Cannon Lake PCH-H support (bnc#1012628).
- intel_th: pci: Add Cannon Lake PCH-LP support (bnc#1012628).
- ath10k: fix memory leak in rx ring buffer allocation
(bnc#1012628).
- drm/vgem: Pin our pages for dmabuf exports (bnc#1012628).
- drm/ttm: Fix accounting error when fail to get pages for pool
(bnc#1012628).
- drm/dp/mst: Handle errors from
drm_atomic_get_private_obj_state() correctly (bnc#1012628).
- rtlwifi: rtl_pci_probe: Fix fail path of _rtl_pci_find_adapter
(bnc#1012628).
- Bluetooth: Add support of 13d3:3494 RTL8723BE device
(bnc#1012628).
- iwlwifi: pci: add new PCI ID for 7265D (bnc#1012628).
- dlm: avoid double-free on error path in
dlm_device_{register,unregister} (bnc#1012628).
- mwifiex: correct channel stat buffer overflows (bnc#1012628).
- MCB: add support for SC31 to mcb-lpc (bnc#1012628).
- s390/mm: avoid empty zero pages for KVM guests to avoid postcopy
hangs (bnc#1012628).
- drm/nouveau/pci/msi: disable MSI on big-endian platforms by
default (bnc#1012628).
- drm/nouveau: Fix error handling in nv50_disp_atomic_commit
(bnc#1012628).
- workqueue: Fix flag collision (bnc#1012628).
- ahci: don't use MSI for devices with the silly Intel NVMe
remapping scheme (bnc#1012628).
- cs5536: add support for IDE controller variant (bnc#1012628).
- scsi: sg: protect against races between mmap() and
SG_SET_RESERVED_SIZE (bnc#1012628).
- scsi: sg: recheck MMAP_IO request length with lock held
(bnc#1012628).
- of/device: Prevent buffer overflow in of_device_modalias()
(bnc#1012628).
- rtlwifi: Fix memory leak when firmware request fails
(bnc#1012628).
- rtlwifi: Fix fallback firmware loading (bnc#1012628).
- Linux 4.13.1 (bnc#1012628).
- mtd: nand: make Samsung SLC NAND usable again (bnc#1012628).
- mtd: nand: hynix: add support for 20nm NAND chips (bnc#1012628).
- mtd: nand: mxc: Fix mxc_v1 ooblayout (bnc#1012628).
- mtd: nand: qcom: fix read failure without complete bootchain
(bnc#1012628).
- mtd: nand: qcom: fix config error for BCH (bnc#1012628).
- nvme-fabrics: generate spec-compliant UUID NQNs (bnc#1012628).
- btrfs: resume qgroup rescan on rw remount (bnc#1012628).
- rtlwifi: btcoexist: Fix breakage of ant_sel for rtl8723be
(bnc#1012628).
- rtlwifi: btcoexist: Fix antenna selection code (bnc#1012628).
- radix-tree: must check __radix_tree_preload() return value
(bnc#1012628).
- brcmfmac: feature check for multi-scheduled scan fails on
bcm4345 devices (bnc#1012628).
- kselftests: timers: leap-a-day: Change default arguments to
help test runs (bnc#1012628).
- selftests: timers: Fix run_destructive_tests target to handle
skipped tests (bnc#1012628).
- selftests/x86/fsgsbase: Test selectors 1, 2, and 3
(bnc#1012628).
- mm: kvfree the swap cluster info if the swap file is
unsatisfactory (bnc#1012628).
- mm/swapfile.c: fix swapon frontswap_map memory leak on error
(bnc#1012628).
- mm/sparse.c: fix typo in online_mem_sections (bnc#1012628).
- mm/memory.c: fix mem_cgroup_oom_disable() call missing
(bnc#1012628).
- KVM: SVM: Limit PFERR_NESTED_GUEST_PAGE error_code check to
L1 guest (bnc#1012628).
- Revert "firmware: add sanity check on shutdown/suspend"
(bnc#1012628).
- rt2800: fix TX_PIN_CFG setting for non MT7620 chips (bnc#1012628
bnc#1055826).
- Bluetooth: Properly check L2CAP config option output buffer
length (bnc#1012628).
- ARM64: dts: marvell: armada-37xx: Fix GIC maintenance interrupt
(bnc#1012628).
- ARM: 8692/1: mm: abort uaccess retries upon fatal signal
(bnc#1012628).
- NFS: Fix 2 use after free issues in the I/O code (bnc#1012628).
- NFS: Sync the correct byte range during synchronous writes
(bnc#1012628).
- NFSv4: Fix up mirror allocation (bnc#1012628).
- xfs: XFS_IS_REALTIME_INODE() should be false if no rt device
present (bnc#1012628).
- Linux 4.13.2 (bnc#1012628).
- Revert "net: use lib/percpu_counter API for fragmentation mem
accounting" (bnc#1012628).
- Revert "net: fix percpu memory leaks" (bnc#1012628).
- gianfar: Fix Tx flow control deactivation (bnc#1012628).
- vhost_net: correctly check tx avail during rx busy polling
(bnc#1012628).
- ip6_gre: update mtu properly in ip6gre_err (bnc#1012628).
- udp: drop head states only when all skb references are gone
(bnc#1012628).
- ipv6: fix memory leak with multiple tables during netns
destruction (bnc#1012628).
- ipv6: fix typo in fib6_net_exit() (bnc#1012628).
- sctp: fix missing wake ups in some situations (bnc#1012628).
- tcp: fix a request socket leak (bnc#1012628).
- ip_tunnel: fix setting ttl and tos value in collect_md mode
(bnc#1012628).
- f2fs: let fill_super handle roll-forward errors (bnc#1012628).
- f2fs: check hot_data for roll-forward recovery (bnc#1012628).
- thunderbolt: Remove superfluous check (bnc#1012628).
- thunderbolt: Make key root-only accessible (bnc#1012628).
- thunderbolt: Allow clearing the key (bnc#1012628).
- x86/fsgsbase/64: Fully initialize FS and GS state in
start_thread_common (bnc#1012628).
- x86/fsgsbase/64: Report FSBASE and GSBASE correctly in core
dumps (bnc#1012628).
- x86/switch_to/64: Rewrite FS/GS switching yet again to fix
AMD CPUs (bnc#1012628).
- x86/mm, mm/hwpoison: Clear PRESENT bit for kernel 1:1 mappings
of poison pages (bnc#1012628).
- ovl: fix false positive ESTALE on lookup (bnc#1012628).
- fuse: allow server to run in different pid_ns (bnc#1012628).
- idr: remove WARN_ON_ONCE() when trying to replace negative ID
(bnc#1012628).
- libnvdimm, btt: check memory allocation failure (bnc#1012628).
- libnvdimm: fix integer overflow static analysis warning
(bnc#1012628).
- xfs: write unmount record for ro mounts (bnc#1012628).
- xfs: toggle readonly state around xfs_log_mount_finish
(bnc#1012628).
- xfs: Add infrastructure needed for error propagation during
buffer IO failure (bnc#1012628).
- xfs: Properly retry failed inode items in case of error during
buffer writeback (bnc#1012628).
- xfs: fix recovery failure when log record header wraps log end
(bnc#1012628).
- xfs: always verify the log tail during recovery (bnc#1012628).
- xfs: fix log recovery corruption error due to tail overwrite
(bnc#1012628).
- xfs: handle -EFSCORRUPTED during head/tail verification
(bnc#1012628).
- xfs: stop searching for free slots in an inode chunk when
there are none (bnc#1012628).
- xfs: evict all inodes involved with log redo item (bnc#1012628).
- xfs: check for race with xfs_reclaim_inode() in
xfs_ifree_cluster() (bnc#1012628).
- xfs: open-code xfs_buf_item_dirty() (bnc#1012628).
- xfs: remove unnecessary dirty bli format check for ordered bufs
(bnc#1012628).
- xfs: ordered buffer log items are never formatted (bnc#1012628).
- xfs: refactor buffer logging into buffer dirtying helper
(bnc#1012628).
- xfs: don't log dirty ranges for ordered buffers (bnc#1012628).
- xfs: skip bmbt block ino validation during owner change
(bnc#1012628).
- xfs: move bmbt owner change to last step of extent swap
(bnc#1012628).
- xfs: disallow marking previously dirty buffers as ordered
(bnc#1012628).
- xfs: relog dirty buffers during swapext bmbt owner change
(bnc#1012628).
- xfs: disable per-inode DAX flag (bnc#1012628).
- xfs: fix incorrect log_flushed on fsync (bnc#1012628).
- xfs: don't set v3 xflags for v2 inodes (bnc#1012628).
- xfs: open code end_buffer_async_write in
xfs_finish_page_writeback (bnc#1012628).
- xfs: use kmem_free to free return value of kmem_zalloc
(bnc#1012628).
- md/raid1/10: reset bio allocated from mempool (bnc#1012628).
- md/raid5: release/flush io in raid5_do_work() (bnc#1012628).
- xfs: fix compiler warnings (bnc#1012628).
- Linux 4.13.3 (bnc#1012628).
- Delete patches.kernel.org/patch-4.13.1.
- Delete patches.kernel.org/patch-4.13.1-2.
- Delete patches.kernel.org/patch-4.13.2-3.
Replace stable all-in-one patches by expanded patches.
- commit 8e0ee21
-------------------------------------------------------------------
Mon Sep 25 19:47:20 CEST 2017 - jslaby@suse.cz
- x86/asm: Fix inline asm call constraints for Clang
(bnc#1058115).
- objtool: Handle another GCC stack pointer adjustment bug
(bnc#1058115).
- x86/asm: Fix inline asm call constraints for Clang
(bnc#1058115).
- objtool: Handle another GCC stack pointer adjustment bug
(bnc#1058115).
- commit 8e680d0
-------------------------------------------------------------------
Mon Sep 25 17:13:28 CEST 2017 - jslaby@suse.cz
- scripts/stableids: generate one file per commit
- commit c82ad0e
-------------------------------------------------------------------
Mon Sep 25 14:37:55 CEST 2017 - mkubecek@suse.cz
- 8139too: revisit napi_complete_done() usage (bsc#1042208).
- commit 99343bb
-------------------------------------------------------------------
Sun Sep 24 21:20:35 CEST 2017 - msuchanek@suse.de
- rpm/kernel-binary.spec.in: only rewrite modules.dep if non-zero in size
(bsc#1056979).
- commit 75691fd
-------------------------------------------------------------------
Fri Sep 22 16:57:13 CEST 2017 - jslaby@suse.cz
- crypto: x86/blowfish - Fix RBP usage (bnc#1058115).
- crypto: x86/camellia - Fix RBP usage (bnc#1058115).
- crypto: x86/cast5 - Fix RBP usage (bnc#1058115).
- crypto: x86/cast6 - Fix RBP usage (bnc#1058115).
- crypto: x86/des3_ede - Fix RBP usage (bnc#1058115).
- crypto: x86/sha1-avx2 - Fix RBP usage (bnc#1058115).
- crypto: x86/sha1-ssse3 - Fix RBP usage (bnc#1058115).
- crypto: x86/sha256-avx - Fix RBP usage (bnc#1058115).
- crypto: x86/sha256-avx2 - Fix RBP usage (bnc#1058115).
- crypto: x86/sha256-ssse3 - Fix RBP usage (bnc#1058115).
- crypto: sha512-avx2 - Fix RBP usage (bnc#1058115).
- crypto: x86/twofish - Fix RBP usage (bnc#1058115).
Update upstream status.
- commit e890e3e
-------------------------------------------------------------------
Thu Sep 21 11:42:07 CEST 2017 - jeffm@suse.com
- drm/tegra: trace: Fix path to include (build fix).
- commit aecd9be
-------------------------------------------------------------------
Wed Sep 20 17:44:22 CEST 2017 - afaerber@suse.de
- config: armv7hl: Update to 4.14-rc1
- commit 9d284f8
-------------------------------------------------------------------
Wed Sep 20 17:29:24 CEST 2017 - afaerber@suse.de
- config: armv6hl: Update to 4.14-rc1
- commit 0c2764f
-------------------------------------------------------------------
Wed Sep 20 17:12:26 CEST 2017 - afaerber@suse.de
- config: arm64: Update to 4.14-rc1
- commit d6909a3
-------------------------------------------------------------------
Wed Sep 20 16:34:08 CEST 2017 - jeffm@suse.com
- Revert "KVM: Don't accept obviously wrong gsi values via
KVM_IRQFD" (build fix).
- commit f436aa0
-------------------------------------------------------------------
Wed Sep 20 16:10:00 CEST 2017 - mcgrof@suse.com
- nl80211: check for the required netlink attributes presence
(bsc#1058410 CVE-2017-12153).
- commit 8afa5c8
-------------------------------------------------------------------
Wed Sep 20 16:04:44 CEST 2017 - mcgrof@suse.com
- nl80211: check for the required netlink attributes presence
(bsc#1058410 CVE-2017-12153).
- commit 6d93561
-------------------------------------------------------------------
Wed Sep 20 10:49:56 CEST 2017 - tiwai@suse.de
- qxl: fix pinning (bsc#1057241,bsc#1057123).
- qxl: fix primary surface handling (bsc#1057241,bsc#1057123).
- commit b3d7f33
-------------------------------------------------------------------
Wed Sep 20 08:43:24 CEST 2017 - jslaby@suse.cz
- Linux 4.13.3 (bnc#1012628).
- Refresh
patches.suse/0001-x86-entry-64-Refactor-IRQ-stacks-and-make-them-NMI-s.patch.
- commit 76ecbd3
-------------------------------------------------------------------
Wed Sep 20 00:54:10 CEST 2017 - lduncan@suse.com
- Fix incorrect backport of compatibility patch (bsc#1053501)
This fixes commit fe56e414dcf9, which incorrectly placed
the back-ported macros in libc-compat.h in the wrong place.
It is important for __UAPI_DEF_IOVEC to be defined
correctly with and without GLIBC being defined.
- commit 102e6e3
-------------------------------------------------------------------
Tue Sep 19 16:07:16 CEST 2017 - jslaby@suse.cz
- objtool: Fix object file corruption (bnc#1058115).
- objtool: Do not retrieve data from empty sections (bnc#1058115).
- objtool: Fix memory leak in elf_create_rela_section()
(bnc#1058115).
- objtool: Fix object file corruption (bnc#1058115).
- objtool: Do not retrieve data from empty sections (bnc#1058115).
- objtool: Fix memory leak in elf_create_rela_section()
(bnc#1058115).
- commit 5a29d69
-------------------------------------------------------------------
Tue Sep 19 12:52:31 CEST 2017 - jslaby@suse.cz
- x86/crypto: Fix RBP usage in twofish-avx-x86_64-asm_64.S
(bnc#1058115).
- x86/crypto: Fix RBP usage in sha512-avx2-asm.S (bnc#1058115).
- x86/crypto: Fix RBP usage in sha256-ssse3-asm.S (bnc#1058115).
- x86/crypto: Fix RBP usage in sha256-avx2-asm.S (bnc#1058115).
- x86/crypto: Fix RBP usage in sha256-avx-asm.S (bnc#1058115).
- x86/crypto: Fix RBP usage in sha1_ssse3_asm.S (bnc#1058115).
- x86/crypto: Fix RBP usage in sha1_avx2_x86_64_asm.S
(bnc#1058115).
- x86/crypto: Fix RBP usage in des3_ede-asm_64.S (bnc#1058115).
- x86/crypto: Fix RBP usage in cast6-avx-x86_64-asm_64.S
(bnc#1058115).
- x86/crypto: Fix RBP usage in cast5-avx-x86_64-asm_64.S
(bnc#1058115).
- x86/crypto: Fix RBP usage in camellia-x86_64-asm_64.S
(bnc#1058115).
- x86/crypto: Fix RBP usage in blowfish-x86_64-asm_64.S
(bnc#1058115).
- x86/crypto: Fix RBP usage in twofish-avx-x86_64-asm_64.S
(bnc#1058115).
- x86/crypto: Fix RBP usage in sha512-avx2-asm.S (bnc#1058115).
- x86/crypto: Fix RBP usage in sha256-ssse3-asm.S (bnc#1058115).
- x86/crypto: Fix RBP usage in sha256-avx2-asm.S (bnc#1058115).
- x86/crypto: Fix RBP usage in sha256-avx-asm.S (bnc#1058115).
- x86/crypto: Fix RBP usage in sha1_ssse3_asm.S (bnc#1058115).
- x86/crypto: Fix RBP usage in sha1_avx2_x86_64_asm.S
(bnc#1058115).
- x86/crypto: Fix RBP usage in des3_ede-asm_64.S (bnc#1058115).
- x86/crypto: Fix RBP usage in cast6-avx-x86_64-asm_64.S
(bnc#1058115).
- x86/crypto: Fix RBP usage in cast5-avx-x86_64-asm_64.S
(bnc#1058115).
- x86/crypto: Fix RBP usage in camellia-x86_64-asm_64.S
(bnc#1058115).
- x86/crypto: Fix RBP usage in blowfish-x86_64-asm_64.S
(bnc#1058115).
- commit 38f3021
-------------------------------------------------------------------
Tue Sep 19 10:18:55 CEST 2017 - jeffm@suse.com
- Update to 4.14-rc1.
- Eliminated 17 patches.
- ARM configs need updating.
- Config changes:
- General:
- HMM_MIRROR=n
- DEVICE_PRIVATE=n
- DEVICE_PUBLIC=n
- SQUASHFS_ZSTD=y
- ZRAM_WRITEBACK=y
- x86:
- INTEL_RDT=y (renamed option)
- XEN_PVCALLS_BACKEND=y
- X86_5LEVEL=n (will only boot on systems that support it)
- AMD_MEM_ENCRYPT=y
- AMD_MEM_ENCRYPT_ACTIVE_BY_DEFAULT=n
- ppc:
- PPC_MEMTRACE=y
- PPC_VAS=y
- s390:
- CMA_DEBUG=n
- CMA_DEBUGFS=n
- CMA_AREAS=7 (default)
- DMA_CMA=n
- VMCP_CMA_SIZE=4 (default)
- Netfilter:
- NFT_FIB_NETDEV
- Hyperv:
- HYPERV_VSOCKETS
- Network:
- NET_NSH
- BPF_STREAM_PARSER=y (build fix)
- BNXT_FLOWER_OFFLOAD=y
- HINIC
- MLX5_MPFS=y
- MLX5_ESWITCH=y
- RMNET=n
- ROCKCHIP_PHY
- WIL6210_DEBUGFS=n
- ATH10K_USB
- Bluetooth:
- BT_LEGACY_IOCTL=y (default)
- SPI:
- SPI_INTEL_SPI_PCI
- Misc:
- INPUT_PWM_VIBRA=m
- SERIO_GPIO_PS2=m
- PINCTRL_DENVERTON
- PINCTRL_LEWISBURG
- W1_SLAVE_DS2805
- BATTERY_BQ27XXX_HDQ=m
- BATTERY_MAX1721X
- SENSORS_IBM_CFFPS
- SENSORS_TPS53679
- CLOCK_THERMAL=y
- DEVFREQ_THERMAL=y
- MFD_BD9571MWV=n
- INTEL_SOC_PMIC_CHTWC=y
- MFD_TPS68470=n
- IR_GPIO_TX=n
- IR_PWM_TX=n
- DVB_DDBRIDGE
- DVB_DDBRIDGE_MSIENABLE=n
- TINYDRM_REPAPER=n
- TINYDRM_ST7586=n
- SND_SOC_CS43130=n
- SND_SOC_WM8524=n
- MMC_SPI
- LEDS_AS3645A=n
- LEDS_PCA955X_GPIO=y
- INFINIBAND_EXP_USER_ACCESS=y [?]
- CONFIG_ALTERA_MSGDMA
- R8822BE
- PI433=n
- CLK_HSDK=n
- EXTCON_USBC_CROS_EC
- DLN2_ADC=m
- LTC2471=n
- CCS811=n
- RESET_HSDK_V1=n
- FPGA_MGR_ALTERA_CVP=m
- FPGA_MGR_ALTERA_PS_SPI=m
- CRYPTO_DEV_SP_CCP=y
- I2C_CHT_WC=m
- RESET_ATTACK_MITIGATION=y
- commit 08ca987
-------------------------------------------------------------------
Tue Sep 19 08:45:19 CEST 2017 - jslaby@suse.cz
- Refresh
patches.suse/0001-objtool-Don-t-report-end-of-section-error-after-an-e.patch.
- Refresh
patches.suse/0002-x86-head-Remove-confusing-comment.patch.
- Refresh
patches.suse/0003-x86-head-Remove-unused-bad_address-code.patch.
- Refresh
patches.suse/0004-x86-head-Fix-head-ELF-function-annotations.patch.
- Refresh
patches.suse/0005-x86-boot-Annotate-verify_cpu-as-a-callable-function.patch.
- Refresh
patches.suse/0006-x86-xen-Fix-xen-head-ELF-annotations.patch.
- Refresh
patches.suse/0007-x86-xen-Add-unwind-hint-annotations.patch.
- Refresh
patches.suse/0008-x86-head-Add-unwind-hint-annotations.patch.
- Delete
patches.suse/0007-x86-xen-Add-unwind-hint-annotations-fix.patch.
Update to the submitted v2.
- commit 27de3c0
-------------------------------------------------------------------
Sun Sep 17 14:41:51 CEST 2017 - jdelvare@suse.de
- drm/amdgpu: revert tile table update for oland (boo#1027378,
boo#1039806, bko#194761).
- Delete
patches.fixes/drm-amdgpu-revert-update-tile-table-for-oland-hainan.patch.
- commit 51745cf
-------------------------------------------------------------------
Thu Sep 14 20:35:54 CEST 2017 - jslaby@suse.cz
- Linux 4.13.2 (bnc#1012628 bsc#1055826).
- Delete
patches.drivers/rt2800-fix-TX_PIN_CFG-setting-for-non-MT7620-chips.
- Delete
patches.fixes/Bluetooth-validate-output-buffer-length-for-config-r.patch.
- commit 96d9efa
-------------------------------------------------------------------
Wed Sep 13 20:50:54 CEST 2017 - tiwai@suse.de
- rpm/kernel-docs.spec.in: Fix a thinko for xmlto buildreq condition
- commit 0ef59d3
-------------------------------------------------------------------
Wed Sep 13 08:24:42 CEST 2017 - jslaby@suse.cz
- Bluetooth: validate output buffer length for config requests
and responses (bnc#1057389 CVE-2017-1000251).
- commit c0b7e1f
-------------------------------------------------------------------
Tue Sep 12 14:57:54 CEST 2017 - jslaby@suse.cz
- fix annotations of xen-head.S (bnc#1058115).
- commit d4c88a5
-------------------------------------------------------------------
Tue Sep 12 13:54:48 CEST 2017 - msuchanek@suse.de
- rpm/kernel-docs.spec.in: make unpack scripts executable
- commit 1ba3766
-------------------------------------------------------------------
Tue Sep 12 13:51:53 CEST 2017 - jslaby@suse.cz
- x86/head: Add unwind hint annotations (bnc#1058115).
- x86/xen: Add unwind hint annotations (bnc#1058115).
- x86/xen: Fix xen head ELF annotations (bnc#1058115).
- x86/boot: Annotate verify_cpu() as a callable function
(bnc#1058115).
- x86/head: Fix head ELF function annotations (bnc#1058115).
- x86/head: Remove unused 'bad_address' code (bnc#1058115).
- x86/head: Remove confusing comment (bnc#1058115).
- objtool: Don't report end of section error after an empty
unwind hint (bnc#1058115).
- commit 53af152
-------------------------------------------------------------------
Tue Sep 12 13:26:40 CEST 2017 - jslaby@suse.cz
- objtool: Assume unannotated UD2 instructions are dead ends
(bnc#1058115).
- objtool: Fix gcov check for older versions of GCC (bnc#1058115).
- objtool: Fix objtool fallthrough detection with function padding
(bnc#1058115).
- objtool: Fix validate_branch() return codes (bnc#1058115).
- objtool: Handle GCC stack pointer adjustment bug (bnc#1058115).
- x86/asm: Add ASM_UNREACHABLE (bnc#1058115).
- x86/asm: Fix UNWIND_HINT_REGS macro for older binutils
(bnc#1058115).
- x86/asm: Make objtool unreachable macros independent from GCC
version (bnc#1058115).
- objtool: Skip unreachable warnings for 'alt' instructions
(bnc#1058115).
- objtool: Track DRAP separately from callee-saved registers
(bnc#1058115).
- Refresh patches.suse/0001-x86-unwind-Add-the-ORC-unwinder.patch.
Take all ORC upstream patches. This will go to stable & SLE15 too.
- commit 831ca01
-------------------------------------------------------------------
Mon Sep 11 15:54:48 CEST 2017 - jslaby@suse.cz
- Refresh
patches.suse/0001-x86-entry-64-Refactor-IRQ-stacks-and-make-them-NMI-s.patch.
- Refresh patches.suse/0001-x86-unwind-Add-the-ORC-unwinder.patch.
- Refresh
patches.suse/0002-x86-entry-64-Initialize-the-top-of-the-IRQ-stack-bef.patch.
- Refresh
patches.suse/0002-x86-kconfig-Make-it-easier-to-switch-to-the-new-ORC-.patch.
- Refresh
patches.suse/0003-x86-dumpstack-Fix-occasionally-missing-registers.patch.
- Refresh
patches.suse/0003-x86-kconfig-Consolidate-unwinders-into-multiple-choi.patch.
- Refresh
patches.suse/0004-x86-dumpstack-Fix-interrupt-and-exception-stack-boun.patch.
- Refresh
patches.suse/0005-objtool-Add-ORC-unwind-table-generation.patch.
- Refresh
patches.suse/0006-objtool-x86-Add-facility-for-asm-code-to-provide-unw.patch.
- Refresh
patches.suse/0007-x86-entry-64-Add-unwind-hint-annotations.patch.
- Refresh
patches.suse/0008-x86-asm-Add-unwind-hint-annotations-to-sync_core.patch.
- Delete
patches.suse/0001-linkage-new-macros-for-assembler-symbols.patch.
- Delete
patches.suse/0003-DWARF-EH-frame-based-stack-unwinding.patch.
- Delete patches.suse/stack-unwind-disable-kasan.patch.
Update upstream status of ORC and drop already-disabled DWARF unwinder.
- commit 2e9b944
-------------------------------------------------------------------
Mon Sep 11 09:47:41 CEST 2017 - jslaby@suse.cz
- rpm/constraints.in: build ARM on at least 2 cpus
- commit b7edeaf
-------------------------------------------------------------------
Mon Sep 11 08:01:37 CEST 2017 - jslaby@suse.cz
- rpm/constraints.in: increase memory for kernel-syzkaller
And see if it helps. If so, push it to packaging...
- commit 7193e65
-------------------------------------------------------------------
Sun Sep 10 18:56:35 CEST 2017 - afaerber@suse.de
- config: arm64: Enable legacy instruction emulation (boo#1029158)
Needed for execution of older e.g. ARMv6 code.
- commit a4e05e8
-------------------------------------------------------------------
Sun Sep 10 17:13:16 CEST 2017 - afaerber@suse.de
- config: arm64: Enable ACPI_DOCK for consistency
- commit cec354f
-------------------------------------------------------------------
Sun Sep 10 17:12:20 CEST 2017 - afaerber@suse.de
- config: arm64: Enable some network options
- Marvell Armada 7K/8K Ethernet driver
- Microchip ENC28J60 and related SPI Ethernet drivers
- Micrel KS8851 SPI Ethernet driver
- MMIO MDIO mux driver
- commit cf926f4
-------------------------------------------------------------------
Sun Sep 10 09:41:36 CEST 2017 - jslaby@suse.cz
- rpm/constraints.in: set physical memory
Not memory.
- rpm/constraints.in: increase memory for kernel-syzkaller
And see if it helps. If so, push it to packaging...
- commit 0d725b5
-------------------------------------------------------------------
Sun Sep 10 08:45:43 CEST 2017 - jslaby@suse.cz
- Linux 4.13.1 (bnc#1012628).
- commit 8740849
-------------------------------------------------------------------
Fri Sep 8 13:19:18 CEST 2017 - jslaby@suse.cz
- rpm/kernel-binary.spec.in: package ftrace-mod.o on arm64
It is needed for building modules since 4.13:
CC [M] /suse/jslaby/a/aaa.o
Building modules, stage 2.
MODPOST 1 modules
CC /suse/jslaby/a/aaa.mod.o
LD [M] /suse/jslaby/a/aaa.ko
ld: cannot find ./arch/arm64/kernel/ftrace-mod.o: No such file or directory
...
- commit 07da115
-------------------------------------------------------------------
Thu Sep 7 18:00:00 CEST 2017 - tiwai@suse.de
- rpm/kernel-docs.spec.in: Expand kernel tree directly from sources (bsc#1057199)
- commit a61b4d9
-------------------------------------------------------------------
Thu Sep 7 09:47:10 CEST 2017 - jslaby@suse.cz
- Linux 4.12.11 (bnc#1012628).
- commit bf7fb08
-------------------------------------------------------------------
Wed Sep 6 23:51:29 CEST 2017 - mcgrof@suse.com
- supported.conf: add test_syctl to new kselftests-kmp package FATE#323821
As per FATE#323821 we will require new FATE requests per each
new selftest driver. We don't want to support these module on
production runs but we do want to support them for QA / testing
uses. The compromise is to package them into its own package,
this will be the kselftests-kmp package.
Selftests can also be used as proof of concept vehicle for issues
by customers or ourselves.
Vanilla kernels do not get test_sysctl given that driver was
using built-in defaults, this also means we cannot run sefltests
on config/s390x/zfcpdump which does not enable modules.
Likeweise, since we had to *change* the kernel for test_syctl, it
it also means we can't test test_syctl with vanilla kernels. It
should be possible with other selftests drivers if they are
present in vanilla kernels though.
- commit ae8069f
-------------------------------------------------------------------
Wed Sep 6 20:33:16 CEST 2017 - tiwai@suse.de
- rpm/kernel-docs.spec.in: Re-add xmlto buildreq conditionally for SLE15 & co
- commit 259b49e
-------------------------------------------------------------------
Wed Sep 6 13:18:40 CEST 2017 - mchandras@suse.de
- rpm/group-source-files.pl: Add arch/*/tools/* files to the devel package
Commit b71c9ffb1405 ("powerpc: Add arch/powerpc/tools directory")
introduced in v4.12-rc1 release, moved the scripts into the tools
directory. However, this location is not considered for the kernel devel
package and the following error occurs when building a kmp for powerpc
make[2]: /usr/src/linux-4.12.9-1/arch/powerpc/tools/gcc-check-mprofile-kernel.sh: Command not found
- commit 5f1ff53
-------------------------------------------------------------------
Tue Sep 5 20:41:12 CEST 2017 - jeffm@suse.com
- Update to 4.13-final.
- commit 3fdcb17
-------------------------------------------------------------------
Thu Aug 31 17:43:44 CEST 2017 - tiwai@suse.de
- rt2800: fix TX_PIN_CFG setting for non MT7620 chips
(bsc#1055826).
- commit 8116757
-------------------------------------------------------------------
Thu Aug 31 16:24:01 CEST 2017 - jeffm@suse.com
- Update to 4.13-rc7.
- Eliminate 2 patches.
- commit dd00417
-------------------------------------------------------------------
Thu Aug 31 15:35:10 CEST 2017 - jthumshirn@suse.de
- scsi: qla2xxx: Fix an integer overflow in sysfs code
(bsc#1056588, CVE-2017-14051).
- commit 4fafb75
-------------------------------------------------------------------
Thu Aug 31 15:32:51 CEST 2017 - jthumshirn@suse.de
- scsi: qla2xxx: Fix an integer overflow in sysfs code
(bsc#1056588, CVE-2017-14051).
- commit aacb454
-------------------------------------------------------------------
Wed Aug 30 22:10:03 CEST 2017 - jslaby@suse.cz
- Linux 4.12.10 (bnc#1012628 bnc#1014351 bnc#1048935 bsc#1020657
bsc#1050582).
- Delete
patches.drivers/ALSA-hda-Add-stereo-mic-quirk-for-Lenovo-G50-70-17aa.
- Delete
patches.fixes/Bluetooth-hidp-fix-possible-might-sleep-error-in-hid.patch.
- Delete
patches.fixes/Input-ALPS-Fix-Alps-Touchpad-two-finger-scroll-does-.
- Delete
patches.fixes/netfilter-expect-fix-crash-when-putting-uninited-exp.patch.
- commit 030062c
-------------------------------------------------------------------
Tue Aug 29 16:03:12 CEST 2017 - tiwai@suse.de
- Refresh patches.suse/iwlwifi-expose-default-fallback-ucode-api.
The latest firmware matches for iwl7xxx/3168 series, so dropped the changes.
- commit 1447668
-------------------------------------------------------------------
Tue Aug 29 12:02:37 CEST 2017 - tiwai@suse.de
- Update config files: enable CONFIG_SPI_PXA2XX for MacBook (bsc#1055817)
- commit 3ce18e9
-------------------------------------------------------------------
Mon Aug 28 14:58:26 CEST 2017 - tiwai@suse.de
- rpm/kernel-binary.spec.in: Update drm-kmp obsolete for SLE12-SP3/Leap-42.3
- commit 77ccbd0
-------------------------------------------------------------------
Mon Aug 28 10:58:58 CEST 2017 - tiwai@suse.de
- Refresh patch tags of patches.fixes/Input-ALPS-Fix-Alps-Touchpad-two-finger-scroll-does-
- commit 0b3ef4c
-------------------------------------------------------------------
Mon Aug 28 10:48:06 CEST 2017 - tiwai@suse.de
- rpm/kernel-docs.spec.in: Disable PDF build again
... due to the breakage with the recent TeXLive 2017.
Also add the missing dependency on texlive-varwidth.
- commit 9f682b5
-------------------------------------------------------------------
Fri Aug 25 09:00:32 CEST 2017 - jslaby@suse.cz
- Linux 4.12.9 (bnc#1012628 bsc#1052580 bnc#1049599).
- Delete
patches.drivers/ALSA-usb-audio-Apply-sample-rate-quirk-to-Sennheiser.
- Delete patches.fixes/debug-fix-WARN_ON_ONCE-for-modules.patch.
- Update config files.
- commit f2ab6ba
-------------------------------------------------------------------
Wed Aug 23 09:39:35 CEST 2017 - tiwai@suse.de
- ALSA: hda - Add stereo mic quirk for Lenovo G50-70 (17aa:3978)
(bsc#1020657).
- commit 3f6a0b2
-------------------------------------------------------------------
Wed Aug 23 09:36:44 CEST 2017 - tiwai@suse.de
- ALSA: hda - Add stereo mic quirk for Lenovo G50-70 (17aa:3978)
(bsc#1020657).
- commit d300598
-------------------------------------------------------------------
Wed Aug 23 09:11:31 CEST 2017 - jslaby@suse.cz
- Bluetooth: hidp: fix possible might sleep error in
hidp_session_thread (bnc#1014351).
- commit fa3a4f0
-------------------------------------------------------------------
Tue Aug 22 20:13:09 CEST 2017 - tiwai@suse.de
- ALSA: ice1712: Add support for STAudio ADCIII (bsc#1048934).
- commit 99a99ef
-------------------------------------------------------------------
Tue Aug 22 20:12:04 CEST 2017 - tiwai@suse.de
- ALSA: ice1712: Add support for STAudio ADCIII (bsc#1048934).
- commit 53a20a8
-------------------------------------------------------------------
Tue Aug 22 19:55:58 CEST 2017 - tiwai@suse.de
- ALSA: hda - Implement mic-mute LED mode enum (bsc#1055013).
- commit a3c362f
-------------------------------------------------------------------
Tue Aug 22 19:53:54 CEST 2017 - tiwai@suse.de
- ALSA: hda - Implement mic-mute LED mode enum (bsc#1055013).
- commit b870486
-------------------------------------------------------------------
Mon Aug 21 04:15:39 CEST 2017 - jeffm@suse.com
- Update to 4.13-rc6.
- commit ee50b89
-------------------------------------------------------------------
Fri Aug 18 16:14:38 CEST 2017 - msuchanek@suse.de
- Do not ship firmware (bsc#1054239).
Pull firmware from kernel-firmware instead.
- commit 0b0b5c9
-------------------------------------------------------------------
Thu Aug 17 14:56:01 CEST 2017 - jeffm@suse.com
- supported.conf: clear mistaken external support flag for cifs.ko (bsc#1053802).
- commit 75e287e
-------------------------------------------------------------------
Thu Aug 17 14:55:42 CEST 2017 - jeffm@suse.com
- supported.conf: mark reiserfs unsupported (FATE#323394).
ReiserFS is not supported in SLE15. ReiserFS file systems must be
transferred or converted before installing.
- commit 8a547c5
-------------------------------------------------------------------
Thu Aug 17 14:55:28 CEST 2017 - jeffm@suse.com
- Update to 4.13-rc5.
- commit c3fb699
-------------------------------------------------------------------
Thu Aug 17 07:30:12 CEST 2017 - jslaby@suse.cz
- Linux 4.12.8 (bnc#1012628).
- commit 4d7933a
-------------------------------------------------------------------
Wed Aug 16 14:05:44 CEST 2017 - msuchanek@suse.de
- s390: export symbols for crash-kmp (bsc#1053915).
- commit 7dd9b75
-------------------------------------------------------------------
Tue Aug 15 13:41:13 CEST 2017 - hare@suse.de
- Delete patches.fixes/scsi-dh-queuedata-accessors.
- commit ee998ab
-------------------------------------------------------------------
Tue Aug 15 13:34:33 CEST 2017 - hare@suse.de
- Delete patches.suse/dm-mpath-detach-existing-hardware-handler.
- commit a8291cd
-------------------------------------------------------------------
Tue Aug 15 13:33:10 CEST 2017 - hare@suse.de
- Delete patches.suse/no-partition-scan (FATE#323406)
- commit e1cccca
-------------------------------------------------------------------
Tue Aug 15 09:17:14 CEST 2017 - tiwai@suse.de
- ALSA: usb-audio: Apply sample rate quirk to Sennheiser headset
(bsc#1052580).
- commit a8251af
-------------------------------------------------------------------
Sun Aug 13 10:27:21 CEST 2017 - jslaby@suse.cz
- Linux 4.12.7 (bnc#1012628).
- commit 7dae241
-------------------------------------------------------------------
Sun Aug 13 09:33:59 CEST 2017 - jslaby@suse.cz
- Refresh
patches.fixes/netfilter-expect-fix-crash-when-putting-uninited-exp.patch.
Update upstream status.
- commit cdb9f49
-------------------------------------------------------------------
Sat Aug 12 10:18:48 CEST 2017 - jslaby@suse.cz
- Linux 4.12.6 (CVE-2017-7542 CVE-2017-8831 bnc#1012628
bsc#1037994 bsc#1049882).
- Delete
patches.fixes/ipv6-avoid-overflow-of-offset-in-ip6_find_1stfragopt.patch.
- Delete
patches.fixes/media-saa7164-fix-double-fetch-PCIe-access-condition.
- commit 29b7412
-------------------------------------------------------------------
Sat Aug 12 01:19:37 CEST 2017 - lduncan@suse.com
- uapi: add a compatibility layer between linux/uio.h and glibc
(bsc#1053501).
- commit fe56e41
-------------------------------------------------------------------
Thu Aug 10 17:07:43 CEST 2017 - msuchanek@suse.de
- Refresh vanilla config.
- commit ae18928
-------------------------------------------------------------------
Thu Aug 10 15:54:22 CEST 2017 - msuchanek@suse.de
- rpm/modules.fips include module list from dracut
- commit f70bab5
-------------------------------------------------------------------
Wed Aug 9 10:35:04 CEST 2017 - ohering@suse.de
- Delete stale patches.fixes/scsi-ibmvscsi-module_alias.patch.
- Delete stale patches.suse/suse-ppc64-branding.
- commit 1c8934b
-------------------------------------------------------------------
Wed Aug 9 09:49:34 CEST 2017 - tiwai@suse.de
- [media] saa7164: fix double fetch PCIe access condition
(CVE-2017-8831 bsc#1037994).
- commit 4d38c27
-------------------------------------------------------------------
Tue Aug 8 05:07:56 CEST 2017 - jeffm@suse.com
- Update to 4.13-rc4.
- commit 94b098f
-------------------------------------------------------------------
Mon Aug 7 08:29:23 CEST 2017 - jslaby@suse.cz
- Linux 4.12.5 (bnc#1012628 bsc#1049483 bsc#1049599).
- Delete patches.fixes/dentry-name-snapshots.patch.
- Delete
patches.suse/0001-md-remove-idx-from-struct-resync_pages.patch.
- commit e6109ef
-------------------------------------------------------------------
Wed Aug 2 15:44:30 CEST 2017 - tiwai@suse.de
- rpm/kernel-docs.spec.in: Fix package list and enable building PDFs
Finally it works! Added some missing pieces (ImageMagick, some texlive
subpkgs) in addition to use explicitly python3-Sphinx stuff.
- commit c117a0c
-------------------------------------------------------------------
Tue Aug 1 13:26:15 CEST 2017 - agraf@suse.de
- Clean up upstreamed patches list. Annotate the remaining ones
with their current status.
- Delete patches.arch/arm-exynos-dwmmc-modalias.patch.
- Delete
patches.arch/arm64-Relocate-screen_info.lfb_base-on-PCI-BAR-alloc.patch.
- commit 3f654d5
-------------------------------------------------------------------
Mon Jul 31 15:43:01 CEST 2017 - jeffm@suse.com
- Update to 4.13-rc3.
- commit 4ef483a
-------------------------------------------------------------------
Fri Jul 28 07:45:21 CEST 2017 - jslaby@suse.cz
- Update config files.
Fix vanillas after the orc update.
- commit 2a27bf2
-------------------------------------------------------------------
Fri Jul 28 07:41:29 CEST 2017 - jslaby@suse.cz
- Linux 4.12.4 (bnc#1012628).
- commit f2e2c0a
-------------------------------------------------------------------
Thu Jul 27 14:57:47 CEST 2017 - mkubecek@suse.cz
- ipv6: avoid overflow of offset in ip6_find_1stfragopt
(CVE-2017-7542 bsc#1049882).
- commit 00c5961
-------------------------------------------------------------------
Thu Jul 27 09:12:00 CEST 2017 - jslaby@suse.cz
- Refresh
patches.suse/0001-md-remove-idx-from-struct-resync_pages.patch.
- commit b11fd5b
-------------------------------------------------------------------
Thu Jul 27 07:46:03 CEST 2017 - jslaby@suse.cz
- objtool: Fix sibling call detection logic (bnc#1018348).
- x86/kconfig: Make it easier to switch to the new ORC unwinder
(bnc#1018348).
- x86/kconfig: Consolidate unwinders into multiple choice
selection (bnc#1018348).
- Refresh
- Refresh patches.suse/0001-x86-unwind-Add-the-ORC-unwinder.patch.
- Refresh
patches.suse/0002-dwarf-do-not-throw-away-unwind-info.patch.
- Refresh
patches.suse/0002-objtool-x86-Add-several-functions-and-files-to-the-o.patch.
- Refresh
patches.suse/0002-x86-entry-64-Initialize-the-top-of-the-IRQ-stack-bef.patch.
- Refresh
patches.suse/0002-x86-kconfig-Make-it-easier-to-switch-to-the-new-ORC-.patch.
- Refresh
patches.suse/0003-objtool-Implement-stack-validation-2.0.patch.
- Refresh
patches.suse/0003-x86-kconfig-Consolidate-unwinders-into-multiple-choi.patch.
- Refresh
patches.suse/0007-x86-entry-64-Add-unwind-hint-annotations.patch.
- Refresh
patches.suse/0008-x86-asm-Add-unwind-hint-annotations-to-sync_core.patch.
- Update config files.
Update to version from -tip. ORC is in -tip completely. So make sure
we use the upstream version.
- x86/kconfig: Make it easier to switch to the new ORC unwinder
(bnc#1018348).
- x86/kconfig: Consolidate unwinders into multiple choice
selection (bnc#1018348).
- Update config files.
- Refresh
patches.suse/0001-x86-entry-64-Refactor-IRQ-stacks-and-make-them-NMI-s.patch.
- Refresh
patches.suse/0002-dwarf-do-not-throw-away-unwind-info.patch.
- Refresh
patches.suse/0002-x86-entry-64-Initialize-the-top-of-the-IRQ-stack-bef.patch.
Update to version from -tip. ORC is in -tip completely. So make sure
we use the upstream version.
- commit 34dd0f5
-------------------------------------------------------------------
Wed Jul 26 21:57:19 CEST 2017 - mcgrof@suse.com
- Refresh
patches.suse/0001-mm-kmemleak-slightly-reduce-the-size-of-some-structu.patch.
- Refresh
patches.suse/0002-mm-kmemleak-factor-object-reference-updating-out-of-.patch.
- Refresh
patches.suse/0003-mm-kmemleak-treat-vm_struct-as-alternative-reference.patch.
- commit f7ebe40
-------------------------------------------------------------------
Wed Jul 26 21:46:06 CEST 2017 - mcgrof@suse.com
- Refresh
patches.suse/0001-mm-kmemleak-slightly-reduce-the-size-of-some-structu.patch.
- Refresh
patches.suse/0002-mm-kmemleak-factor-object-reference-updating-out-of-.patch.
- Refresh
patches.suse/0003-mm-kmemleak-treat-vm_struct-as-alternative-reference.patch.
- commit eb41516
-------------------------------------------------------------------
Wed Jul 26 19:55:58 CEST 2017 - jeffm@suse.com
- Update to 4.13-rc2.
- Eliminated 1 patch.
- Config changes:
- DRM_VBOXVIDEO=m
- commit b545b87
-------------------------------------------------------------------
Wed Jul 26 09:04:59 CEST 2017 - tiwai@suse.de
- Input: ALPS - Fix Alps Touchpad two finger scroll does not
work on right side (bsc#1050582).
- commit 474729b
-------------------------------------------------------------------
Sun Jul 23 19:42:01 CEST 2017 - stefan.bruens@rwth-aachen.de
- config: arm64: Enable RTC and SPI on Allwinner A64/H5
A64 and H5 share the RTC and SPI functional blocks with older SoCs,
enable the corresponding drivers.
- commit b5bf58a
-------------------------------------------------------------------
Fri Jul 21 20:37:00 CEST 2017 - colyli@suse.de
- md: remove 'idx' from 'struct resync_pages' (bsc#1049599).
- commit 515f14e
-------------------------------------------------------------------
Fri Jul 21 11:07:14 CEST 2017 - jslaby@suse.cz
- Linux 4.12.3 (CVE-2017-7541 bnc#1012628 bsc#1049645).
- Refresh patches.suse/pstore-backend-autoaction.
- Delete
patches.fixes/brcmfmac-fix-possible-buffer-overflow-in-brcmf_cfg80.
- commit 409edbf
-------------------------------------------------------------------
Thu Jul 20 18:22:23 CEST 2017 - jack@suse.cz
- dentry name snapshots (bsc#1049483).
- commit 76ea0ca
-------------------------------------------------------------------
Thu Jul 20 14:40:22 CEST 2017 - jslaby@suse.cz
- debug: fix WARN_ON_ONCE() for modules (bnc#1049599).
- commit b1e9bab
-------------------------------------------------------------------
Thu Jul 20 12:50:11 CEST 2017 - tiwai@suse.de
- brcmfmac: fix possible buffer overflow in
brcmf_cfg80211_mgmt_tx() (CVE-2017-7541,bsc#1049645).
- commit 823a643
-------------------------------------------------------------------
Wed Jul 19 22:39:56 CEST 2017 - mmarek@suse.cz
- rpm/kernel-binary.spec.in: find-debuginfo.sh should not touch build-id
This needs rpm-4.14+ (bsc#964063).
- commit f622d60
-------------------------------------------------------------------
Tue Jul 18 16:16:05 CEST 2017 - afaerber@suse.de
- config: armv7hl: Update to v4.13-rc1
- commit 1d72b01
-------------------------------------------------------------------
Tue Jul 18 15:58:06 CEST 2017 - afaerber@suse.de
- config: armv6hl: Update to v4.13-rc1
- commit 5ede81f
-------------------------------------------------------------------
Tue Jul 18 15:37:26 CEST 2017 - afaerber@suse.de
- config: arm64: Update to v4.13-rc1
- commit 7d005f8
-------------------------------------------------------------------
Tue Jul 18 14:48:23 CEST 2017 - jslaby@suse.cz
- Refresh
patches.suse/0001-x86-entry-64-Refactor-IRQ-stacks-and-make-them-NMI-s.patch.
- Refresh
patches.suse/0002-x86-entry-64-Initialize-the-top-of-the-IRQ-stack-bef.patch.
- Refresh
patches.suse/0003-x86-dumpstack-fix-occasionally-missing-registers.patch.
- Refresh
patches.suse/0004-x86-dumpstack-fix-interrupt-and-exception-stack-boun.patch.
- Refresh
patches.suse/0005-objtool-add-ORC-unwind-table-generation.patch.
- Refresh
patches.suse/0006-objtool-x86-add-facility-for-asm-code-to-provide-unw.patch.
- Refresh
patches.suse/0007-x86-entry-64-add-unwind-hint-annotations.patch.
- Refresh
patches.suse/0008-x86-asm-add-unwind-hint-annotations-to-sync_core.patch.
Update upstream information.
- commit 8db850a
-------------------------------------------------------------------
Tue Jul 18 12:40:05 CEST 2017 - tiwai@suse.de
- Delete patches.fixes/drm-i915-Fix-S4-resume-breakage.
The workaround wasn't merged to upstream, and it seems becoming
superfluous with the recent i915 driver, so let's drop this one.
- commit f6f228c
-------------------------------------------------------------------
Tue Jul 18 11:52:45 CEST 2017 - tiwai@suse.de
- rpm/kernel-docs.spec.in: Drop unnecessary xmlto for 4.13+
- commit e47dc84
-------------------------------------------------------------------
Tue Jul 18 11:52:08 CEST 2017 - tiwai@suse.de
- rpm/kernel-docs.spec.in: Correct kernel-docs package summary/description
- commit 697b763
-------------------------------------------------------------------
Tue Jul 18 11:45:39 CEST 2017 - tiwai@suse.de
- rpm/kernel-docs.spec.in: refresh dependencies for PDF build (bsc#1048129)
But it still doesn't work with Tex Live 2017, thus disabled yet.
Also add texlive-anyfontsize for HTML math handling.
- commit ead44a1
-------------------------------------------------------------------
Tue Jul 18 08:07:50 CEST 2017 - jslaby@suse.cz
- Delete patches.rpmify/drm-i915-disable-KASAN-for-handlers.patch.
It was never accepted, is under discussion. But we disabled
CONFIG_DRM_I915_WERROR in commit 5fc7b327348b, so we are safe anyway.
- commit 09fc05f
-------------------------------------------------------------------
Tue Jul 18 07:49:30 CEST 2017 - jslaby@suse.cz
- Delete patches.rpmify/get_builtin_firmware-gcc-7.patch.
This was never accepted. Instead this seems to be fixed in gcc.
- commit c486b10
-------------------------------------------------------------------
Mon Jul 17 23:39:36 CEST 2017 - jeffm@suse.com
- Updated to 4.13-rc1.
- Eliminated 13 patches.
- ARM configs need updating.
- Config changes:
- General:
- SLAB_MERGE_DEFAULT=y (current behavior)
- REFCOUNT_FULL=n (current behavior)
- PERCPU_STATS=n (default)
- FORTIFY_SOURCE=n (needs performance analysis)
- WARN_ALL_UNSEEDED_RANDOM=n
- TRACE_EVAL_MAP_FILE=n
- TEST_SYSCTL=n
- TEST_KMOD=n
- Storage:
- DM_ZONED=m
- IB:
- Options for MLX5:
- MLX5_FPGA
- MLX5_EN_IPSEC=y
- MLXFW=m
- SECURITY_INFINIBAND=y
- Network:
- Options for NFP:
- NFP_APP_FLOWER=y
- CORTINA_PHY=n (intended for embedded apps)
- ATH10K_SDIO=m
- QTNFMAC_PEARL_PCIE=m
- DRM:
- DRM_I915_SW_FENCE_CHECK_DAG=n
- Sound:
- SND_SOC_INTEL_BYT_CHT_ES8316_MACH=m
- SND_SOC_INTEL_KBL_RT5663_MAX98927_MACH=m
- SND_SOC_INTEL_KBL_RT5663_RT5514_MAX98927_MACH=m
- SND_SOC_ZX_AUD96P22=n
- HID:
- HID_ITE=n
- HID_RETRODE=m
- USB:
- TYPEC_UCSI=m
- UCSI_ACPI=m
- Misc:
- RTC_NVMEM=y
- RTC_DRV_DS3232_HWMON=y
- WMI_BMOF=m
- PEAQ_WMI=m
- INTEL_INT0002_VGPIO=m
- CROS_EC_LPC_MEC=y
- EXTCON_INTEL_CHT_WC=m
- NTB_IDT=m
- MULTIPLEXER=m
- KEYBOARD_DLINK_DIR685=n
- TOUCHSCREEN_STMFTS=n
- I2C_DESIGNWARE_SLAVE=n
- SPI_SLAVE=n
- PINCTRL_MCP23S08=n
- PINCTRL_CANNONLAKE=m
- GPIO_XRA1403=n
- BATTERY_BQ27XXX_DT_UPDATES_NVM=n
- CHARGER_LTC3651=n
- SENSORS_IR35221=n
- WATCHDOG_HANDLE_BOOT_ENABLED=y (default)
- File Systems:
- OVERLAY_FS_INDEX=n (mounting on an older kernel read-write will cause unexpected results)
- CIFS_DEBUG_DUMP_KEYS=n
- Crypto:
- CRC4=m
- X86:
- INTEL_SOC_PMIC_CHTWC=m
- CHT_WC_PMIC_OPREGION=y
- ppc64/ppc64le:
- CONFIG_IRQ_TIME_ACCOUNTING=n
- CONFIG_LD_HEAD_STUB_CATCH=n
- ZONE_DEVICE=y
- ppc64le:
- STRICT_KERNEL_RWX=y
- s390x:
- CRYPTO_PAES_S390=m
- commit d418532
-------------------------------------------------------------------
Mon Jul 17 23:29:55 CEST 2017 - jeffm@suse.com
- Delete patches.drivers/ppc64-adb.
This hardware was discontinued in 2006 and the patch was never accepted
upstream.
- commit 995698b
-------------------------------------------------------------------
Mon Jul 17 23:28:26 CEST 2017 - jeffm@suse.com
- Delete patches.arch/ppc-prom-nodisplay.patch.
We no longer support 32-bit ppc and this hardware only existed with a
32-bit CPU.
- commit d94ed1e
-------------------------------------------------------------------
Mon Jul 17 23:25:27 CEST 2017 - jeffm@suse.com
- Delete patches.arch/ppc-pegasos-console-autodetection.patch.
We no longer support 32-bit ppc and this hardware only existed with a
32-bit CPU.
- commit b42ddc6
-------------------------------------------------------------------
Mon Jul 17 23:23:25 CEST 2017 - jeffm@suse.com
- Delete patches.suse/ppc-powerbook-usb-fn-key-default.patch.
We no longer support 32-bit ppc and there were no 64-bit powerbooks.
- commit 9e9a512
-------------------------------------------------------------------
Mon Jul 17 18:04:45 CEST 2017 - jeffm@suse.com
- Disable patches.suse/pstore-backend-autoaction.
It needs updating and there now exists a mount option instead of a module
parameter.
- commit 87a5ab7
-------------------------------------------------------------------
Mon Jul 17 18:04:00 CEST 2017 - jeffm@suse.com
- Delete patches.fixes/block-copy-bi_vcnt-in-_bio_clone_fast.
This was obsoleted by 764f612c6c3c ("blk-merge: don't compute
bi_phys_segments from bi_vcnt for cloned bio").
- commit f0c2642
-------------------------------------------------------------------
Mon Jul 17 17:23:55 CEST 2017 - jslaby@suse.cz
- netfilter: expect: fix crash when putting uninited expectation
(bnc#1048935).
- netfilter: expect: fix crash when putting uninited expectation
(bnc#1048935).
- Delete
patches.fixes/netfilter-nf_ct_expect-fix-expect-removal.patch.
Replace by upstream fix (from a subsys repo).
- commit cc9efac
-------------------------------------------------------------------
Mon Jul 17 17:09:40 CEST 2017 - jslaby@suse.cz
- netfilter: nf_ct_expect: fix expect removal (bnc#1048935).
- commit 28fe876
-------------------------------------------------------------------
Mon Jul 17 11:34:30 CEST 2017 - mmarek@suse.cz
- Drop multiversion(kernel) from the KMP template (fate#323189)
- commit 71504d8
-------------------------------------------------------------------
Tue Jul 11 10:20:24 CEST 2017 - tiwai@suse.de
- rpm/kernel-docs.spec.in: Fix and cleanup for 4.13 doc build (bsc#1048129)
The whole DocBook stuff has been deleted. The PDF build still non-working
thus the sub-packaging disabled so far.
- commit 8e7de10
-------------------------------------------------------------------
Mon Jun 19 22:50:59 CEST 2017 - mcgrof@suse.com
- mm: kmemleak: treat vm_struct as alternative reference to vmalloc'ed objects (bsc#1027456).
- mm: kmemleak: factor object reference updating out of scan_block() (bsc#1027456).
- mm: kmemleak: slightly reduce the size of some structures on 64-bit architectures (bsc#1027456).
- commit 880dbd7
-------------------------------------------------------------------
Fri Jun 2 19:28:12 CEST 2017 - afaerber@suse.de
- rpm/dtb.spec.in.in: Fix new include path
Commit 89de3db69113d58cdab14d2c777de6080eac49dc ("rpm/dtb.spec.in.in:
Update include path for dt-bindings") introduced an additional include
path for 4.12. The commit message had it correct, but the spec file
template lacked a path component, breaking the aarch64 build while
succeeding on armv7hl. Fix that.
- commit c8d853a
-------------------------------------------------------------------
Wed May 31 14:43:33 CEST 2017 - afaerber@suse.de
- rpm/dtb.spec.in.in: Update include path for dt-bindings
Kernels before 4.12 had arch/{arm,arm64}/boot/dts/include/ directories
with a symlink to include/dt-bindings/.
In 4.12 those include/ directories were dropped.
Therefore use include/ directly.
Additionally some cross-architecture .dtsi reuse was introduced, which
requires scripts/dtc/include-prefixes/ that didn't exist on older kernels.
- commit 466f108
-------------------------------------------------------------------
Fri Jan 6 13:39:12 CET 2017 - afaerber@suse.de
- rpm: Add arm64 dtb-zte subpackage
4.9 added arch/arm64/boot/dts/zte/.
- commit 073d831
-------------------------------------------------------------------
Fri Jan 6 12:46:50 CET 2017 - afaerber@suse.de
- rpm: Add arm64 dtb-allwinner subpackage
4.10 added arch/arm64/boot/dts/allwinner/.
- commit dfeb94a
-------------------------------------------------------------------
Tue Dec 20 22:04:04 CET 2016 - xxxxxmichl@googlemail.com
- added De0-Nanos-SoC board support (and others based on Altera SOC).
- commit 9278339
-------------------------------------------------------------------
Tue Apr 26 17:28:19 CEST 2016 - mmarek@suse.cz
- Drop sysctl files for dropped archs, add ppc64le and arm (bsc#1178838).
- commit 87cd715