Accepting request 968013 from home:AndreasStieger:branches:devel:libraries:c_c++

libunistring 1.0

OBS-URL: https://build.opensuse.org/request/show/968013
OBS-URL: https://build.opensuse.org/package/show/devel:libraries:c_c++/libunistring?expand=0&rev=48
This commit is contained in:
Petr Gajdos 2022-04-11 18:19:23 +00:00 committed by Git OBS Bridge
parent 2ff4de1d56
commit 55912fff8c
8 changed files with 99 additions and 868 deletions

View File

@ -1,195 +0,0 @@
diff --git a/tests/Makefile.in b/tests/Makefile.in
index 4e4eb0b..6c9b7b8 100644
--- a/tests/Makefile.in
+++ b/tests/Makefile.in
@@ -125,7 +125,7 @@ TESTS = test-alloca-opt$(EXEEXT) test-array-mergesort$(EXEEXT) \
test-isnanl-nolibm$(EXEEXT) test-iswblank$(EXEEXT) \
test-langinfo$(EXEEXT) test-limits-h$(EXEEXT) \
test-locale$(EXEEXT) test-localename$(EXEEXT) \
- test-rwlock1$(EXEEXT) test-lock$(EXEEXT) test-once1$(EXEEXT) \
+ test-lock$(EXEEXT) test-once1$(EXEEXT) \
test-once2$(EXEEXT) test-malloca$(EXEEXT) test-math$(EXEEXT) \
test-mbrtowc1.sh test-mbrtowc2.sh test-mbrtowc3.sh \
test-mbrtowc4.sh test-mbrtowc5.sh test-mbrtowc-w32-1.sh \
@@ -143,7 +143,7 @@ TESTS = test-alloca-opt$(EXEEXT) test-array-mergesort$(EXEEXT) \
test-striconveh$(EXEEXT) test-striconveha$(EXEEXT) \
test-string$(EXEEXT) test-strncat$(EXEEXT) \
test-strstr$(EXEEXT) test-sys_types$(EXEEXT) test-init.sh \
- test-thread_self$(EXEEXT) test-thread_create$(EXEEXT) \
+ test-thread_self$(EXEEXT) \
test-time$(EXEEXT) test-cased$(EXEEXT) test-ignorable$(EXEEXT) \
unicase/test-locale-language.sh test-uc_tolower$(EXEEXT) \
test-uc_totitle$(EXEEXT) test-uc_toupper$(EXEEXT) \
@@ -413,7 +413,7 @@ check_PROGRAMS = test-alloca-opt$(EXEEXT) \
test-isnanf-nolibm$(EXEEXT) test-isnanl-nolibm$(EXEEXT) \
test-iswblank$(EXEEXT) test-langinfo$(EXEEXT) \
test-limits-h$(EXEEXT) test-locale$(EXEEXT) \
- test-localename$(EXEEXT) test-rwlock1$(EXEEXT) \
+ test-localename$(EXEEXT) \
test-lock$(EXEEXT) test-once1$(EXEEXT) test-once2$(EXEEXT) \
test-malloca$(EXEEXT) test-math$(EXEEXT) test-mbrtowc$(EXEEXT) \
test-mbrtowc-w32$(EXEEXT) test-mbsinit$(EXEEXT) \
@@ -430,7 +430,7 @@ check_PROGRAMS = test-alloca-opt$(EXEEXT) \
test-striconveha$(EXEEXT) test-string$(EXEEXT) \
test-strncat$(EXEEXT) test-strstr$(EXEEXT) \
test-sys_types$(EXEEXT) test-thread_self$(EXEEXT) \
- test-thread_create$(EXEEXT) test-time$(EXEEXT) \
+ test-time$(EXEEXT) \
test-cased$(EXEEXT) test-ignorable$(EXEEXT) \
test-locale-language$(EXEEXT) test-uc_tolower$(EXEEXT) \
test-uc_totitle$(EXEEXT) test-uc_toupper$(EXEEXT) \
@@ -1765,9 +1765,6 @@ test_raise_OBJECTS = test-raise.$(OBJEXT)
test_raise_LDADD = $(LDADD)
test_raise_DEPENDENCIES = libtests.a ../lib/libunistring.la libtests.a \
$(am__DEPENDENCIES_1)
-test_rwlock1_SOURCES = test-rwlock1.c
-test_rwlock1_OBJECTS = test-rwlock1.$(OBJEXT)
-test_rwlock1_DEPENDENCIES = $(am__DEPENDENCIES_2)
am_test_scripts_OBJECTS = unictype/test-scripts.$(OBJEXT)
test_scripts_OBJECTS = $(am_test_scripts_OBJECTS)
test_scripts_DEPENDENCIES = $(am__DEPENDENCIES_2)
@@ -1883,9 +1880,6 @@ test_sys_types_OBJECTS = test-sys_types.$(OBJEXT)
test_sys_types_LDADD = $(LDADD)
test_sys_types_DEPENDENCIES = libtests.a ../lib/libunistring.la \
libtests.a $(am__DEPENDENCIES_1)
-test_thread_create_SOURCES = test-thread_create.c
-test_thread_create_OBJECTS = test-thread_create.$(OBJEXT)
-test_thread_create_DEPENDENCIES = $(am__DEPENDENCIES_2)
test_thread_self_SOURCES = test-thread_self.c
test_thread_self_OBJECTS = test-thread_self.$(OBJEXT)
test_thread_self_DEPENDENCIES = $(am__DEPENDENCIES_2)
@@ -2907,7 +2901,7 @@ SOURCES = $(libtests_a_SOURCES) $(EXTRA_libtests_a_SOURCES) \
$(test_pr_xid_start_SOURCES) $(test_pr_zero_width_SOURCES) \
test-printf-frexp.c test-printf-frexpl.c \
test-pthread_sigmask1.c test-pthread_sigmask2.c test-raise.c \
- test-rwlock1.c $(test_scripts_SOURCES) test-setenv.c \
+ $(test_scripts_SOURCES) test-setenv.c \
test-setlocale1.c test-setlocale2.c test-signal-h.c \
test-signbit.c test-sigprocmask.c test-sleep.c test-stdalign.c \
test-stdbool.c test-stddef.c test-stdint.c test-stdio.c \
@@ -2916,7 +2910,7 @@ SOURCES = $(libtests_a_SOURCES) $(EXTRA_libtests_a_SOURCES) \
test-strstr.c $(test_sy_c_ident_SOURCES) \
$(test_sy_c_whitespace_SOURCES) $(test_sy_java_ident_SOURCES) \
$(test_sy_java_whitespace_SOURCES) test-sys_types.c \
- test-thread_create.c test-thread_self.c test-time.c \
+ test-thread_self.c test-time.c \
$(test_u16_asnprintf1_SOURCES) $(test_u16_casecmp_SOURCES) \
$(test_u16_casecoll_SOURCES) $(test_u16_casefold_SOURCES) \
$(test_u16_check_SOURCES) $(test_u16_chr_SOURCES) \
@@ -3190,7 +3184,7 @@ DIST_SOURCES = $(libtests_a_SOURCES) $(EXTRA_libtests_a_SOURCES) \
$(test_pr_xid_start_SOURCES) $(test_pr_zero_width_SOURCES) \
test-printf-frexp.c test-printf-frexpl.c \
test-pthread_sigmask1.c test-pthread_sigmask2.c test-raise.c \
- test-rwlock1.c $(test_scripts_SOURCES) test-setenv.c \
+ $(test_scripts_SOURCES) test-setenv.c \
test-setlocale1.c test-setlocale2.c test-signal-h.c \
test-signbit.c test-sigprocmask.c test-sleep.c test-stdalign.c \
test-stdbool.c test-stddef.c test-stdint.c test-stdio.c \
@@ -3199,7 +3193,7 @@ DIST_SOURCES = $(libtests_a_SOURCES) $(EXTRA_libtests_a_SOURCES) \
test-strstr.c $(test_sy_c_ident_SOURCES) \
$(test_sy_c_whitespace_SOURCES) $(test_sy_java_ident_SOURCES) \
$(test_sy_java_whitespace_SOURCES) test-sys_types.c \
- test-thread_create.c test-thread_self.c test-time.c \
+ test-thread_self.c test-time.c \
$(test_u16_asnprintf1_SOURCES) $(test_u16_casecmp_SOURCES) \
$(test_u16_casecoll_SOURCES) $(test_u16_casefold_SOURCES) \
$(test_u16_check_SOURCES) $(test_u16_chr_SOURCES) \
@@ -4783,7 +4777,7 @@ EXTRA_DIST = test-alloca-opt.c test-array-mergesort.c macros.h btowc.c \
nan.h macros.h test-isnanl-nolibm.c test-isnanl.h minus-zero.h \
infinity.h nan.h macros.h test-iswblank.c macros.h \
test-langinfo.c test-limits-h.c locale.in.h test-locale.c \
- test-localename.c macros.h test-rwlock1.c test-lock.c \
+ test-localename.c macros.h test-lock.c \
test-once.c test-malloca.c test-math.c macros.h \
test-mbrtowc1.sh test-mbrtowc2.sh test-mbrtowc3.sh \
test-mbrtowc4.sh test-mbrtowc5.sh test-mbrtowc.c \
@@ -4812,7 +4806,7 @@ EXTRA_DIST = test-alloca-opt.c test-array-mergesort.c macros.h btowc.c \
macros.h test-string.c test-strncat.c unistr/test-strncat.h \
zerosize-ptr.h signature.h macros.h test-strstr.c \
zerosize-ptr.h signature.h macros.h test-sys_types.c init.sh \
- test-init.sh test-thread_self.c test-thread_create.c macros.h \
+ test-init.sh test-thread_self.c macros.h \
time.in.h test-time.c unicase/test-cased.c \
unicase/test-predicate-part1.h unicase/test-predicate-part2.h \
macros.h unicase/test-ignorable.c \
@@ -5512,7 +5506,6 @@ test_frexpl_nolibm_SOURCES = test-frexpl.c randoml.c
test_getprogname_LDADD = $(LDADD)
test_iconv_LDADD = $(LDADD) @LIBICONV@
test_localename_LDADD = $(LDADD) @INTL_MACOSX_LIBS@ $(LIBTHREAD)
-test_rwlock1_LDADD = $(LDADD) @LIBMULTITHREAD@ @YIELD_LIB@
test_lock_LDADD = $(LDADD) @LIBMULTITHREAD@ @YIELD_LIB@
test_once1_SOURCES = test-once.c
test_once1_LDADD = $(LDADD) @LIBTHREAD@
@@ -5549,7 +5542,6 @@ test_striconveh_LDADD = $(LDADD) $(LIBUNISTRING) @LIBICONV@
test_striconveha_SOURCES = test-striconveha.c iconvsupport.c
test_striconveha_LDADD = $(LDADD) $(LIBUNISTRING) @LIBICONV@
test_thread_self_LDADD = $(LDADD) @LIBTHREAD@
-test_thread_create_LDADD = $(LDADD) @LIBMULTITHREAD@
test_cased_SOURCES = unicase/test-cased.c
test_cased_LDADD = $(LDADD) $(LIBUNISTRING)
test_ignorable_SOURCES = unicase/test-ignorable.c
@@ -7816,9 +7808,6 @@ test-raise$(EXEEXT): $(test_raise_OBJECTS) $(test_raise_DEPENDENCIES) $(EXTRA_te
@rm -f test-raise$(EXEEXT)
$(AM_V_CCLD)$(LINK) $(test_raise_OBJECTS) $(test_raise_LDADD) $(LIBS)
-test-rwlock1$(EXEEXT): $(test_rwlock1_OBJECTS) $(test_rwlock1_DEPENDENCIES) $(EXTRA_test_rwlock1_DEPENDENCIES)
- @rm -f test-rwlock1$(EXEEXT)
- $(AM_V_CCLD)$(LINK) $(test_rwlock1_OBJECTS) $(test_rwlock1_LDADD) $(LIBS)
unictype/test-scripts.$(OBJEXT): unictype/$(am__dirstamp) \
unictype/$(DEPDIR)/$(am__dirstamp)
@@ -7930,10 +7919,6 @@ test-sys_types$(EXEEXT): $(test_sys_types_OBJECTS) $(test_sys_types_DEPENDENCIES
@rm -f test-sys_types$(EXEEXT)
$(AM_V_CCLD)$(LINK) $(test_sys_types_OBJECTS) $(test_sys_types_LDADD) $(LIBS)
-test-thread_create$(EXEEXT): $(test_thread_create_OBJECTS) $(test_thread_create_DEPENDENCIES) $(EXTRA_test_thread_create_DEPENDENCIES)
- @rm -f test-thread_create$(EXEEXT)
- $(AM_V_CCLD)$(LINK) $(test_thread_create_OBJECTS) $(test_thread_create_LDADD) $(LIBS)
-
test-thread_self$(EXEEXT): $(test_thread_self_OBJECTS) $(test_thread_self_DEPENDENCIES) $(EXTRA_test_thread_self_DEPENDENCIES)
@rm -f test-thread_self$(EXEEXT)
$(AM_V_CCLD)$(LINK) $(test_thread_self_OBJECTS) $(test_thread_self_LDADD) $(LIBS)
@@ -9498,7 +9483,6 @@ distclean-compile:
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/test-pthread_sigmask1.Po@am__quote@
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/test-pthread_sigmask2.Po@am__quote@
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/test-raise.Po@am__quote@
-@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/test-rwlock1.Po@am__quote@
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/test-setenv.Po@am__quote@
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/test-setlocale1.Po@am__quote@
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/test-setlocale2.Po@am__quote@
@@ -9519,7 +9503,6 @@ distclean-compile:
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/test-strncat.Po@am__quote@
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/test-strstr.Po@am__quote@
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/test-sys_types.Po@am__quote@
-@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/test-thread_create.Po@am__quote@
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/test-thread_self.Po@am__quote@
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/test-time.Po@am__quote@
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/test-unistd.Po@am__quote@
@@ -10465,13 +10448,6 @@ test-localename.log: test-localename$(EXEEXT)
--log-file $$b.log --trs-file $$b.trs \
$(am__common_driver_flags) $(AM_LOG_DRIVER_FLAGS) $(LOG_DRIVER_FLAGS) -- $(LOG_COMPILE) \
"$$tst" $(AM_TESTS_FD_REDIRECT)
-test-rwlock1.log: test-rwlock1$(EXEEXT)
- @p='test-rwlock1$(EXEEXT)'; \
- b='test-rwlock1'; \
- $(am__check_pre) $(LOG_DRIVER) --test-name "$$f" \
- --log-file $$b.log --trs-file $$b.trs \
- $(am__common_driver_flags) $(AM_LOG_DRIVER_FLAGS) $(LOG_DRIVER_FLAGS) -- $(LOG_COMPILE) \
- "$$tst" $(AM_TESTS_FD_REDIRECT)
test-lock.log: test-lock$(EXEEXT)
@p='test-lock$(EXEEXT)'; \
b='test-lock'; \
@@ -10780,13 +10756,6 @@ test-thread_self.log: test-thread_self$(EXEEXT)
--log-file $$b.log --trs-file $$b.trs \
$(am__common_driver_flags) $(AM_LOG_DRIVER_FLAGS) $(LOG_DRIVER_FLAGS) -- $(LOG_COMPILE) \
"$$tst" $(AM_TESTS_FD_REDIRECT)
-test-thread_create.log: test-thread_create$(EXEEXT)
- @p='test-thread_create$(EXEEXT)'; \
- b='test-thread_create'; \
- $(am__check_pre) $(LOG_DRIVER) --test-name "$$f" \
- --log-file $$b.log --trs-file $$b.trs \
- $(am__common_driver_flags) $(AM_LOG_DRIVER_FLAGS) $(LOG_DRIVER_FLAGS) -- $(LOG_COMPILE) \
- "$$tst" $(AM_TESTS_FD_REDIRECT)
test-time.log: test-time$(EXEEXT)
@p='test-time$(EXEEXT)'; \
b='test-time'; \

View File

@ -1,3 +0,0 @@
version https://git-lfs.github.com/spec/v1
oid sha256:eb8fb2c3e4b6e2d336608377050892b54c3c983b646c561836550863003c05d7
size 2051320

View File

@ -1,16 +0,0 @@
-----BEGIN PGP SIGNATURE-----
iQIcBAABAgAGBQJbCDarAAoJENYFhI7X5phx5w8QAJQj4n94I6foZCE71GdDQs/p
GU6ABFpQiWP2Hh8CT3/11YltEnRU586KGdDApM27Fb2SK0WxKM0uco7QLW7Yprnj
dMGoOVUQilRijUeXKe8hSzMHjhlLwPKUDjXNf0kGNBDI6sLSNHS+fU7uepzxYnMp
M22MOaJAsDBZOiHRxQK8RNxa5bUGn2SVnLJLOmoqQUXfe0rC8ghiNGLKcfKZZcPM
eh9a6fPAYcU4bDaktIH6ZvkOnxLisrfNE43orkxjpxVvLxWy3rpqvj+TeQNe2hQl
ZChdSZcrinktV8qWztAjrhcd2y6r4Jplh6KRE0ctQmOxhzy//Z3biE0szpIacyoP
U4CUPg/7uQc6qNZ2JX8isD3ECvO1oummMi4vboEwWNhq5ZsH3LAKkKTi/nn/1u4Y
HFXdBTrWDPASjX9WZusDtWcsrW63nULGAtkXFWhlZQWbwo3eMP/Bjba5Nsa95XQs
2Cg16G0sQ/D6uCqsuh/Su0yOJ+UMDmqwDMcqGgjQBhQX7uHKrNnJWxHIt5+iKvvU
6wOlBXFKVRinrpcrJB7yNgI3rVFrIehrdT4PM7F2Nd0EBjuh6rWDxhxEeu5iqhpt
sEpw4lILNRAHwbqtV5A34qv5221xEuKa9QpQ0qtj3vx55KSQyPBADpTD4uOJJ+8A
Z7PqndjzEZBjCSFy7xVd
=vzbS
-----END PGP SIGNATURE-----

3
libunistring-1.0.tar.xz Normal file
View File

@ -0,0 +1,3 @@
version https://git-lfs.github.com/spec/v1
oid sha256:5bab55b49f75d77ed26b257997e919b693f29fd4a1bc22e0e6e024c246c72741
size 2367800

View File

@ -0,0 +1,16 @@
-----BEGIN PGP SIGNATURE-----
iQIzBAABCgAdFiEEkAG4WvnhuD3xvalC9b6LJnxqQG0FAmHUsJAACgkQ9b6LJnxq
QG3NYw//bDHlnkKnS3vFanqWmQ9YB3VrnSdGFo0H65sH/mm4hN/6H1SuAJAz60h/
Ot/gSdOHjPdL7N46c95PmUxunJGEPTEnneo/oeLhNpJ9bmthEo2qGmWLN2lQZmpq
Na7SZhG1YkQ3Ki3C1GE02oP6GB3GxbHrH353anl2q8xrHkrhlYwh6m32Wx5RmZO1
ue8yqZgkZBzfhQ3AK8P455oShe91SwtRT6ovsML++Bq2Msco9TVsnwWI38eiAEs5
xFlA3MZ6oBDSMWtq7yZzJqenqTSWvH73mtCZbwySp1AvmZTlkcg7kLVIsSvh9z/C
MrFWmG0D+oJ9Oz2QJAmmIDt5HfZE0UMFvMf7OratRiSXFgDp3AXR4YA4rW4DZw6F
+WgURScYuUQyCNj5G8xw8xbQmR3bslFfaINP7GPVv9ljQaAA6NY+FH9FpnhC3Ex7
Un8o4dagLYilUHnMHKG6mbbD5yWsbOUZuba8gjro0kHdRajJJNwPiAzmeAkHWSw9
kyokKPhe4nDwO5n4mPX6n1d1wOev5Zkn/UeoiaAzLCCZXoUNDXjD19dUuxF9g1YB
d81YSgK4xl4hc8CmAKccuKBObFjW2Xm2kFFxXdLC50ZRSElrtdlkX9npe49MDshf
JCfmGaiBRAHtus4/t4RS03PVGaEPrwasvA4rEwmCjIPgXQH7Hhs=
=O3w4
-----END PGP SIGNATURE-----

View File

@ -1,3 +1,16 @@
-------------------------------------------------------------------
Sat Apr 9 12:47:37 UTC 2022 - Andreas Stieger <andreas.stieger@gmx.de>
- Update to 1.0:
* Unicode 14.0.0 support
* License changed to LGPL-3.0-or-later OR GPL-2.0-or-later
* *_uctomb functions now support strings larger than 2 GiB
* linebreak functions now make it easier to work with strings
that contain CR-LF sequences
* New properties for recognizing pictographics symbols and
regional indicators
- drop disable-broken-tests.patch
-------------------------------------------------------------------
Fri Mar 8 09:12:41 UTC 2019 - Martin Liška <mliska@suse.cz>

View File

@ -1,634 +1,63 @@
-----BEGIN PGP PUBLIC KEY BLOCK-----
Version: GnuPG v1.4.11 (GNU/Linux)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=BuIp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=MDRR
-----END PGP PUBLIC KEY BLOCK-----
-----BEGIN PGP PUBLIC KEY BLOCK-----
Version: GnuPG v1.4.15 (GNU/Linux)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=rW71
-----END PGP PUBLIC KEY BLOCK-----
-----BEGIN PGP PUBLIC KEY BLOCK-----
Version: GnuPG v1.4.12 (GNU/Linux)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=e9jv
-----END PGP PUBLIC KEY BLOCK-----

View File

@ -1,7 +1,7 @@
#
# spec file for package libunistring
#
# Copyright (c) 2019 SUSE LINUX GmbH, Nuernberg, Germany.
# Copyright (c) 2022 SUSE LLC
#
# All modifications and additions to the file contributed by third parties
# remain the property of their copyright owners, unless otherwise agreed
@ -18,20 +18,16 @@
%define lname libunistring2
Name: libunistring
Version: 0.9.10
Version: 1.0
Release: 0
Summary: GNU Unicode string library
License: LGPL-3.0-or-later OR GPL-2.0-only
License: GPL-2.0-or-later OR LGPL-3.0-or-later
Group: Development/Libraries/C and C++
Url: http://www.gnu.org/software/libunistring/
URL: https://www.gnu.org/software/libunistring/
Source0: http://ftp.gnu.org/gnu/libunistring/libunistring-%{version}.tar.xz
Source1: http://ftp.gnu.org/gnu/libunistring/libunistring-%{version}.tar.xz.sig
Source2: http://savannah.gnu.org/project/memberlist-gpgkeys.php?group=libunistring&download=1#/%{name}.keyring
Source2: https://savannah.gnu.org/people/viewgpg.php?user_id=1871/%{name}.keyring
Source3: baselibs.conf
Patch0: disable-broken-tests.patch
%if %{?suse_version } == 1110
BuildRequires: xz
%endif
%description
This portable C library implements Unicode string types in three flavours:
@ -44,10 +40,6 @@ case folding and regular expressions).
Summary: Development files for the GNU Unicode string library
Group: Development/Libraries/C and C++
Requires: %{lname} = %{version}
Requires: info
# Obsoletes added in 12.2
Obsoletes: %{name} < %{version}-%{release}
Provides: %{name} = %{version}-%{release}
%description devel
Development files for programs using libunistring and documentation
@ -65,12 +57,11 @@ This portable C library implements Unicode string types in three flavours:
case folding and regular expressions).
%prep
%setup -q
%patch0 -p1
%autosetup -p1
%build
%configure --disable-static --disable-rpath --docdir=%{_docdir}/%{name}
make %{?_smp_mflags}
%make_build
%install
make DESTDIR=%{buildroot} INSTALL="install -p" install
@ -86,7 +77,7 @@ rm -f %{buildroot}/%{_libdir}/libunistring.la
sed -i 's:50000:50:g' tests/test-malloca.c
%endif
# do not run tests in parallel, it stucks randomly
make check # %{?_smp_mflags}
%make_build check #
%endif
%files -n %{lname}
@ -103,12 +94,5 @@ make check # %{?_smp_mflags}
%post -n %{lname} -p /sbin/ldconfig
%postun -n %{lname} -p /sbin/ldconfig
%post devel
/sbin/install-info %{_infodir}/libunistring.info.gz %{_infodir}/dir || :
%preun devel
if [ "$1" = 0 ]; then
/sbin/install-info --delete %{_infodir}/libunistring.info.gz %{_infodir}/dir || :
fi
%changelog